Post on 27-Jan-2021
transcript
Volume 4343 Emerging Lithographic Technologies VNEXT-GENERATION LITHOGRAPHY AND MANUFACTURINGEUV LITHOGRAPHYPROJECTION ELECTRON LITHOGRAPHYSCALPELX-RAY LITHOGRAPHYEUV SOURCES INEXT-GENERATION RESISTSE-BEAM LITHOGRAPHYEUV SOURCES II/EUV DEFECT CONTROLMASKSNANOFABRICATIONLITHOGRAPHY WITH IONSPOSTER SESSION
Volume 4344 Metrology, Inspection, and Process Control for Microlithography XV
INVITED SESSIONDEFECTS IDEFECTS IIAFM METROLOGYOVERLAY ISTANDARDSCD CONTROL: MASKOVERLAY IIMODELINGCD CONTROL ICD CONTROL IIBEYOND CD: FEATURE SHAPE AND METROLOGY FOR PROCESS CONTROL
NEW TECHNOLOGIESSCATTEROMETRYLATE BREAKING NEWS300-MM PRODUCTIONPOSTER SESSION
Volume 4345 Advances in Resist Technology and Processing XVIII
FUNDAMENTAL STUDIES IFUNDAMENTAL STUDIES IIARF MATERIALS IARF MATERIALS IIPROCESSING AND EXAMINATION IPROCESSING AND EXAMINATION IIKRF PROCESSING AND MATERIALSVUV SECTION IVUV SECTION IIVUV SECTION IIIUNDERSTANDING MOLECULAR CONTAMINATION IN LITHOGRAPHY: JOINT SESSIONPOSTER SESSION
Volume 4346 Optical Microlithography XIVLENS ABERRATIONS157-NM LITHOGRAPHYADVANCES IN OPCOPTIONS FOR THE 100-NM NODELITHOGRAPHY FOR DRAMPROCESS OPTIMIZATION AND CONTROLSIMULATIONSYSTEM CHARACTERIZATIONALTERNATING PSM IMPLEMENTATIONNOVEL RET APPROACHES AND ISSUES
EXPOSURE TOOL SUBSYSTEMSARF PRODUCTION EQUIPMENTUNDERSTANDING MOLECULAR CONTAMINATION IN LITHOGRAPHY: JOINT SESSIONPOSTER SESSION
Volume 4343 Emerging Lithographic Technologies V
SESSION 1 NEXT-GENERATION LITHOGRAPHY AND MANUFACTURING
Technology in the Internet era [4343-201] D. Buss
Insertion of EUVL into high-volume manufacturing [4343-1] P. Silverman
SESSION 2 EUV LITHOGRAPHY
System integration and performance of the EUV engineering test stand [4343-4] D. Tichenor, A. Ray-Chaudhuri, W. Replogle, R. Stulen, G. Kubiak, P. Rockett, L. Klebanoff, K. Jefferson, A. Leung, J. Wronosky, L. Hale, H. Chapman, J. Taylor, J. Folta, C. Montcalm, R. Soufli, E. Spiller, K. Blaedel, G. Sommargren, D. Sweeney, P. Naulleau, K. Goldberg, E. Gullikson, J. Bokor, P. Batson, D. Attwood, K. Jackson, S. Hector, C. Gwyn, P. Yan
Progress of the EUVL alpha tool [4343-5] H. Meiling, J. Benschop, U. Dinger, P. Kuerz
Multilayer optics for an extreme-ultraviolet lithography tool with 70-nm resolution [4343-7] R. Soufli, E. Spiller, M. Schmidt, C. Davidson, R. Grabner, E. Gullikson, B. Kaufmann, S. Mrowka, S. Baker, H. Chapman, R. Hudyma, J. Taylor, C. Walton, C. Montcalm, J. Folta
Feasibility study of EUV scanners [4343-8] K. Ota, K. Murakami, H. Kondo, T. Oshino, K. Sugisaki, H. Komatsuda
SESSION 3 PROJECTION ELECTRON LITHOGRAPHY
PREVAIL-EPL alpha tool electron optics subsystem [4343-9] H. Pfeiffer, R. Dhaliwal, S. Golladay, S. Doran, M. Gordon, R. Kendall, J. Lieberman, D. Pinckney, R. Quickle, C. Robinson, J. Rockrohr, W. Stickel, E. Tressler
Nikon EB Stepper: the latest development status [4343-10] K. Suzuki, T. Fujiwara, K. Hada, N. Hirayanagi, S. Kawata, K. Morita, K. Okamoto, T. Okino, S. Shimizu, T. Yahiro,
H. Yamamoto
New data postprocessing for e-beam projection lithography [4343-11] K. Okamoto, K. Kamijo, S. Kojima, H. Minami, T. Okino
Bremsstrahlung emission and absorption in electron projection lithography [4343-12] S. Hector, J. Cobb, V. Ivin, M. Silakov, G. Babushkin
Impact of positive ions and effect of lens aberrations in projection electron-beam systems [4343-13] B. Wu, A. Neureuther
SESSION 4 SCALPEL
Progress on the realization of the electron column modules for SCALPEL high-throughput/alpha electron projection lithography tools [4343-14]
D. Stenkamp, O. Kienzle, A. Orchowski, W. Rau, A. Weickenmeier, G. Benner, M. Wetzke, W. Waskiewicz, V. Katsap, X. Zhu, H. Liu, E. Munro, J. Rouse
CD control analysis of the SCALPEL-HT/alpha optics [4343-15] S. Stanton, W. Waskiewicz, E. Munro, J. Rouse, X. Zhu
Simulations of a SCALPEL wafer-heating correction using an adaptive Kalman filter [4343-16] S. Stanton
SESSION 5 X-RAY LITHOGRAPHY
Overlay and critical dimension control in 100-nm ULSI processes using TaBN x-ray masks and the XRA x-ray stepper [4343-17]
K. Fujii, Y. Tanaka, T. Iwamoto, S. Tsuboi, H. Sumitani, T. Taguchi, K. Suzuki, Y. Matsui
Production x-ray lithography stepper for 100-nm device fabrication [4343-18] X. Li, T. Miyatake, S. Hirose, M. Hirose, K. Fujii, K. Suzuki
New results in high-energy proximity x-ray lithography [4343-110] M. Khan, G. Han, J. Maldonado, F. Cerrina
Deep x-ray lithography with the SU-8 resist [4343-20] L. Singleton, A. Bogdanov, S. Peredkov, O. Wilhelmi, A. Schneider, C. Cremers, S. Megtert, A. Schmidt
Characterization of a laser-produced x-ray source with a double-stream gas puff target for x-ray and EUV lithography [4343-54]
H. Fiedorowicz, A. Bartnik, H. Daido, R. Jarocki, R. Rakowski, M. Suzuki, M. Szczurek, S. Yamagami
SESSION 6 EUV SOURCES I
Extreme-ultraviolet sources for lithography applications [4343-23] V. Banine, J. Moors
Comparison of different source concepts for EUVL [4343-22] R. Lebert, K. Bergmann, L. Juschkin, O. Rosier, W. Neff
Development of a high-average-power extreme-ultraviolet electric capillary discharge source [4343-24] N. Fornaciari, H. Bender, D. Buchenauer, M. Kanouff, S. Karim, G. Kubiak, C. Moen, G. Shimkaveg, W. Silfvast, K. Stewart
Progress toward use of a dense plamsa focus as a light source for production EUV lithography [4343-25] W. Partlo, I. Fomenkov, R. Ness, R. Oliver, S. Melnychuk, J. Rauch
Laser-produced plasma (LPP) scale-up and commercialization [4343-26] R. Moyer, H. Shields, A. Martos, S. Fornaca, R. St. Pierre, M. Petach
SESSION 7 NEXT-GENERATION RESISTS
Multiple-anion nonvolatile acetal (MANA) resists [4343-27] J. Guevremont, R. Brainard, S. Reeves, X. Zhou, T. Nguyen, J. Mackevich, E. Anderson, G. Taylor
High-performance e-beam resist coupling excellent dry etch resistance and sub-100-nm resolution for advanced mask and device making [4343-28]
W. Huang, R. Kwong, W. Moreau, R. Lang, C. Robinson, D. Medeiros, K. Petrillo, A. Aviram, A. Mahorowala, M. Angelopoulos, C. Magg, M. Lawliss, T. Faure
Theoretical calculations of photoabsorption of polymers in the EUV (extreme ultraviolet) region N. Matsuzawa, S. Irie, E. Yano, S. Okazaki, A. Ishitani
Vacuum delay effect of CAR in mask fabrication [4343-30] C. Kim, C. Jeon, S. Han, W. Cho, S. Choi, W. Han, J. Sohn
Fabrication of patterned-surface reactivity templates using physisorption of reactive species in solvent-imprinted nanocavities [4343-31]
W. Dressick, P. Nealey, S. Brandow
Tunable antireflective coatings with built-in hard mask properties facilitating thin-resist processing [4343-88]
A. Mahorowala, K. Babich, K. Petrillo, J. Simons, M. Angelopoulos, V. Patel, A. Grill, S. Halle, R. Conti, C. Wu, R. Wise, L. Chen, A. Thomas, B. Lee, O. Genz
SESSION 8 E-BEAM LITHOGRAPHY
Essential reduction of stitching errors in electron-beam lithography using a multiple-exposure technique [4343-43]
R. Steingrueber, H. Engel, W. Lessle
Application of advanced 100-kV EB writer EB-X3 for 100-nm node x-ray mask fabrication [4343-44] H. Watanabe, Y. Nakayama, S. Tsuboi, M. Ezaki, H. Aoyama, Y. Matsui, T. Morosawa, M. Oda
New registration technique using voltage-contrast images for low-energy electron-beam lithography [4343-45]
T. Nakasugi, A. Ando, K. Sugihara, M. Miyoshi, K. Okumura
First environmental data from the EUV engineering test stand [4343-50] L. Klebanoff, M. Malinowski, P. Grunow, M. Clift, C. Steinhaus, A. Leung, S. Haney
Use of molecular oxygen to reduce EUV-induced carbon contamination of optics [4343-51] M. Malinowski, P. Grunow, C. Steinhaus, M. Clift, L. Klebanoff
SESSION 9 EUV SOURCES II/EUV DEFECT CONTROL
Extremely fine-pitch printing with a 10X Schwarzschild optic at extreme-ultraviolet wavelengths M. Shumway, S. Lee, C. Cho, P. Naulleau, K. Goldberg, J. Bokor
Recent developments in EUV reflectometry at the Advanced Light Source [4343-91] E. Gullikson, S. Mrowka, B. Kaufmann
SESSION 10 MASKS
Evaluation and comparison of the pattern-transfer-induced image placement distortions on e-beam projection lithography masks [4343-38]
C. Magg, M. Lercel, M. Lawliss, R. Ackel, N. Caldwell, L. Kindt, K. Racette, C. Williams, P. Reu
Vibrational analysis of 200-mm EPL masks [4343-74] A. Mikkelson, C. Chen, R. Engelstad, E. Lovell
Impact of the EUV mask phase response on the asymmetry of Bossung curves as predicted by rigorous EUV mask simulations [4343-40]
C. Krautschik, M. Ito, I. Nishiyama, K. Otaki
Infinitely selective repair buffer for EUVL reticles [4343-41] J. Wasson, K. Smith, P. Mangat, S. Hector
TaN EUVL mask fabrication and characterization [4343-42] P. Yan, G. Zhang, A. Ma, T. Liang
SESSION 11 NANOFABRICATION
Advances in graft polymerization lithography [4343-32] C. Brodsky, B. Trinque, H. Johnson, C. Willson
Nanoimprint lithography with a commercial 4-in. bond system for hot embossing [4343-33] N. Roos, T. Luxbacher, T. Glinsner, K. Pfeiffer, H. Schulz, H. Scheer
Layer-to-layer alignment for step and flash imprint lithography [4343-34] B. Choi, M. Meissl, M. Colburn, T. Bailey, P. Ruchhoeft, S. Sreenivasan, F. Prins, S. Banerjee, J. Ekerdt, C. Willson
High-resolution proximity printing by wave-optically designed masks [4343-37] T. Nellissen, L. Wang, M. Dirkzwager, F. Wyrowski, E. Kley, H. Aagendahl, S. Buehling
SESSION 12 LITHOGRAPHY WITH IONS
Ion projection lithography: advances with integrated tool and resist processes [4343-47] A. Wolter, R. Kaesmaier, H. Loeschner
Progress in placement control for ion beam stencil mask technology [4343-48] F. Kamm, A. Ehrmann, T. Struck, K. Kragler, J. Butschke, F. Letzkus, R. Springer, E. Haugeneder
Micromachining using a focused MeV proton beam for the production of high-precision 3D microstructures with vertical sidewalls of high orthogonality [4343-49]
J. van Kan, A. Bettiol, K. Ansari, F. Watt
SESSION 14 POSTER SESSION
Development of data conversion system for electron-beam projection lithography (EPL) mask [4343-52] Y. Yamada, H. Kobinata, T. Tamura, M. Miyasaka, T. Sakamoto, Y. Ogawa, K. Takada, H. Yamashita, H. Nozue
High-accuracy aerial image measurement for electron-beam projection lithography [4343-53] T. Yahiro, N. Hirayanagi, K. Morita, T. Irita, H. Yamamoto, S. Suzuki, H. Shimizu, S. Kawata, T. Okino, K. Suzuki
Vacuum spark point source for x-ray/EUV lithography [4343-21] X. Guo, M. Xu, R. Ye, C. Huang, K. Wirpszo, E. Panarella
Thick silicon membranes as mask blank for SU-8 x-ray deep lithography [4343-55] I. Maia, L. Ferreira, M. Piazzetta, G. Natal
EUV absorption in a laser-produced plasma source [4343-56] M. Kanouff, H. Shields, L. Bernardez, G. Kubiak
Thermomechanical modeling of the EUV reticle during exposure [4343-57] C. Martin, R. Engelstad, E. Lovell
Quantifying EUV imaging tolerances for the 70-, 50-, 35-nm modes through rigorous aerial image simulations [4343-59]
C. Krautschik, M. Ito, I. Nishiyama, T. Mori
Scaling-up a liquid water jet laser plasma source to high average power for extreme-ultraviolet lithography [4343-60]
U. Vogt, H. Stiel, I. Will, M. Wieland, T. Wilhein, P. Nickles, W. Sandner
Advanced point diffraction interferometer for EUV aspherical mirrors [4343-61] K. Ota, T. Yamamoto, Y. Fukuda, K. Otaki, I. Nishiyama, S. Okazaki
Models for characterizing the printability of buried EUV defects [4343-62] Y. Deng, T. Pistor, A. Neureuther
Laser-induced EUV source for optics characterization [4343-63] S. Kranzusch, K. Mann
Spectroscopic and energetic investigation of capillary discharges devoted to EUV production for new lithography generation [4343-64]
E. Robert, B. Blagojevic, R. Dussart, S. Mohanty, M. Idrissi, D. Hong, R. Viladrosa, J. Pouvesle, C. Fleurier, C. Cachoncinlle
Fabrication of a fly-eye mirror for an extreme-ultraviolet lithography illumination system [4343-76] H. Takino, T. Kobayashi, N. Shibata, M. Kuki, A. Itoh, H. Komatsuda
Filter windows for EUV lithography [4343-87] F. Powell, T. Johnson
In-situ stress measurement of molybdenum/silicon multilayers and low-stress multilayers for extreme-ultraviolet lithography [4343-93]
M. Shiraishi, W. Ishiyama, N. Kandaka, T. Oshino, K. Murakami
Development of an EUV reflectometer using a single line emission from a laser-plasma x-ray source [4343-94]
N. Kandaka, H. Kondo, K. Sugisaki, T. Oshino, M. Shiraishi, W. Ishiyama, K. Murakami
Damage-resistant and low stress EUV multilayer mirrors [4343-95] S. Yulin, T. Kuhlmann, T. Feigl, N. Kaiser
Compact Z-pinch EUV source for photolithography [4343-96] G. Schriever, M. Rahe, U. Stamm, D. Basting, O. Khristoforov, A. Vinokhodov, V. Borisov
Reflecting-surface distortion when mirrors are cut to shape [4343-97] G. Meda
Automated set-up for extreme-ultraviolet mask lithography: the first step to count and clean in one [4343-98]
J. Hue, V. Muffato, C. Pelle, E. Quesnel, P. Garrec, F. Baume
Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer [4343-100] P. Naulleau, K. Goldberg, E. Anderson, P. Batson, P. Denham, K. Jackson, S. Rekawa, J. Bokor
Flatness correction of NZTE mask blank substrates [4343-102] L. Aschke, F. Schubert, J. Kegeler, A. Schindler, T. Haensel, K. Knapp
Combined metrology including VUV spectroscopic ellipsometry and grazing x-ray reflectance for precise characterization of thin films and multilayers at 157 nm [4343-106]
P. Boher, P. Evrard, J. Piel, S. Janicot, J. Stehle
Luminescent materials for EUV detection purposes [4343-108] M. Kroon, R. Stuik
Equivalent multilayer bandwidth and comparison between 13.4 nm and 14.4 nm for EUV throughput calculation [4343-109]
W. Chao, E. Gullikson, D. Attwood
Improvement of beam-adjustment accuracy by beam-intensity distribution measurement on a second shaping aperture in electron-beam writing systems [4343-66]
S. Nishimura, M. Ogasawara, T. Tojo
High-resolution and high-stability electromagnetic-deflection control system for EB lithography system [4343-67]
K. Nagata, M. Okumura, K. Maio, A. Fujii, H. Andoh, T. Morimura, H. Hayakawa
Stitching accuracy measurement system for EB direct writing and electron-beam projection lithography (EPL) [4343-68]
T. Tamura, T. Ema, H. Nozue, T. Sugahara, A. Sugano, J. Nitta
Shot number analysis on character projection e-beam lithography for random logic device fabrication at 70-nm node [4343-69]
Y. Tomo, I. Shimizu, Y. Kojima, A. Yoshida, H. Takenaka, M. Yamabe
Highly accurate CD control at stitching region for electron-beam projection lithography [4343-71] T. Fujiwara, T. Irita, S. Shimizu, H. Yamamoto, K. Suzuki
Performance of the improved JBX-9000MV e-beam lithography system [4343-72] T. Komagata, Y. Nakagawa, N. Gotoh, K. Tanaka
Characteristics of the Ru buffer layer for EUVL mask patterning [4343-75] B. Lee, E. Hoshino, M. Takahashi, T. Yoneda, H. Yamanashi, H. Hoko, A. Chiba, M. Ito, M. Ryoo, T. Ogawa, S. Okazaki
Substrate defect smoothing of EUVL mask blanks using TaSiN films [4343-77] J. Wasson, T. Hopson, P. Mangat, S. Hector
Tantalum nitride films for the absorber material of refractive-type EUVL mask [4343-78] M. Takahashi, T. Ogawa, E. Hoshino, H. Hoko, B. Lee, A. Chiba, H. Yamanashi, S. Okazaki
Evaluation of Shipley XP2040D positive chemically amplified resist for SCALPEL mask fabrication [4343-79]
B. Lu, Z. Masnyj, P. Mangat, K. Nordquist, E. Ainley, D. Resnick
Chemically amplified deep UV resists for electron-beam lithography applications [4343-80] H. Chen, C. Hsu, B. Chen, F. Ko, J. Yang, T. Huang, T. Chu
Optimal lithium targets for laser-plasma lithography [4343-82] A. Andreev, T. Ueda, J. Limpouch
Optical lithography at a 126-nm wavelength [4343-84] H. Kang, A. Bourov, B. Smith
Mix and match of nanoimprint and UV lithography [4343-85] F. Reuther, K. Pfeiffer, M. Fink, G. Gruetzner, H. Schulz, H. Scheer, F. Gaboriau, C. Cardinaud
Volume 4344 Metrology, Inspection, and Process Control for Microlithography XV
SESSION 1 INVITED SESSION
From compliance to control: off-roadmap metrology for low-k1 lithography [4344-1] C. Ausschnitt
SESSION 2 DEFECTS I
Open-contact failure detection of via holes by using voltage contrast [4344-2] H. Nishiyama, M. Nozoe, K. Aramaki, O. Watanabe, Y. Ikeda
Method for prevention of unopened contact hole in dual-damascene process [4344-3] G. Lyu, C. Kim, S. Lee, H. Yang, D. Lee, J. Yoo, J. Lee, Y. Kim, J. Nam, W. Han
SESSION 3 DEFECTS II
Inspectability study of advanced photomasks with OPC structures [4344-9] M. Cross, K. Bhattacharyya
Secondary-electron image profiles using bias voltage technique in deep contact hole [4344-75] Y. Ko, D. Joy, N. Sullivan, M. Mastovich
SESSION 4 AFM METROLOGY
High-precision metrology by means of a novel stereo imaging technique based on atomic force microscopy [4344-10]
B. Aumond, K. Youcef-Toumi
Electric force microscopy with a single carbon nanotube tip [4344-11] J. Dagata, F. Chien, S. Gwo, K. Morimoto, T. Inoue, J. Itoh, H. Yokoyama
Automated search method for AFM and profilers [4344-12] M. Ray, Y. Martin
SESSION 5 OVERLAY I
W-CMP alignment using ASML's ATHENA system on an I-line stepper [4344-13] K. Prasad, D. Rajan, Y. Tan, G. Sun, S. Morgan, M. Phillips, B. Ng
Chemical mechanical planarization process induced within lot overlay variation in 0.20-um DRAM: solution and simulation model [4344-14]
C. Chen, B. Huang, W. Lee, W. Chung, H. Hou
Evaluation of overlay measurement target designs for Cu dual-damascene process [4344-15] M. Mukherjee-Roy, R. Kumar, G. Samudra
Evaluation of overlay performance by using air shower at the prealignment [4344-16] A. Ghosh, Y. Tan, D. Rajan, G. Sun
Advances in process overlay [4344-17] P. Hinnen, H. Megens, M. van der Schaar, R. van Haren, E. Mos, S. Lalbahadoersing, F. Bornebroek, D. Laidler
Comprehensive analysis of statistical and model-based overlay lot disposition methods [4344-19] D. Crow, K. Flugaur, J. Pellegrini, E. Joubert
SESSION 6 STANDARDS
Problem with submicrometer-linewidth standards and a proposed solution [4344-20] J. Potzick
Edge determination for polycrystalline silicon lines on gate oxide [4344-21] J. Villarrubia, A. Vladar, J. Lowney, M. Postek
Silicon single atom steps as AFM height standards [4344-22] R. Dixson, N. Orji, J. Fu, V. Tsai, E. Williams, R. Kacker, T. Vorburger, H. Edwards, D. Cook, P. West, R. Nyffenegger
SESSION 7 CD CONTROL: MASK
Application of critical shape analyses to two-dimensional patterns [4344-23] M. Pochkowski, C. Mack, B. Kasprowicz
Impact and characterization of mask repair on wafer CD uniformity [4344-24] H. Chang, W. Shieh, J. Liu, B. Chu, L. Tu, J. Cheng, D. Wang, J. Cheng, S. Hentschel, V. Hsu
SPM characterizaton of anomalies in phase-shift mask and their effect on wafer features [4344-25] S. Muckenhirn, A. Meyyappan, K. Walch, M. Maslow, G. Vandenberghe, J. van Wingerden
Characterization of optical proximity correction features [4344-26] J. Allgair, M. Ivy, K. Lucas, J. Sturtevant, R. Elliott, C. Mack, C. MacNaughton, J. Miller, M. Pochkowski, M. Preil, J. Robinson, F. Santos
Atomic force metrology and 3D modeling of microtrenching in etched photomask features [4344-27] B. Todd, E. Miller, T. Pistor
SESSION 8 OVERLAY II
Light-diffraction-based overlay measurement [4344-28] J. Bischoff, R. Brunner, J. Bauer, U. Haak
Optimization of segmented alignment marks for advanced semidonductor fabrication processes Q. Wu, Z. Lu, G. Williams, F. Zach, B. Liegl
Sampling strategy and model to measure and compensate overlay errors [4344-30] C. Chien, K. Chang, C. Chen
Automated method for overlay sample plan optimization based on spatial variation modeling [4344-31] X. Chen, M. Preil, M. Le Goff-Dussable, M. Maenhoudt
SESSION 9 MODELING
Three-dimensional simulation of SEM imaging and charging [4344-32] L. Grella, G. Lorusso, T. Niemi, T. Chuang, D. Adler
SESSION 10 CD CONTROL I
Wafer edge dies yield improvements [4344-34] K. Gan, Y. Tan, G. Sun
Improvement in E2 nozzle performance: no imprint and less contamination [4344-35] K. Ang, S. Low, A. Lim, C. Lim, L. Loh, Y. Tan, X. Yang
Lens heating-induced focus drift of I-line step and scan: correction and control in a manufacturing environment [4344-101]
G. Ho, A. Cheng, C. Chen, C. Fang, M. Li, I. Chang, P. Chu, Y. Chu, K. Shu, C. Huang, H. Yeh, H. Shiao, H. Lan
Control of resist flow process for sub-0.15-um small contact hole by latent image [4344-37] B. Kim, S. Lee, D. Lee, J. Lee, J. Nam
Mechanism of deep-UV photoresist tail on inorganic antireflective layer film [4344-38] S. Lee, S. Lee, M. Kim, S. Park, J. Nam, S. Lee
Advanced statistical process control: controlling sub-0.18um lithography and other processes [4344-39] A. Zeidler, K. Veenstra, T. Zavecz
Evaluation of the dual-exposure technique [4344-40] H. Sewell, V. Bunze, N. DeLuca, D. McCafferty
SESSION 11 CD CONTROL II
Investigation of full-field CD control of sub-100-nm gate features by phase-shift 248-nm lithography [4344-41]
M. Fritze, B. Tyrrell, D. Astolfi, P. Davis, B. Wheeler, R. Mallen, J. Jarmolowicz, S. Cann, D. Chan, P. Rhyins, M. Mastovich, N. Sullivan, R. Brandom, C. Carney, J. Ferri, B. Blachowicz
Practical monitor and control of SEM astigmatism in manufacturing [4344-43] S. Dupuis, T. Hayes, C. Archie, E. Solecky
SESSION 12 BEYOND CD: FEATURE SHAPE AND METROLOGY FOR PROCESS CONTROL
Determination of best focus and exposure dose using CD-SEM sidewall imaging [4344-44] T. Marschner, G. Eytan, O. Dror
Three-dimensional top-down metrology: a viable alternative to AFM or cross-section? [4344-45] E. Solecky, C. Archie, T. Hayes, G. Banke, R. Cornell
Metrology and analysis of two-dimensional SEM patterns [4344-46] C. Mack, S. Jug, R. Jones, P. Apte, S. Williams, M. Pochkowski
Monitoring printing fidelity with image correlation measurements on the CD SEM [4344-47] C. Archie, E. Solecky, T. Hayes, G. Banke
SESSION 13 NEW TECHNOLOGIES
Initial results with a point projection microscope [4344-49] B. Frost, D. Joy
High-accuracy EUV metrology of PTB using synchrotron radiation [4344-50] F. Scholze, B. Beckhoff, G. Brandt, R. Fliegauf, A. Gottwald, R. Klein, B. Meyer, U. Schwarz, R. Thornagel, J. Tuemmler, K. Vogel, J. Weser, G. Ulm
Feature-shape and line-edge roughness measurement of deep submicron lithographic structures using small-angle neutron scattering [4344-51]
E. Lin, W. Wu, Q. Lin, M. Angelopoulos
DualBeam metrology: a new technique for optimizing 0.13-um photo processes [4344-52] S. Berger, D. Desloge, R. Virgalla, T. Davis, T. Paxton, D. Witko
SESSION 14 SCATTEROMETRY
Asymmetric line profile measurement using angular scatterometry [4344-54] C. Raymond, M. Littau, T. Pitts, P. Nagy
Measurement precision of optical scatterometry [4344-55] P. Logofatu, J. McNeil
Gauge control for sub-170-nm DRAM product features [4344-36] N. Lafferty, C. Gould, M. Littau, C. Raymond
Implementation of spectroscopic critical dimension (SCD) (TM) for gate CD control and stepper characterization [4344-57]
J. Allgair, D. Benoit, M. Drew, R. Hershey, L. Litt, P. Herrera, U. Whitney, M. Guevremont, A. Levy, S. Lakkapragada
Scatterometry: a metrology for subwavelength surface-relief gratings [4344-58] P. Logofatu, J. McNeil
Electromagnetic scatterometry applied to in-situ metrology [4344-60] M. Yeung, E. Barouch
SESSION 15 LATE BREAKING NEWS
Haidinger interferometer for silicon wafer TTV measurement [4344-62] R. Parks, L. Shao, A. Davies, C. Evans
High-speed mapping of intertransistor overlay variations using active electrical metrology [4344-63] X. Ouyang, C. Berglund, R. Pease
Comparison of edge detection methods using a prototype overlay calibration artifact [4344-64] R. Silver, J. Jun, E. Kornegay, R. Morton
SESSION 16 300-MM PRODUCTION
Microeconomics of 300-mm process module control [4344-61] K. Monahan, A. Chatterjee, G. Falessi, A. Levy, M. Stoller
SESSION 18 POSTER SESSION
Monte Carlo model of charging in resists in e-beam lithography [4344-33] Y. Ko, D. Joy
Scanning probe position encoder (SPPE): a new approach for high-precision and high-speed position measurement system [4344-65]
T. Ohara
Process development and impurities analysis for the bottom antireflective coating material [4344-66] F. Ko, H. Chen, T. Huang, H. Cheng, C. Ko, T. Chu
Improving the measurement algorithm for alignment [4344-67] S. Nakajima, Y. Kanaya, A. Takahashi, K. Yoshida, H. Mizutani
Electrical characterization of an ion-beam-mixed metal/polymer system [4344-68] R. Huang, R. Giedd
Application of SMIF isolation to lithography processes for contamination control [4344-69] S. Zhu
Postdevelopment defect evaluation [4344-71] O. Miyahara, Y. Kiba, Y. Ono
Wafer scale error induced by bottom antireflective coating [4344-73] D. Kim, J. Jeong, B. Nam, Y. Hwang, Y. Song
Swing curve phase and amplitude effects in optical lithography [4344-74] B. Martin, T. Tighe, G. Arthur
Scanner and stepper intrafield distortion characterization: a comparison and correlation of current techniques [4344-76]
B. Martinick, W. Roberts
Enhancing the rules for optical proximity correction to improve process latitude [4344-77] B. Martin, G. Arthur
Electrical linewidth measurement for next-generation lithography [4344-79] J. Kye, H. Levinson
Optimization of dielectric antireflective coatings on a transparent substrate in sub-half-micron CMOS technology [4344-80]
G. Arthur, B. Martin, C. Wallace
193-nm metrology: facing severe e-beam/resist interaction phenomena [4344-81] M. Vasconi, M. Bollin, G. Cotti, L. Pain, V. Tirard
CD SEM carry-over effect investigation [4344-82] A. Chernakova, A. Fan
Wafer-induced reading error in metal sputtering process [4344-83] D. Kim, S. Oh, G. Yeo, Y. Bae, J. Kim, Y. Kim
Bulge testing of single- and dual-layer thin films [4344-84] D. Huston, W. Sauter, P. Bunt, B. Esser
Extended ATHENA alignment performance and application for the 100-nm technology node [4344-85] R. Navarro, S. Keij, A. den Boef, S. Schets, F. van Bilsen, G. Simons, R. Schuurhuis, J. Burghoorn
193-nm photoresist shrinkage after electron-beam exposure [4344-87] B. Su, G. Eytan, A. Romano
Impact of attenuated PSM repair for 130-nm polygate lithography process [4344-88] X. Shi, S. Hsu, R. Socha, J. Chen, A. Cheng, C. Su, J. Cheng, A. Chen, H. Lin, D. Wang, D. Chen, A. Lin, W.
Conley, D. Metzger, S. Desai, P. Imamura, M. Sherrill
Scatterometry for shallow trench isolation (STI) process metrology [4344-89] C. Raymond, M. Littau, R. Markle, M. Purdy
Measurement of sidewall, line, and line-edge roughness with scanning probe microscopy [4344-90] K. Walch, A. Meyyappan, S. Muckenhirn, J. Margail
Foot (bottom corner) measurement of a structure with SPM [4344-91] A. Meyyappan, M. Klos, S. Muckenhirn
Prevention of optics and resist contamination in 300-mm lithography: improvements in chemical air filtration [4344-93]
D. Kinkead, A. Grayfer, O. Kishkovich
CD monitoring of critical photo layers in 6-in. GaAs IC process [4344-94] Y. Liu, I. Black, K. Xie
CD measurement of re-entry (overhang) obtained by liftoff techniques in 6-in. GaAs IC process [4344-95] Y. Liu, I. Black
SEM sentinel-SEM performance measurement system [4344-96] B. Damazo, A. Vladar, A. Ling, M. Donmez, M. Postek, E. Jayewardene
Lihtography process optimization for 130-nm polygate mask and the impact of mask error factor S. Hsu, X. Shi, R. Socha, J. Chen, J. Yee, M. Anath, S. Desai, P. Imamura, M. Sherrill, Y. Tseng, H. Chang, J. Kao,
A. Tseng, W. Liu, A. Chen, A. Lin, J. Kujten, E. Jacobs, A. Verhappen
Impact of optimized illumination upon simple lambda-based design rules for low-K1 lithography S. Postnikov, K. Lucas, K. Wimmer
Experimental determination of the impact of polysilicon LER on sub-100-nm transistor performance [4344-99]
K. Patterson, J. Sturtevant, J. Alvis, N. Benavides, D. Bonser, N. Cave, C. Nelson-Thomas, W. Taylor, K. Turnquest
Redefining critical in critical dimension metrology [4344-100] F. Askary, N. Sullivan
Reference Material 8091: new scanning electron microscope sharpness standard [4344-104] A. Vladar, M. Postek, N. Zhang, R. Larrabee, S. Jones, R. Hajdaj
Active monitoring and control of electron-beam-induced contamination [4344-105] A. Vladar, M. Postek, R. Vane
Interferometric testing of photomask substrate flatness [4344-106] C. Evans, R. Parks, L. Shao, T. Schmitz, A. Davies
Innovative techniques for automatic multi-CD-SEM image quality monitoring and matching [4344-107] H. Zhou, C. Wang, J. Pratt
Using pattern quality confirmation to control a metal-level DUV process with a top-down CD-SEM [4344-108]
C. Liang, H. Zhou, M. Boehm, R. Jackson, C. Wang, M. Slessor
Volume 4345 Advances in Resist Technology and Processing XVIII
SESSION 1 FUNDAMENTAL STUDIES I
Experimental approaches for assessing interfacial behavior of polymer films during dissolution in aqueous base [4345-1]
W. Hinsberg, S. Lee, H. Ito, D. Horne, K. Kanazawa
Mechanistic understanding of line-end shortening [4345-2] M. Stewart, G. Schmid, S. Postnikov, C. Willson
Image collapse issues in photoresist [4345-3] J. Simons, D. Goldfarb, M. Angelopoulos, S. Messick, W. Moreau, C. Robinson, J. de Pablo, P. Nealey
SESSION 2 FUNDAMENTAL STUDIES II
Optimum tone for various feature types: positive versus negative [4345-4] T. Brunner, C. Fonseca
Understanding nonlinear dissolution rates in photoresists [4345-5] S. Burns, A. Gardiner, V. Krukonis, P. Wetmore, J. Lutkenhaus, G. Schmid, L. Flanagin, C. Willson
SESSION 3 ARF MATERIALS I
IBM 193-nm bilayer resist: materials, lithographic performance, and optimization [4345-7] R. Kwong, P. Varanasi, M. Lawson, T. Hughes, G. Jordhamo, M. Khojasteh, A. Mahorowala, R. Sooriyakumaran, P. Brock, C. Larson, D. Fenzel-Alexander, H. Truong, R. Allen
ArF negative resist system using androsterone structure with delta-hydroxy acid for 100-nm phase shifting lithography [4345-8]
Y. Yokoyama, T. Hattori, K. Kimura, T. Tanaka, H. Shiraishi
SESSION 4 ARF MATERIALS II
Base additives for use in a single layer 193-nm resist based upon poly(norbornene/maleic anhydride/acrylic acid/tert-butyl acrylate) [4345-9]
F. Houlihan, D. Person, O. Nalamasu, I. Rushkin, O. Dimov, E. Reichmanis
Line-edge roughness in positive-tone chemically amplified resists: effect of additives and processing conditions [4345-128]
Q. Lin, D. Goldfarb, M. Angelopoulos, S. Sriram, J. Moore
193-nm single-layer resists based on advanced materials [4345-11] N. Shida, T. Ushirogouchi, K. Asakawa, Y. Funaki, A. Takaragi, K. Tsutsumi, K. Inoue, T. Nakano
Improved lithographic performance of 193-nm photoresists based on cycloolefin/maleic anhydride copolymer by employing mixed PAGs [4345-12]
S. Choi, Y. Choi, Y. Kim, S. Kim, D. Kim, J. Kim, C. Koh, G. Lee, J. Jung, K. Baik
Comparison of acid-generating efficiencies in 248 and 193-nm photoresists [4345-14] J. Cameron, N. Chan, K. Moore, G. Pohlers
SESSION 5 PROCESSING AND EXAMINATION I
Improved lithographic performance for resists based on polymers having a vinyl ether-maleic anhydride (VEMA) backbone [4345-16]
H. Kim, S. Choi, D. Jung, S. Lee, S. Lee, Y. Kang, S. Woo, J. Moon, R. Kavanagh, G. Barclay, G. Orsula, J. Mattia,
S. Caporale, T. Adams, T. Tanaka, D. Kang
Thermal properties of COMA materials [4345-17] I. Rushkin, B. Beauchemin, O. Dimov, T. Kocab, A. Medina, T. Sarubbi, M. Bowden
Modification of 193-nm (ArF) photoresists by electron beam stabilization [4345-18] P. Martens, S. Yamamoto, K. Edamatsu, Y. Uetani, L. Pain, R. Palla, M. Ross, W. Livesay
Novel high-performance ArF resist for sub-100-nm lithography [4345-19] G. Lee, C. Koh, J. Jung, M. Jung, K. Kong, J. Kim, K. Shin, S. Choi, Y. Kim, Y. Choi, D. Kim
Novel hybrid copolymers of cycloolefin/maleic anhydride (COMA)/methacrylate for 193-nm resist compositions [4345-20]
M. Rahman, D. McKenzie, J. Bae, T. Kudo, W. Kim, M. Padmanaban, R. Dammel
SESSION 6 PROCESSING AND EXAMINATION II
Optimization of ArF resist for 100-nm node: DOE and fine-tuning of basic platform [4345-21] K. Kim, G. Wells, W. Kim, Y. Choi, S. Choi, Y. Kim, D. Kim
CD changes of 193-nm resists during SEM measurement [4345-22] T. Kudo, J. Bae, R. Dammel, W. Kim, D. McKenzie, M. Rahman, M. Padmanaban, W. Ng
Investigation on the mechanism of the 193-nm resist linewidth reduction during the SEM measurement [4345-23]
C. Wu, W. Huang, K. Chen, C. Archie, M. Lagus
Process optimization for sub-100-nm gate patterns using phase edge lithography [4345-24] K. van Ingen Schenau, B. Vleeming, W. Gehoel-van Ansem, P. Wong, G. Vandenberghe
Mechanism studies of scanning electron microscope measurement effects on 193-nm photoresists and the development of improved line-width measurement methods [4345-129]
T. Sarrubi, M. Ross, M. Neisser, T. Kocab, B. Beauchemin, W. Livesay, S. Wong, W. Ng
SESSION 7 KRF PROCESSING AND MATERIALS
Development of resists for thermal flow process applicable to mass production [4345-26] Y. Kang, S. Woo, S. Choi, J. Moon
Novel routes toward sub-70-nm contact windows by using new KrF photoresist [4345-27] J. Kim, C. Koh, G. Lee, J. Jung, K. Shin
High resolution patterning in chemically amplified resists: the effect of film thickness [4345-28] D. Medeiros, W. Moreau, K. Petrillo, M. Chauhan, W. Huang, C. Magg, D. Goldfarb, M. Angelopoulos, P. Nealey
Resist composition effects on ultimate resolution of negative-tone chemically amplified resists L. Pain, C. Gourgon, K. Patterson, B. Scarfogliere, S. Tedesco, G. Fanget, B. Dal'zotto, M. Ribeiro, T. Kusumoto, M. Suetsugu, R. Hanawa
Integration of ultrathin resist processes into MPU IC manufacturing flows [4345-30] J. Cobb, W. Conley, T. Guenther, F. Huang, J. Lee, T. Lii, S. Dakshina-Murthy, C. Parker, S. Usmani, W. Wu, S. Hector
SESSION 8 VUV SECTION I
Polymer design for 157-nm chemically amplified resists [4345-31] H. Ito, G. Wallraff, P. Brock, N. Fender, H. Truong, G. Breyta, D. Miller, M. Sherwood, R. Allen
Experimental VUV absorbance study of fluorine-functionalized polystyrenes [4345-32] R. Kunz, R. Sinta, M. Sworin, W. Mowers, T. Fedynyshyn, V. Liberman, J. Curtin
High-resolution fluorocarbon-based resist for 157-nm lithography [4345-33] T. Fedynyshyn, R. Kunz, R. Sinta, M. Sworin, W. Mowers, R. Goodman, S. Doran
Encapsulated inorganic resist technology applied to 157-nm lithography [4345-34] T. Fedynyshyn, R. Sinta, M. Sworin, R. Goodman, S. Doran, I. Sondi, E. Matijevic
Silicon-containing resists for 157-nm applications [4345-35] R. Sooriyakumaran, D. Fenzel-Alexander, N. Fender, G. Wallraff, R. Allen
All Co2-processed 157-nm fluoropolymer-containing photoresist systems [4345-127] C. McAdams, D. Flowers, E. Hoggan, R. Carbonell, J. DeSimone
Characterization of thin and ultrathin polymer and resist films [4345-37] D. Goldfarb, Q. Lin, M. Angelopoulos, C. Soles, E. Lin, W. Wu
SESSION 9 VUV SECTION II
Perfect photoresist for 157nm imaging [4345-38] W. Conley, J. Byers, K. Dean, S. Hansen, J. Finders, S. Sinkwitz
Transparent resins for 157-nm lithography [4345-39] R. Dammel, R. Sakamuri, A. Romano, R. Vicari, C. Hacker, W. Conley, D. Miller
Application of top surface imaging process to 157-nm lithography [4345-40] I. Satou, M. Watanabe, H. Watanabe, T. Itani
Resist materials for 157-nm lithography [4345-41] M. Toriumi, S. Ishikawa, S. Miyoshi, T. Naito, T. Yamazaki, M. Watanabe, T. Itani
Effect of fluorinated monomer unit introduction to KrF resin system in F2 lithography [4345-42] Y. Uetani, K. Hashimoto, Y. Miya, I. Yoshida, M. Takigawa, R. Hanawa
SESSION 10 VUV SECTION III
Resist materials for 157-nm microlithography: an update [4345-43] R. Hung, H. Tran, B. Trinque, T. Chiba, S. Yamada, D. Sanders, E. Connor, R. Grubbs, J. Klopp, J. Frechet, B. Thomas, G. Shafer, D. DesMarteau, W. Conley, C. Willson
Theoretical calculations of photoabsorption of several alicyclic molecules in the vacuum ultraviolet region [4345-44]
N. Matsuzawa, A. Ishitani, D. Dixon, T. Uda
Top surface imaging at 157-nm [4345-45] A. Jamieson, M. Somervell, H. Tran, R. Hung, S. MacDonald, C. Willson
Characterization of new aromatic polymers for 157-nm photoresist applications [4345-46] N. Fender, P. Brock, W. Chau, S. Bangsaruntip, A. Mahorowala, G. Wallraff, W. Hinsberg, C. Larson, H. Ito, G. Breyta, K. Burnham, H. Truong, P. Lawson, R. Allen
157-nm imaging using thick single-layer resists [4345-47] M. Crawford, A. Feiring, J. Feldman, R. French, V. Petrov, F. Schadt, R. Smalley, F. Zumsteg
SESSION 11 UNDERSTANDING MOLECULAR CONTAMINATION IN LITHOGRAPHY: JOINT SESSION
Photoresist outgassing at 157 nm exposure [4345-126] S. Hien, S. Angood, D. Ashworth, S. Basset, T. Bloomstein, K. Dean, R. Kunz, D. Miller, S. Patel, G. Rich
SESSION 12 POSTER SESSION
Effect of development process time on the surface of photoresist with various chemical compositions investigated by atomic force microscopy [4345-48]
C. Ko, S. Oh, J. Kim, C. Song, S. Lee
Continuous metal removal technique for resist resins [4345-51] S. Wanat, D. McKenzie, M. Rahman
Resist rehydration during thick film processing [4345-53] O. Lehar, M. Spak, S. Meyer, R. Dammel, C. Brodsky, C. Willson
Development of an edge bead remover (EBR) for thick films [4345-54] J. Oberlander, E. Sison, C. Traynor, J. Griffin
Novel photoacid generators for chemically amplified resists with g-line, i-line, and DUV exposure T. Asakura, H. Yamato, A. Matsumoto, M. Ohwa
Spin coating properties of SU-8 thick-layer photoresist [4345-56] R. Chen, C. Cheng
Reduction of internal stress in a SU-8-like negative tone photoresist for MEMS applications by chemical modification [4345-57]
R. Ruhmann, G. Ahrens, A. Schuetz, J. Voskuhl, G. Gruetzner
Photolithographic evaluation of various photoresist materials for mask-making applications [4345-58] B. Singh, W. Montgomery
Novel CA resists with photoacid generator in polymer chain [4345-59] H. Wu, K. Gonsalves
Investigation on dissolution rate effect of newly prepared polystyrene copolymer on the profiles of DUV resists [4345-60]
H. Kim, Y. Chung, Y. Choi, Y. Kim, D. Kim
Novel deep UV photoresist with thermally crosslinkable photoacid generator [4345-62] C. Noh, S. Lee, B. Moon, K. Honda
Rational design of bleachable nonchemically amplified DUV photoactive compounds [4345-63] B. Rathsack, P. Tattersall, C. Tabery, K. Lou, T. Stachowiak, D. Medeiros, J. Albelo, P. Pirogovsky, D. McKean, C. Willson
BiIn: a sensitive bimetallic thermal resist [4345-65] G. Chapman, Y. Tu, M. Sarunic, J. Dhaliwal
Novel develop application method to improve critical dimension control [4345-66] K. Sakamoto
Thin resist process having high dry-etching resistance in 0.13-um KrF lithography [4345-67] M. Watanabe, S. Sasaki, S. Yabe, T. Taguchi
Novel negative photoresist process for 0.18 um dual damascene [4345-69] L. Shiu, C. Lai, F. Liang, H. Chen, L. Chen, S. Chou
Development of DUV resists for zero angle and angled implant applications [4345-70] P. Fallon, M. Cronin, J. Lachowski, P. Valerio, L. Bachetti, J. Georger, M. Mori, D. Tomes, K. Wynja
Advanced KrF chemical amplified photoresists for 0.13-um lithography [4345-72] Q. Lin, M. Sack
Formulation optimizations for variable DUV resist thickness applications based on the same polymer matrix [4345-73]
K. Schlicht, B. Maxwell, J. Ferri, M. Toukhy
Dependence of resist profile on exposed area ratio [4345-74] E. Shiobara, D. Kawamura, K. Matsunaga, Y. Onishi
Evaluation of I-line and DUV photoresists for high-density optical disc mastering [4345-75] R. Tacken, E. Rodenburg, M. van der Veer, J. van Vegchel, R. Eijmberts
Toward 0.1-um contact hole process by using water-soluble organic overcoating material (WASOOM)-- Resist flow technology III: study on WASOOM, top flare, and etch characterization [4345-76]
J. Chun, C. Maeng, M. Tesauro, J. Sturtevant, J. Oberlander, A. Romano, J. Sagan, R. Dammel
Ar ion implantation into resist for etching resistance improvement [4345-77] A. Yamaguchi, A. Nakae, K. Tsujita
Dissolution performance of device pattern with low-impact development [4345-78] S. Ito, K. Hayasaki, H. Nakamura
Photolithographic evaluation of deep UV resist materials for mask-making applications [4345-104] W. Montgomery, A. Buxbaum, W. Rodrigues, J. Albelo, S. Fuller
193 SLR system based on COMA/acryl hybrid system [4345-15] M. Yamamoto, K. Murata, H. Ishii, S. Ebata, T. Kajita, T. Shimokawa
Novel 193-nm photoresist based on Olefin-containing lactones [4345-80] K. Yoon, D. Jung, S. Lee, S. Lee, S. Choi, S. Woo, J. Moon
Effect of end group structures of methacrylate polymers on ArF photoresist performances [4345-81] H. Momose, S. Wakabayashi, T. Fujiwara, K. Ichimura, J. Nakauchi
Lithographic behavior of carboxylate-based dissolution inhibitors and the effect of blending [4345-82] F. Houlihan, Z. Yan, E. Reichmanis, G. Dabbagh, K. Bolan, O. Nalamasu, I. Rushkin, O. Dimov
193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries [4345-125]
T. Kajita, Y. Nishimura, M. Yamamoto, H. Ishii, A. Soyano, A. Kataoka, M. Slezak, M. Shimizu, P. Varanasi, G. Jordahamo, M. Lawson, R. Chen, W. Brunsvold, W. Li, R. Allen, H. Ito, H. Truong, T. Wallow
193-nm contact photoresist reflow feasibility study [4345-25] K. Lucas, M. Slezak, M. Ercken, F. Van Roey
Investigation of electron beam stabilization of 193-nm photoresists [4345-83] M. Kim, J. Park, H. Kim, B. Jun, M. Gil, B. Kim, M. Ross, W. Livesay
Negative-tone cycloolefin photoresist for 193-nm lithography [4345-84] S. Fu, K. Hsieh, L. Wang
Sumitomo resist on various BARC substrates using SVGL micrascan 193 [4345-85] M. Rajaratnam, G. Baxter, M. Riggs, P. Tasaico, J. Zimmerman, J. Beach, P. Holland, C. Morris, K. Spurlock
Design and performance of photoresist materials for ArF lithography [4345-86] H. Kim, D. Jung, S. Lee, S. Choi, S. Woo, R. Kavanagh, G. Barclay, R. Blacksmith, D. Kang, G. Pohlers, J.
Cameron, J. Mattia, S. Caporale, T. Penniman, L. Joesten, J. Thackeray
Postmodification as a way to improve the lithographic performance of resist materials [4345-87] W. Li, P. Varanasi, M. Lawson, T. Hughes, G. Jordhamo, R. Allen, H. Ito
ArF resist for contact hole application [4345-88] K. Chen, M. Lawson, T. Hughes, W. Brunsvold, P. Varanasi, R. Keller, G. Jordhamo
Novel chemically amplified positive resist containing acetal-type crosslinker of poly(3,3'-dimethoxypropene) for 193-nm top surface imaging process [4345-89]
C. Koh, J. Jung, M. Kim, K. Kong, G. Lee, M. Jung, J. Kim, K. Shin
Organic BARC process evaluation for via first dual-damascene patterning [4345-90] C. Tan, M. Mukherjee-Roy, W. Jo, R. Kumar, P. Foo, S. Sathappan, S. Ngooi
Development of 193-nm organic BARC [4345-91] T. Kishioka, S. Arase, K. Ishii, K. Mizusawa, H. Fukuro
High-etch-rate type 248-nm bottom antireflective coatings [4345-93] T. Enomoto, S. Arase, K. Mizusawa, H. Fukuro
New fast-etching bottom antireflective coatings for 248-nm lithography [4345-94] R. Puligadda, R. Huang, C. Cox, J. Lamb, M. Arjona, J. Claypool
Development of full-fill bottom antireflective coatings for dual-damascene process [4345-95] Y. Wang, X. Wu, G. Xu, J. Lamb, J. Sullivan, J. Claypool, J. Backus, S. Trautman, X. Shao, S. Takei, Y. Sone, K. Mizusawa, H. Fukuro
Improved crosslinkable polymeric binders for 193-nm bottom antireflective coatings (BARCs) [4345-96] J. Meador, X. Shao, M. Bhave, C. Cox, J. Thompson, D. Thomas, S. Gibbons, A. Farnsworth, M. Rich
Novel conformal organic antireflective coatings for advanced I-line lithography [4345-97] S. Deshpande, K. Nowak, S. Fowler, P. Williams, M. Arjona
Planarizing ARs for dual-damascene processing [4345-98] E. Pavelchek, M. Cernigliaro, P. Trefonas, A. Kwok, S. Coley
SU8C resist for electron beam lithography [4345-100] W. Wong, E. Pun
New advances in resist system for next-generation lithography [4345-101] Y. Hu, W. He, K. Gonsalves, L. Merhari
Creation of low-molecular-weight organic resists for nanometer lithography [4345-103] T. Kadota, M. Yoshiiwa, H. Kageyama, F. Wakaya, K. Gamo, Y. Shirota
Control of line edge roughness of ultrathin resist films subjected to EUV exposure [4345-105] M. Ryoo, S. Shirayone, H. Oizumi, N. Matsuzawa, S. Irie, E. Yano, S. Okazaki
Improved notch model for resist dissolution in lithography simulation [4345-108] S. Robertson, E. Pavelchek, W. Hoppe, R. Wildfeuer
Improved resolution of thick film resist (verification by simulation) [4345-109] Y. Sensu, A. Sekiguchi, Y. Miyake
Simulation of 193-nm photoresists based on different polymer platforms [4345-110] D. Kang, S. Robertson, E. Pavelchek
Development of a bond contribution model for structure: property correlations in dry etch studies [4345-111]
T. Yu, P. Ching, C. Ober, S. Deshpande, R. Puligadda
Quantitative description of phenolic polymer dissolution using the concept of gel layer [4345-112] S. Choi, J. Cho
Modification of development parameters of 193-nm chemically amplified resist with pattern density [4345-113]
E. Seo, Y. Sohn, H. Bak, H. Oh, S. Woo, N. Seong, H. Cho
Analysis of the relation between exposure parameters and critical dimension by response surface model [4345-114]
D. Sohn, Y. Sohn, H. Bak, H. Oh
Survey of chemically amplified resist models and simulator algorithms [4345-115] E. Croffie, L. Yuan, M. Cheng, A. Neureuther
Three-dimensional post-exposure modeling and its applications [4345-116] L. Yuan, M. Cheng, E. Croffie, A. Neureuther
Analysis of deprotection reaction for chemically amplified resists by using FT-IR spectrometer with exposure tool [4345-117]
Y. Miyake, M. Isono, A. Sekiguchi
Modeling the impact of thermal history during post-exposure bake on the lithographic performance of chemically amplified resists [4345-118]
M. Smith, C. Mack, J. Petersen
Examination of a simplified reaction-diffusion model for post-exposure bake of chemically amplified resists [4345-119]
M. Smith, C. Mack
Understanding molecular-level effects during post-exposure processing [4345-120] G. Schmid, M. Smith, C. Mack, V. Singh, S. Burns, C. Willson
New polymer for 157-nm single-layer resist based on fluorine-containing acryl copolymer [4345-36] T. Ogata, K. Endo, H. Komano, T. Nakayama
Evaluation of the standard addition method to determine rate constants for acid generation in chemically amplified photoresist at 157 nm [4345-121]
A. Pawloski, C. Szmanda, P. Nealey
Dissolution behavior of fluoroalcohol-substituted polystyrenes [4345-122] D. Hall, B. Osborn, K. Patterson, S. Burns, C. Willson
Interlayer dielectric process for LSI circuits using positive photosensitive polyimide synthesized by block-copolymerization [4345-124]
M. Aoyagi, S. Segawa, E. Jung, T. Itatani, M. Komuro, T. Sakamoto, H. Itatani, M. Miyamura, S. Matsumoto
Volume 4346 Optical Microlithography XIV
SESSION 1 LENS ABERRATIONS
Optimal lens assignment through measured aberrations [4346-1] N. Seong, Y. Kang, H. Cho, J. Moon
Aberration measurement using in-situ two-beam interferometry [4346-2] J. Kirk, G. Kunkel, A. Wong
Behavior of lens aberrations as a function of wavelength on KrF and ArF lithography scanners [4346-41] M. Terry, I. Lalovic, G. Wells, A. Smith
New phase-shift gratings for measuring aberrations [4346-4] H. Nomura
Ring test aberration determination and device lithography correlation [4346-5] C. Garza, W. Conley, B. Roman, M. Schippers, J. Foster, J. Baselmans, K. Cummings, D. Flagello
SESSION 2 157-NM LITHOGRAPHY
Marathon evaluation of optical materials for 157-nm lithography [4346-6] V. Liberman, M. Rothschild, N. Efremow, S. Palmacci, J. Sedlacek, C. Van Peski, K. Orvek
157-nm photomask handling and infrastructure: requirements and feasibility [4346-7] J. Cullins, E. Muzio
Investigation of attenuated phase-shifting mask material for 157-nm lithography [4346-112] T. Onodera, T. Matsuo, T. Itani, H. Morimoto
SVG 157-nm lithography technical review [4346-9] T. Fahey, J. McClay, M. Hansen, B. Tirri, M. Lipson
Current status of Nikon's F2 exposure tool development [4346-10] N. Shiraishi, S. Owa, Y. Ohmura, T. Aoki, Y. Matsumoto, M. Hatasawa, T. Mori, I. Tanaka
Materials design and development of fluoropolymers for use as pellicles in 157-nm photolithography [4346-184]
R. French, J. Gordon, D. Jones, M. Lemon, R. Wheland, X. Zhang, F. Zumsteg, K. Sharp, W. Qiu
SESSION 3 ADVANCES IN OPC
Correction for etch proximity: new models and applications [4346-12] Y. Granik
Process dependencies of optical proximity corrections [4346-13] F. Zach, D. Samuels, A. Thomas, S. Butt
Model-based OPC for first-generation 193-nm lithography [4346-14] K. Lucas, J. Word, G. Vandenberghe, S. Verhaegen, R. Jonckheere
Mask considerations for manufacturing assist features [4346-15] J. Choi, W. Cho, B. Kim, S. Yang, S. Moon, S. Choi, W. Han, J. Sohn
Optimizing style options for subresolution assist features [4346-16] L. Liebmann, J. Bruce, W. Chu, M. Cross, I. Graur, J. Krueger, W. Leipold, S. Mansfield, A. McGuire, D. Sundling
SESSION 4 OPTIONS FOR THE 100-NM NODE
Can DUV take us below 100 nm? [4346-18] J. Finders, L. Jorritsma, M. Eurlings, R. Moerman, H. van Greevenbroek, J. van Schoot, D. Flagello, R. Socha, T. Stammler
ArF lithography for printing 100-nm gates on low-volume ASIC devices: CD budget issues related to various binary mask-making processes [4346-19]
Y. Trouiller, G. Fanget, C. Miramond, Y. Rody
ArF lithography options for 100-nm technologies [4346-20] G. Vandenberghe, Y. Kim, C. Delvaux, K. Lucas, S. Choi, M. Ercken, K. Ronse, B. Vleeming
100-nm node lithography with KrF? [4346-21] M. Fritze, B. Tyrrell, D. Astolfi, D. Yost, P. Davis, B. Wheeler, R. Mallen, J. Jarmolowicz, S. Cann, H. Liu, M. Ma, D. Chan, P. Rhyins, C. Carney, J. Ferri, B. Blachowicz
SESSION 5 LITHOGRAPHY FOR DRAM
Lithography process design for 4-Gb DRAM of 0.31 K1 with KrF [4346-22] J. Park, G. Yeo, I. Kim, B. Kim, J. Lee, H. Cho, J. Moon
Feasibility study of printing sub-100-nm with ArF lithography [4346-23] S. Kim, J. Hong, J. Park, T. Yoo, Y. Hyun, C. Bok, K. Shin
DRAM lithographic scaling in the sub-130-nm regime [4346-24] S. Bukofsky
Printing 130-nm DRAM isolation pattern: Zernike correlation and tool improvement [4346-25] J. van Schoot, N. Seong, B. Geh, M. Burkhardt, P. Graeupner, G. Reisinger, R. Rubingh, M. Suddendorf, J. Finders, E. Rikkers
Application of full-chip level optical proximity correction to memory device with sub-0.10-um design rule and ArF lithography [4346-26]
H. Yune, H. Kim, W. Kim, C. Ahn, Y. Ham, K. Shin
SESSION 6 PROCESS OPTIMIZATION AND CONTROL
The MEEF shall inherit the Earth [4346-27] W. Conley, C. Garza, M. Dusa, R. Socha, J. Bendik, C. Mack
Characterization of linewidth variation on 248- and 193-nm exposure tools [4346-182] A. Gabor, T. Brunner, J. Chen, N. Chen, S. Deshpande, R. Ferguson, D. Horak, S. Holmes, L. Liebmann, S. Mansfield, A. Molless, C. Progler, P. Rabidoux, D. Ryan, P. Talvi, L. Tsou, B. Vampatella, A. Wong, Q. Yang, C. Yu
Novel multiple resist patterning stacks for dual-damascene interconnection and resolution-enhanced patterns [4346-29]
I. Huang, J. Hwang, Y. Cheng, K. Hung, S. Chien
Trench pattern lithography for 0.13- and 0.10-um logic devices at 248-nm and 193-nm wavelengths [4346-30]
Y. Wang, H. Lin, S. Yu, C. Chen, Y. Ku, A. Yen, B. Lin
CD control of low-k-factor step-and-scan lithography [4346-31] C. Ausschnitt, C. Progler, W. Chu
Statistical method for influence of exposure and focus error on CD variation [4346-32] S. Mimotogi
SESSION 7 SIMULATION
ArF imaging modeling by using resist simulation and pattern matching [4346-33] M. Cheng, A. Neureuther
Impact of acid/quencher behavior on lithography performance [4346-34] H. Fukuda, K. Hattori, T. Hagiwara
Simplified models for edge transitions in rigorous mask modeling [4346-35] K. Adam, A. Neureuther
Topography effects and wave aberrations in advanced PSM technology [4346-36] A. Erdmann
Application of 3D EMF simulation for development and optimization of alternating phase-shifting masks [4346-37]
A. Semmler, L. Mader, A. Elsner, R. Koehle, U. Griesinger, C. Noelscher
CD control for two-dimensional features in future technology nodes [4346-38] S. Verhaegen, R. Gordon, R. Jonckheere, M. McCallum, K. Ronse
SESSION 8 SYSTEM CHARACTERIZATION
Measurement of transmittance variation of projection lenses depending on the light paths using a grating-pinhole mask [4346-39]
K. Sato, S. Inoue
Reduction of dose effects due to the transient absorption in fused silica at 193 nm [4346-40] G. Kivenzor, R. Guerra
Aerial image measurement methods for fast aberration set-up and illumination pupil verification H. van der Laan, M. Dierichs, H. van Greevenbroek, E. McCoo, F. Stoffels, R. Pongers, R. Willekers
Method to predict CD variation caused by dynamic scanning focus errors [4346-42] T. Hagiwara, H. Mizutani, S. Okita, N. Kondo
SESSION 9 ALTERNATING PSM IMPLEMENTATION
Alternating phase-shifting mask with reduced aberration sensitivity: lithography considerations A. Wong, L. Liebmann, A. Molless
Evaluation of 3D alternating PSM structures using mask topography simulation, and AIMS at lambda=193nm [4346-44]
C. Tabery, C. Spence
Patterning 0.1-um device by using hybrid PSM [4346-45] C. Hsu, R. Chu, T. Wang, C. Liao
Patterning 80-nm gates using 248-nm lithography: an approach for 0.13-um VLSI manufacturing [4346-46] C. Wang, C. Lai, J. Huang, H. Liu
Effects of complementary phase-shift imaging on gate CD control [4346-47] C. Nelson-Thomas, M. Kling, M. Thompson, R. Wang, N. Cave, C. Fu
SESSION 10 NOVEL RET APPROACHES AND ISSUES
Mutually optimizing resolution enhancement techniques: illumination, APSM, assist feature OPC, and gray bars [4346-48]
B. Smith
Optimum mask and source patterns to print a given shape [4346-49] A. Rosenbluth, S. Bukofsky, M. Hibbs, K. Lai, A. Molless, R. Singh, A. Wong
Innovative imaging of ultrafine line without using any strong RET [4346-50] S. Nakao, K. Narimatsu, T. Miyagi, S. Ogawa, N. Tamada, A. Nakae, A. Tokui, K. Tsujita, I. Arimoto, W. Wakamiya
Binary halftone chromeless PSM technology for quarter-lambda optical lithography [4346-51] J. Chen, J. Petersen, R. Socha, T. Laidig, K. Wampler, K. Nakagawa, G. Hughes, S. MacDonald, W. Ng
Exposure latitude requirements for high yield with photon flux-limited laser sources [4346-8] S. O'Brien, M. Mason
SESSION 11 EXPOSURE TOOL SUBSYSTEMS
Performance results of a new generation of 300-mm lithography systems [4346-53] B. Sluijk, T. Castenmiller, R. du Croo de Jongh, H. Jasper, T. Modderman, L. Levasier, E. Loopstra, G. Savenije, M. Boonman, H. Cox
New projection lens system for KrF exposure scanning tool [4346-54] T. Matsuyama, J. Misawa, Y. Shibazaki
Optical design forms for DUV and VUV microlithographic processes [4346-55] J. Webb, J. Bentley, P. Michaloski, A. Phillips, T. Tienvieri
High-power 193-nm excimer lasers for DUV lithography [4346-56] R. Paetzel, K. Vogler, H. Albrecht, T. Schroeder, I. Bragin, J. Kleinschmidt, W. Zschocke
Aberration control for advanced step-and-scan systems using pupil plane engineering [4346-57] H. Sewell, D. Cote, A. Guzman, C. Lafiandra, T. O'Neil
New-generation projection optics for microlithography [4346-181] T. Kanda, T. Kato
SESSION 12 ARF PRODUCTION EQUIPMENT
High-numerical-aperture 193-nm exposure tool [4346-59] H. Sewell, D. Cote, D. Williamson, M. Oskotsky, L. Sakin, T. O'Neil, J. Zimmerman, R. Zimmerman, M. Nelson, C. Mason, D. Ahouse, H. Harrold, P. Lamastra, D. Callan
New scanners for the 100-nm era [4346-60] K. Iwamoto, F. Sakai
ArF step-and-scan system with 0.75 NA for the 0.10um node [4346-61] B. Vleeming, B. Heskamp, H. Bakker, L. Verstappen, J. Finders, J. Stoeten, R. Boerret, O. Roempp
Higher-NA ArF scanning exposure tool on new platform for further 100-nm technology node [4346-62] S. Mori
SESSION 13 UNDERSTANDING MOLECULAR CONTAMINATION IN LITHOGRAPHY: JOINT SESSION
Present status of development of gas-purging and chemically clean technologies at ASET [4346-63] Y. Fukuda, S. Takeuchi, T. Aoki, S. Owa, F. Yoshida, Y. Kawasa, A. Sumitani, K. Egawa, T. Watanabe, K. Nakao
UV cleaning of contaminated 157-nm reticles [4346-64] T. Bloomstein, V. Liberman, M. Rothschild, N. Efremow, D. Hardy, S. Palmacci
Protecting 248-nm and 193-nm lithography from airborne molecular contamination during semiconductor fabrication [4346-65]
A. Grayfer, O. Kishkovich, D. Ruede
Controlled contamination of optics under 157-nm laser irradiation [4346-66] T. Bloomstein, V. Liberman, S. Palmacci, M. Rothschild
SESSION 14 POSTER SESSION
Patterning of random interconnect using double exposure of strong-type PSMs [4346-67] H. Fukuda, T. Hagiwara
Multiple-focus exposure in strong phase-shift lithography: improvement of CD-focus characteristics and CD controllability [4346-68]
M. Fujimoto, T. Yasuzato
Lens aberration control for fine patterning with PSM [4346-69] T. Kudo, S. Hirukawa, T. Nakashima, K. Matsumoto
Alternating PSM mask performance: a study of multiple fabrication technique results [4346-70] M. McCallum, P. Gabella, G. Shelden, K. Kjoller, E. Miller
Multiple pitch transmission and phase analysis of six types of strong phase-shifting masks [4346-72] D. Gerold, J. Petersen, M. Levenson
Alternating phase-shifting mask application: effect of width and geometry of shifters, 3D EMF simulation and experimental verification [4346-73]
A. Semmler, A. Elsner, R. Koehle, L. Mader, R. Pforr, C. Noelscher, C. Friedrich, J. Knobloch, U. Griesinger
Theoretical and experimental optimization of numerical aperture and partial coherence for complementary phase-shift processes [4346-74]
C. Brodsky, C. Nelson-Thomas, N. Cave, J. Sturtevant
Doubly exposed patterning using mutually one-pitch step-shifted alternating phase-shift masks S. Lee, D. Chung, I. Shin, Y. Kim, S. Choi, W. Han, J. Sohn
Feasibility study on the ArF attenuated phase-shift mask for 100-nm node lithography [4346-76] S. Koo, S. Kim, S. Paek, C. Ahn, Y. Ham, K. Shin
Random pattern formation by attenuated non-phase-shift assist pattern mask [4346-77] S. Nakao, A. Tokui, K. Tsujita, I. Arimoto, W. Wakamiya
Application of attenuated phase-shifting masks to sub-130-nm lithography [4346-78] C. Koo, L. Choo, Q. Lin, S. Tan, H. Lee, S. Tam, A. See
Novel Si-based composite thin films for 193/157-nm attenuated phase-shift mask (APSM) applications [4346-79]
S. Chey, C. Guarnieri, K. Babich, K. Pope, D. Goldfarb, M. Angelopoulos, K. Racette, M. Hibbs, M. Gibson,
K. Kimmel
High-transmission attenuated PSM as a viable optical extension technique [4346-80] N. Kachwala
SCAA mask exposures and Phase Phirst designs for 100 nm and below [4346-183] M. Levenson, T. Ebihara, M. Yamachika
Evaluation of new mask materials for improved lithography performance [4346-83] B. Kasprowicz, R. Priestley
Reduction of mask error enhancement factor (MEEF) by the optimum exposure dose self-adjusted mask [4346-84]
S. Matsuura, T. Uchiyama, T. Hashimoto
Mask error factor: critical dimension variation across different tools, features, and exposure conditions [4346-85]
I. Jekauc, W. Roberts, C. Hampe
Resolution capability and the mask error enhancement function (MEEF) for ArF and KrF lithography [4346-86]
M. Plat, C. Spence, C. Lyons, A. Wilkison
Effects of mask bias on the mask error enhancement factor (MEEF) of contact holes [4346-87] D. Kang, S. Robertson, M. Reilly, E. Pavelchek
Comparison study on mask error factor in 100-nm ArF and KrF lithography [4346-88] T. Eom, Y. Hyun, C. Kim, C. Bok, K. Shin
Mask error enhancement factor for sub-0.13-um lithography [4346-89] S. Tan, Q. Lin, C. Quan, C. Tay, A. See
Hidden CD errors due to reticle imperfection [4346-90] Z. Ma, S. Zheng
Automatic defect severity scoring for 193-nm reticle defect inspection [4346-190] L. Karklin, M. Altamirano, L. Cai, K. Phan, C. Spence
Lithography challenges of dual-damascence process in 0.13 um era [4346-92] L. Chen, L. Shiu, C. Tsai, C. Chang, T. Kang, S. Chou
Evaluating device design rules based on lithographic capability [4346-93] S. Warrick, C. Smith, M. Monroe, C. Casteel, M. Zaleski
100-nm gate lithography for double-gate transistors [4346-94] A. Krasnoperova, Y. Zhang, I. Babich, J. Treichler, J. Yoon, K. Guarini, P. Solomon
Process latitude comparison of advanced DUV photoresists to latest-generation 193-nm photoresists [4346-95]
L. Joesten, M. Reilly, F. Linskens, C. Jehoul, C. Parker
Characterization study of an aqueous developable photosensitive polyimide on 300-mm wafers W. Flack, S. Kulas, C. Franklin
Process improvements for ultrathick photoresist using a broadband stepper [4346-97] W. Flack, H. Nguyen, E. Capsuto
When is bilayer thin-film imaging suitable: comparison with single-layer resists [4346-98] S. Halle, A. Thomas, M. Armacost, T. Dalton, X. Chen, S. Bukofsky, O. Genz, Z. Lu, S. Butt, Z. Chen, R. Ferguson, E. Coker, R. Leidy, Q. Lin, A. Mahorowala, K. Babich, K. Petrillo, M. Angelopoulos, M. Ignatowicz, B. Bui
Photoresist thickness variation due to local and global topography [4346-99] J. Kim, H. Bak, Y. Sohn, I. An, K. Bang, H. Oh, W. Han
Carbon antireflective coating (ARC) technology for both KrF and ArF lithography [4346-100] Y. Kim, J. Lee, H. Cho, J. Moon
Aids for driving lithography hard: wafer-level process control features [4346-101] E. Fisch, R. Bowley, J. Bruce, O. Bula
Optimum field-size strategy for DRAM mass production in low-k1 process [4346-102] C. Park, D. Yim, S. Lee, H. Yang, J. Choi, Y. Shin, C. Kim, J. Choi, K. Kang, S. Kim, D. Lee, G. Yoon
Molecular base sensitivity studies of various DUV resists used in semiconductor fabrication [4346-105] D. Ruede, M. Ercken, T. Borgers
193 lithography and RELACS processing for BEOL lithography [4346-106] R. DellaGuardia, K. Petrillo, J. Chen, P. Rabidoux, T. Dalton, S. Holmes, L. Hadel, K. Malone, A. Mahorowala, S. Greco, R. Ferguson
Low-dielectric-constant FLARE 2.0 films as bottom antireflective coating layers for ArF lithography [4346-107]
H. Chen, H. Cheng, M. Li, F. Ko, T. Huang, T. Chu
High-NA swing curve effects [4346-28] T. Brunner, A. Gabor, C. Wu, N. Chen
Trench warfare!: fitting photons for fine-feature fabrication [4346-188] J. Kuijten, W. Conley, R. Socha, S. van de Goor, S. Hsu, D. Smith, M. Oliveras, K. Strozenski
Spectral measurement of ultra line-narrowed F2 laser [4346-108] O. Wakabayashi, J. Sakuma, T. Suzuki, H. Kubo, N. Kitatochi, T. Suganuma, T. Nakaike, T. Kumazaki, K. Hotta, H. Mizoguchi, K. Nakao, T. Togashi, Y. Nabekawa, S. Watanabe
Long pulse duration of F2 laser for 157-nm lithography [4346-109] H. Watanabe, N. Kitatochi, K. Kakizaki, A. Tada, J. Sakuma, T. Ariga, K. Hotta
Formation of absorption bands in F-doped silica under excimer laser exposure [4346-110] C. Smith, L. Moore
Evaluation of characteristics of VUV optical materials irradiated by F2 laser [4346-111] Y. Itakura, F. Yoshida, Y. Kawasa, A. Sumitani, O. Wakabayashi, H. Mizoguchi
Applications of a grating shearing interferometer at 157 nm [4346-113] H. Schreiber, P. Dewa, M. Dunn, R. Hordin, S. Mack, B. Statt, P. Tompkins
157-nm Twyman-Green interferometer for lens testing [4346-114] B. Statt, P. Dewa, S. Mack, H. Schreiber, B. Stone, P. Tompkins
Advanced VUV spectrometer for F2 laser metrology [4346-115] E. Onkels, G. Rylov, R. Sandstrom
Study of obscuration in catadioptric lenses [4346-116] M. McCallum, B. Smith, S. Bassett, J. Cashmore, J. Webb
Long-run-time performance characteristics of a line-selected 2-kHz F2 laser for optical microlithography [4346-117]
G. Soumagne, S. Nagai, N. Hisanaga, S. Nanzai, Y. Ochiishi, A. Ohbu, J. Fujimoto, H. Mizoguchi
Optical damage testing of materials for use in 157-nm photolithographic systems [4346-119] R. Morton, T. Embree, Z. Bor, C. Van Peski
Challenge of the F2 laser for dioptric projection system [4346-120] T. Ariga, H. Watanabe, T. Kumazaki, N. Kitatochi, K. Sasano, Y. Ueno, T. Nishisaka, R. Nohdomi, K. Hotta, H. Mizoguchi, K. Nakao
Mechanical analysis of hard pellicles for 157-nm lithography [4346-121] P. Reu, A. Mikkelson, M. Schlax, E. Cotte, L. Siewert, R. Engelstad, E. Lovell, G. Dao, J. Zheng
Advanced F2-lasers for 157-nm lithography [4346-122] K. Vogler, I. Klaft, F. Voss, I. Bragin, E. Bergmann, T. Nagy, N. Niemoeller, R. Paetzel, S. Govorkov, G. Hua
Development and investigation of high-quality CaF2 used for 157-nm microlithography [4346-185] A. Engel, K. Knapp, L. Aschke, E. Moersen, W. Triebel, C. Chojetzki, S. Brueckner
Wavelength stabilization in an excimer laser source using piezoelectric active vibration control R. Spangler, R. Jacques, D. Brown, J. Algots, W. Partlo
Next-generation 193-nm laser for sub-100-nm lithography [4346-124] T. Duffey, G. Blumenstock, V. Fleurov, X. Pan, P. Newman, H. Glatzel, T. Watson, J. Erxmeyer, R. Kuschnereit, B. Weigl
Ultrahigh-repetition-rate ArF excimer laser with long pulse duration for 193-nm lithography [4346-126] K. Kakizaki, T. Matsunaga, Y. Sasaki, T. Inoue, S. Tanaka, A. Tada, H. Taniguchi, M. Arai, T. Igarashi
Challenges of laser spectrum metrology in 248- and 193-nm lithography [4346-127] A. Ershov, S. Smith
Ultranarrow-bandwidth 4-kHz ArF excimer laser for 193-nm lithography [4346-128] T. Saito, T. Matsunaga, K. Mitsuhashi, K. Terashima, T. Ohta, A. Tada, T. Ishihara, M. Yoshino, H. Tsushima, T. Enami, H. Tomaru, T. Igarashi
Br lamp for F2 laser wavelength calibration [4346-129] M. Yoshioka, T. Kitagawa, T. Arimoto, H. Matsuno, T. Hiramoto, T. Suzuki, K. Hotta
Effects of 95% integral vs. FWHM bandwidth specifications on lithographic imaging [4346-130] A. Kroyan, I. Lalovic, N. Farrar
High-resolution multigrating spectrometer for high-quality deep-UV light source production [4346-131] T. Suzuki, H. Kubo, T. Suganuma, T. Yamashita, O. Wakabayashi, H. Mizoguchi
Investigation of cross-field wavefront aberrations of KrF lithography exposure systems as a function of excimer laser bandwidth [4346-125]
I. Lalovic, A. Kroyan, N. Farrar, D. Taitano, P. Zambon, A. Smith
ArF-laser-induced absorption in fused silica exposed to low fluence at 2000 Hz [4346-133] J. Moll
Linewidth asymmetry study to predict aberration in lithographic lenses [4346-134] J. Kye, M. Dusa, H. Levinson
Lens aberration measurement and analysis using a novel pattern [4346-135] B. Nam, B. Cho, J. Park, D. Kim, S. Baek, J. Jeong, B. Nam, Y. Hwang, Y. Song
Birefringence dispersion in fused silica for DUV lithography [4346-136] R. Priestley
Analyses of imaging performance degradation caused by birefringence residual in lens materials [4346-137]
Y. Unno, A. Suzuki
Evaluating the impact of spherical aberration on sub-0.2-um contact/via hole patterning [4346-138] S. Chou, J. Lou, C. Lai, F. Liang, L. Chen
Error separation technique for microlithographic lens testing with null configurations [4346-139] S. Mack, T. Rich, J. Webb, P. Dewa, H. Schreiber
Testing of optical components for microlithography at 193-nm and 157-nm [4346-140] K. Mann, O. Apel, G. Eckert, C. Goerling, U. Leinhos, B. Schaefer
Effect of hole shape error on lithography process window [4346-141] R. Kumar, M. Mukherjee-Roy, C. Tan
Detection of focus and spherical aberration by use of a phase grating [4346-142] J. Kirk, S. Schank, C. Lin
Aberration analysis using reconstructed aerial images of isolated contacts on attenuated phase-shift masks [4346-143]
F. Zach, C. Lin, J. Kirk
Fine-tune lens-heating-induced focus drift with different process and illumination settings [4346-144] Y. Cui
Application of the aberration ring test (ARTEMIS) to determine lens quality and predict its lithographic performance [4346-145]
M. Moers, H. van der Laan, M. Zellenrath, W. de Boeij, N. Beaudry, K. Cummings, A. van Zwol, A. Brecht, R. Willekers
Impact of flare on CD variation for 248-nm and 193-nm lithography systems [4346-146] A. Bourov, L. Litt, L. Zavyalova
Further pursuit of correlation between lens aberration content and imaging performance [4346-147] S. Slonaker
How lens aberrations influence lithographic imaging and how to reduce their effects [4346-148] G. Kunkel, W. Henke, I. Voigt
Achieving low-wavefront specifications for DUV lithography: impact of residual stress in HPFS fused silica [4346-149]
J. Ladison, J. Ellison, D. Allan, D. Fladd, A. Fanning, R. Priestley
Scattered light: the increasing problem for 193-nm exposure tools and beyond [4346-186] K. Lai, C. Wu, C. Progler
Design and fabrication of customized illumination patterns for low-k1 lithography: a diffractive approach [4346-150]
M. Himel, R. Hutchins, J. Colvin, M. Poutous, A. Kathman, A. Fedor
Optimal positions for SB assignment and the specification of SB width variation [4346-151] C. Lai, R. Liu, T. Gau, F. Liang, S. Chou, L. Shiu
Improvement of metal photo process margin with OPC and CMP for 0.14 um DRAM technology node and beyond [4346-152]
D. Bae, J. Bae, S. Sung, J. Park, S. Rhie, D. Shin, T. Chung, K. Kim
Challenges for the 100-nm node [4346-155] H. Sewell, P. Raval, V. Bunze
Printing high-density patterns with dark-field 193-nm lithography [4346-156] O. Wood, D. White, D. Tennant, R. Cirelli, J. Sweeney, M. Blakey, J. Griffith
Experimental model verification of the thermal response of optical reticles [4346-157] A. Abdo, P. Reu, M. Schlax, R. Engelstad, W. Beckman, J. Mitchell, E. Lovell
Accuracy issues in the finite difference time domain simulation of photomask scattering [4346-158] T. Pistor
Simulation of optical lithography from distorted photomasks [4346-159] Z. Cui, J. Du, Y. Zheng, Y. Guo
LAVA web-based remote simulation: enhancements for education and technology innovation [4346-160] S. Lee, K. Ng, T. Orimoto, J. Pittenger, T. Horie, K. Adam, M. Cheng, E. Croffie, Y. Deng, F. Gennari, T. Pistor, G. Robins, M. Williamson, B. Wu, L. Yuan, A. Neureuther
Simulation of exposure process in complex nonplanar 2D/3D resist-substrate structures [4346-161] V. Manuylov, M. Temkin
Advanced procedure to evaluate process performance at very low k1 based on device parameters linked to lithography and process data:II. Verification of cell layout based on integration of optical an
A. Balasinski, W. Iandolo, D. Joshi, L. Karklin, V. Axelrad
Impact of illumination coherence and polarization on the imaging of attenuated phase-shift masks [4346-163]
Z. Ma, C. Mack
Rigorous electromagnetic simulation applied to alignment systems [4346-164] Y. Deng, T. Pistor, A. Neureuther
Fully automatic side lobe detection and correction technique for attenuated phase-shift masks O. Toublan, N. Cobb, E. Sahouria
Asymmetric biasing for subgrid pattern adjustment [4346-167] A. Wong, L. Liebmann
Impact of scattering bar in the presence of lens aberrations [4346-168] A. Khoh, B. Minghetti, B. Choi, Y. Wu, G. Samudra
Optical proximity correction of critical layers in DRAM process of 0.12-um minimum feature size Y. Oh, J. Lee, K. Park, C. Go, S. Lim
Roles of NA, sigma, and lambda in low-k1 aerial image formation [4346-187] P. Brooker
Application of CD error budget analysis to ArF scanner performance [4346-170] S. Renwick, J. Brown
Validation of an intrafield overlay prediction process [4346-171] J. Guerrero, W. Roberts
Introduction of new techniques for matching overlay enhancement [4346-173] T. Kikuchi, Y. Ishii, N. Tokuda
Extremely high-NA high-throughput-scanner-compatible 4-kHz KrF excimer laser for DUV lithography [4346-174]
T. Matsunaga, T. Enami, K. Kakizaki, T. Saito, S. Tanaka, H. Nakarai, T. Inoue, T. Igarashi
Depth-of-focus analysis of subwavelength features [4346-176] T. Barrett
Aerial image sensor for self-calibration of wafer steppers [4346-177] T. Hagiwara, H. Mizutani, N. Kondo, J. Inoue, K. Kaneko, S. Higashibata