+ All Categories
Home > Documents > 10.1007%2Fb117438

10.1007%2Fb117438

Date post: 23-Apr-2017
Category:
Upload: opticalmimoofdm
View: 213 times
Download: 1 times
Share this document with a friend
218
Transcript
Page 1: 10.1007%2Fb117438
Page 2: 10.1007%2Fb117438

WIRELESS OFDM SYSTEMSHow to make them work?

Page 3: 10.1007%2Fb117438

THE KLUWER INTERNATIONAL SERIESIN ENGINEERING AND COMPUTER SCIENCE

Page 4: 10.1007%2Fb117438

WIRELESS OFDM SYSTEMSHow to make them work?

edited by

Marc EngelsIMEC, Belgium

KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW

Page 5: 10.1007%2Fb117438

eBook ISBN: 0-306-47685-1Print ISBN: 1-4020-7116-7

©2002 Kluwer Academic PublishersNew York, Boston, Dordrecht, London, Moscow

Print ©2002 Kluwer Academic Publishers

All rights reserved

No part of this eBook may be reproduced or transmitted in any form or by any means, electronic,mechanical, recording, or otherwise, without written consent from the Publisher

Created in the United States of America

Visit Kluwer Online at: http://kluweronline.comand Kluwer's eBookstore at: http://ebooks.kluweronline.com

Dordrecht

Page 6: 10.1007%2Fb117438

In memory of my father who diedon 5 January 2002

Page 7: 10.1007%2Fb117438

How to make them work?

Contents

List of Figures

List of Tables

Preface

Contributors

Acknowledgements

ix

xv

xvii

xix

xxiii

Introduction1.1 A connected world emerges1.2 Wireless OFDM: the next technology wave1.3 Wireless OFDM systems1.4 Structure of the book

Understanding the indoor environment2.1 Introduction2.2 Propagation losses2.3 Multipath propagation2.4 Time variant channels2.5 Conclusions

11357

111112172630

The OFDM Principle3.1 The OFDM principle3.2 The OFDM system model

333338

Page 8: 10.1007%2Fb117438

viii OFDM Systems

3.33.43.53.6

What if the channel is time-variant?OFDM receiver performanceCoding: an essential ingredientSummary

When people agree on OFDM4.14.24.3

WLAN standardsHIPERLAN/2Differences between HIPERLAN/2 and IEEE 802.11a

Beating the wireless channel5.15.25.35.4

IntroductionChannel models and characteristicsOne-Dimensional Channel EstimatorsTwo-Dimensional Channel estimators.

Avoiding a tower of Babel6.16.26.36.4

IntroductionEffects of out of sync transmissionTiming synchronisationFrequency synchronisation

Living with a real radio7.17.27.37.47.5

IntroductionHow the front-end impairs the OFDM modemA system simulation toolAnalysis and simulation of the main front-end effectsConclusions

Putting it all together8.18.28.38.4

IntroductionThe basedband signal processing ASICThe discrete system set-upLearning from results

Abbreviations

Variables

Notation

Index

41454850

53535473

7575768090

959596

100106

113113115122127149

151151155171178

191

195

199

201

Page 9: 10.1007%2Fb117438

How to make them work?

List of Figures

Figure 1.1. World-wide number of Internet users 1Figure 1.2. World-wide number of mobile phones 2Figure 1.3. Wireless Internet Technologies 3Figure 1.4. Broad-band channel response 4Figure 1.5. Single frequency network 5Figure 2.1. Typical interference in 2.4 GHz ISM-band coming from a

microwave oven (a) or a Bluetooth hopper (b) 17Figure 2.2. multipath propagation situation 18Figure 2.3. Ray-tracing example (reflections in a corner) 22Figure 2.4. Floorplan for ray tracing example 1 24Figure 2.5. Power delay profile and baseband frequency response for ray

tracing example 1 24Figure 2.6. Floorplan for ray tracing example 2 25Figure 2.7. Power delay profile and baseband frequency response for ray

tracing example 2 25Figure 2.8. Time correlation for different models of mobility 29Figure 2.9. Doppler spectra for different mobility models 30Figure 3.1. Subdivision of the bandwidth into subbands 33Figure 3.2. multicarrier modulation 34Figure 3.3. Spectrum of an OFDM signal 36Figure 3.4. OFDM demodulation 37Figure 3.5. Cyclic Prefix 37Figure 3.6. Discrete-time baseband equivalent model of an OFDM system 38Figure 3.7. Discrete-frequency representation for the Doppler multipath

channel and an OFDM receiver 44Figure 3.8. OFDM performance for AWGN 45

Page 10: 10.1007%2Fb117438

x OFDM Systems

Figure 3.9. OFDM-QPSK performance in a multi-path channel versussignal-to-noise ratio for various speeds of the mobile,subcarriers, 47

Figure 3.10. Forward error coding for OFDM 49Figure 3.11. Coded OFDM performance in a multipath channel 50Figure 4.1: HIPERLAN/2 protocol stack in the AP 55Figure 4.2. Segmentation and reassembly operation 56Figure 4.3. MAC frame structure 57Figure 4.4. Broadcast PDU train with preamble 61Figure 4.5. Downlink PDU train with preamble 61Figure 4.6. Uplink PDU train with short preamble 62Figure 4.7. Direct link PDU train with preamble 62Figure 4.8. PHY layer reference configuration 63Figure 4.10. Scrambler block diagram 65Figure 4.12. Channel coder block diagram 65Figure 4.13. Mother convolutional encoder block diagram 65Figure 4.14. Mapping of data and pilot carriers 68Figure 4.15. Payload section consisting of several OFDM symbols with CP

68Figure 4.16. PHY burst format 69Figure 4.17. Broadcast burst preamble structure 69Figure 4.18. Overview of different PHY bursts: (a) broadcast burst, (b)

Downlink burst, (c) Uplink burst with short preamble, (d) Uplink burstwith long preamble, (e) Direct link burst 71

Figure 4.19. Transmit spectral mask 72Figure 5.1. The OFDM system model 77Figure 5.2. The "OFDM Channel" is a set of parallel Gaussian channels 78Figure 5.3. Resampling a non-sample-spaced channel extends the channel

length 79Figure 5.4. Early and Late Synchronisation result in a longer channel and

can lead to violation of the Cyclic Prefix condition 79Figure 5.5. The ML estimator enables low complexity and time-frequency

interpretation 85Figure 5.6. Comb Spectrum for 85Figure 5.7. FFT-based approaches outperform the SVD-based approaches by

an order of magnitude for spectral shaping systems 86Figure 5.8. Performance of ML and approximate LMMSE estimators 87Figure 5.9. Simplified Time-Frequency grids in OFDM 91Figure 6.1. Effect of early and late synchronisation 97Figure 6.2. Principle of the Schmidl and Cox auto-correlation based timing

synchronisation circuit 101

Page 11: 10.1007%2Fb117438

How to make them work? xi

Figure 6.3. A sequence of inverted training symbols followed by a sequenceof identical training symbols give a more accurate timing acquisition.

102Figure 6.4. Principle of a cross-correlation frame synchronizer 103Figure 6.5. Principle of a frame synchroniser based on the cyclic prefix.. 104Figure 6.6. Transforming a training sequence into a cyclic prefix 105Figure 6.7. Timing estimation accuracy in function of SNR, with the number

of training sequences M as a parameter 106Figure 6.8. Principle of Moose auto-correlation based frequency

synchronisation circuit 107Figure 6.9. Frame/frequency synchroniser based on the cyclic prefix 109Figure 6.10. Frequency estimation accuracy in function of SNR, with the

number of training sequences M as a parameter 110Figure 7.1. Simplified schematic of an OFDM transceiver 114Figure 7.2. I/Q demodulation with I/Q imbalance 117Figure 7.3. The implementation loss versus the difference between the

power of an additional Gaussian noise source and the channel noisepower resulting in a given BER is described by aunique curve 119

Figure 7.4. Implementation loss IL versus the noise power of an additionalGaussian noise source, for powers ranging from 25 dBc to 45dBc. Thisplot relates to 64 QAM transmission at BER of and

120Figure 7.5. BER curve for coded 64QAM, with coding rate on an

AWGN channel without any front-end effect 121Figure 7.6. Schematic of the full link model as modeled in MATLAB for

this study 123Figure 7.7. BER curves for 52 non-zero 64QAM-modulated subcarriers with

a coding rate of 3/4, showing a 3dB implementation loss due tocorruption of the long training symbol not corrected in the equalizer.

124Figure 7.8. The BER curve for uncoded 64QAM resulting from simulation

matches the theoretical one 126Figure 7.9. The optimal clipping level depends on the word-length of the

transmitted symbols 128Figure 7.10. BER curves, showing the implementation loss due to clipping

and quantization noise 129Figure 7.12. Effect of phase noise on 1 OFDM symbol . Legend: *

transmitted symbol, received symbol 133Figure 7.13. Effect of phase noise on all OFDM symbols in the same burst.

Legend: * transmitted symbol, received symbol 133Figure 7.14. Phase noise spectrum 134Figure 7.15. Effect of phase noise on BER for uncoded 64QAM 137

Page 12: 10.1007%2Fb117438

xii OFDM Systems

Figure 7.16. Domains of clipping after clipping operation (on magnitudeof I and Q separately) and after clipping operation (on magnitude of

) 139Figure 7.17. For an input signal clipped at and with +6dBm average

power, the power amplifier is driven up to the limit of saturation for141

Figure 8.7. Robust timing acquisition relies on preamble auto-correlation in combination with signal power monitoring 162

Figure 8.8. The carrier frequency offset estimate feeds a phase accumulatorand a CORDIC to limit CFO on the signal entering the FFT 163

Figure 7.19. The IL is 0.5 dB for at ... 142Figure 7.20. ADC clock jitter effects are included before conversion by an

ideal ADC 143Figure 7.22. SIR versus synchronization location for three test cases: no

analog channel select filter, channel select filters A and B with similarinband ripple (no adjacent channels). Only filter A shows fairly highSIR for a large synchronization range 145

Figure 7.23. Influence of the filter impulse response on the BERperformances for the 3 filters already considered in Figure 7.22, withsynchronization on the sample that shows maximum SIR (uncoded64QAM in AWGN channel). As expected, Filter B shows poor BERperformances 145

Figure 7.24. SIR performance of the filter architecture A in dispersivechannels: the SIR is quite insensitive to the multipath channel impulseresponse 146

Figure 7.25. SIR performance of the filter architecture B in dispersivechannels: this architecture provides similar channel selectionperformances, but shows much more sensitivity to the multipathchannel impulse response 146

Figure 7.26. BER performances evaluation for the example in a Gaussianchannel 148

Figure 8.1. A wireless webcam scenario was chosen as application scenario.152

Figure 8 2. Detailed wireless webcam communication scenario 153Figure 8.3. The design goals for the ASIC are divided upon concept,

implementation, and methodology to make sure that we arrive at animplementable solution 155

Figure 8.4. Partitioning of the ASIC 157Figure 8.5. A radix 2-2 scheme significantly reduces the arithmetic

complexity of the fast Fourier transform 159Figure 8.6. The symbol-based sample reordering (SSR) unit essentially

allows a set of intra-symbol data transfer operations based on a genericarchitecture 160

Page 13: 10.1007%2Fb117438

How to make them work? xiii

Figure 8.9. The Festival equalizer reveals a low-cost solution with feed-forward channel estimation and feedback decision-directed tracking. 163

Figure 8.10. The Carnival equalizer requires an interpolator and divider inaddition to the Festival equalizer since modulation schemes up to 64-QAM need to be processed 164

Figure 8.11. Simple, reference symbol-based channel estimation revealspoor noise-influenced results. The interpolator improves the channelestimate S/N by 2.5 to 3 dB 165

Figure 8.12. The impulse response is truncated and interpolated using a fullyprogrammable transformation matrix operation 165

Figure 8.13. Clock offset is tracked by guard interval correlation andaveraging over multiple OFDM symbols 166

Figure 8.14. The receiver and transmitter token flow exploits a closed looptoken scheme 168

Figure 8.15. The object-oriented desing flow starts from C++ and ends in aconventional HDL-based design flow 170

Figure 8.16. 5 GHz WLAN demonstration setup excluding the poweramplifier board 171

Figure 8.17. Software protocol stack for the webcam application (Windows)and for file transfer or test purposes (Linux) 172

Figure 8.18. The FPGA contains a central processing unit (CPU) thatcoordinates both data transfers (RX and TX) and signalling information(serial protocol, MPI) 173

Figure 8.19. Digital baseband board. Different versions exist for the Festivaland Carnival ASICs because they are not pin-compatible 175

Figure 8.20. Baseband signal processing 175Figure 8.22. Architecture of the digital IF implemented in an FPGA 176Figure 8.23. The integrated front-end has a superheterodyne architecture.

The discrete board set-up uses a similar architecture 177Figure 8.24. Carnival ( CMOS, left) outperforms Festival (

CMOS, right) at the cost of an 30 % area increase only 179Figure 8.25. Non-compliant burst (lower) and preamble (upper) format in

Festival and Carnival ASICs 183Figure 8.27. Proposed automatic gain control architecture 185Figure 8.28. Signal-to-noise ratio and estimation error of the quantized ADC

output 185Figure 8.29. Generic transmitter architecture 187

Page 14: 10.1007%2Fb117438

How to make them work?

List of Tables

Table 2.1. Channel characteristics for set-up 1 and set-up 2 26Table 4.1. Number of OFDM symbols per transport channel excluding

physical layer preambles in function of the modulation and code rate R62

Table 4.2. Mode dependent parameters 64Table 4.3. OFDM parameters 67Table 4.4. HIPERLAN/2 carrier frequencies and EIRP 72Table 4.5. Comparison between HIPERLAN/2 and IEEE 802.11a 73Table 7.1. Implementation Loss on uncoded 64QAM at a BER of due to

quantizing and clipping for variable word-length b and clipping at129

Table 7.2. Implementation Loss on uncoded 64QAM at a BER of due toI/Q imbalance 131

Table 7.4. Simulated Implementation Loss on uncoded 64QAM at a BER ofdue to magnitude clipping, for different normalized clipping factors

(normalized to ) 139Table 8.1. Both Festival and Carnival shall be highly flexible, programmable

ASICs 154Table 8.2. Carnival outperforms Festival with respect to spectral efficiency

and energy efficiency at a moderate increase in area despite asignificantly higher complexity 180

Table 8.3. There is an explosion in code size during top-down refinementsteps 180

Table 8.4. A fair reuse percentage between the two designs was obtaineddespite significant algorithmic changes 181

Page 15: 10.1007%2Fb117438

How to make them work?

Preface

Wireless Local Area Networks (WLANs) experience a growingpopularity recently. Where WLANs were primarily used for nicheapplications in the past, they are now deployed as wireless extensions tocomputer networks. The increase of the datarates from 2 Mbps up to 11Mbps for roughly a constant price has played a major role in thisbreakthrough.

As a consequence, an even greater success can be envisioned for themore recent OFDM-based WLAN standards in the 5 GHz band, which offerup to 54 Mbps. At IMEC we have realised this potential already severalyears ago and have established a successful research programme on OFDM-based WLAN.

In 1995, we started our research on wireless OFDM in the frame of a co-operation project with SAIT, a Belgian telecom company. The goal of theproject was to establish a robust network for industrial environments. Thisresulted in a first OFDM chip, supporting QPSK, for wireless networking atthe end of the project (1999).

1999 was also the start of an intense co-operation with NationalSemiconductor Inc., which resulted in a second generation ASIC in 2000.This OFDM processor supports up to QAM-64 and has a more optimalchannel estimation algorithm.

Meanwhile, we have set-up a co-operation program, which currentlyincludes more then 10 partners. In the program we expanded our activitiesfrom the digital baseband signal processing towards the 5GHz front-end andthe MAC implementation. We also defined a roadmap to realise WLANsystems with an indoor range up to 100 meters and a capacity beyond 100Mbps. The first goal requires for techniques like adaptive loading and turbo

Page 16: 10.1007%2Fb117438

xviii OFDM Systems

coding. For increasing the network capacity, multiple antenna techniquesplay an essential role.

To let a wider audience profit from our long-time experience withimplementing WLAN OFDM systems, we also developed a tutorial, whichhas been delivered several times with great success. Recently, however, theinterest for wireless OFDM is spreading in new application domains with arapid increase of the interested audience as a consequence. For instance,wireless access standards are adopting OFDM based solutions, it wasproposed for wireless personal area networks and it is heavily promoted as acandidate for next generation mobile networks. This led us to the idea to putour tutorial material down into a manuscript.

The result of this effort is in front of you. We hope that you enjoy readingit and that it is useful in your professional work.

Marc Engels

Page 17: 10.1007%2Fb117438

How to make them work?

Contributors

Boris Come is the leader of the architecture design team in the mixed-signal and RF applications (MIRA) group of IMEC. Boris was born inFrance in 1974. He graduated from the National Engineering School ofElectronics in Toulouse, ENSEEIHT, in June 1998. As part of his studies, heperformed two internships: the first one was a three-month summerstay inESA-ESTEC in 1997; the second one a four month internship, from Marchto June 1998, at IMEC. After graduating, he started working in the MIRAgroup at IMEC. His main research interests are in the design of mixed-signaland RF front-ends for digital telecom system. For the past 2 years, he hasbeen working on system specification and architecture definition forHIPERLAN/2 and IEEE 802.1la compliant WLAN transceivers. His mainfocus was the RF module for which a single package solution is targetted.

Luc Deneire received the Engineering degree in Electronics from theUniversity of Liege (Belgium) in 1988, the Engineering degree inTelecommunications from the University of Louvain-La-Neuve in 1994 andthe Ph.D. degree in Signal Processing at Eurecom, Sophia-Antipolis, Francein 1998. During this time, he was a Marie Curie Fellow. In 1999, he wasconsultant for Texas Instruments, Villeneuve-Loubet, France, for the UMTSbase-station signal processing requirements. Since late 1999, he is a seniorresearcher at IMEC, the largest European independent research institute inMicroelectronics. He is working on the signal processing algorithmsinvolved in wireless communications, specifically for third generationmobile network, Wireless LANs and Wireless Personal Area Networks. Hismain interests are blind and semi-blind equalisation and channel estimation,modulation theory, multiple access schemes, smart antennas and linkadaptation. He is the author of more than 40 conference and journal papers.

Page 18: 10.1007%2Fb117438

xx OFDM Systems

Wolfgang Eberle received the M.S. degree in Electrical Engineeringfrom Saarland University, Saarbruecken, Germany, in 1996 withspecialization in microwave engineering and telecommunication networks.He joined the Wireless Systems Group of IMEC in 1997 working onalgorithm development and digital VLSI architecture design for OFDM-based wireless LAN modems. In 2000, he joined the Mixed-Signal and RFApplications Group of IMEC where he now focusses on system-level mixed-signal aspects including digital compensation of receiver nonidealities,power-efficient transmitters, and design methodologies, applied to wirelessLANs. He is also working towards the Ph.D. degree in ElectricalEngineering at the Katholieke Universiteit Leuven, Belgium.

Marc Engels is co-founder of LoraNet, a new company in fixed wirelessaccess, and responsible for research and product development. The companywill focus on sub 11 GHz systems that operate under non line-of-sightconditions. Technologies involved are Orthogonal Frequency DivisionMultiplexing (OFDM) and Space division multiple access (SDMA). Before,Marc Engels was the director of the wireless department at IMEC, focussedon the implementation of telecommunication systems on a chip. For thesesystems, he overlooked research on the DSP processing, the mixed-signalRF front-end and the software protocols. He was also active in designmethods and tools for implementing multi-disciplinary systems. Under hissupervision, several systems have been realised, including a 54 MbpsWLAN terminal, a GPS-GLONASS receiver, a DECT-GSM dual modephone, a cable modem, etc. Previously, Marc performed research at theKatholieke Universiteit Leuven, Belgium, Stanford University, CA, USA,and the Royal Military School, Brussels, Belgium. Marc Engels received theengineering degree (1988) and the Ph.D. (1993), both from the KatholiekeUniversiteit Leuven, Belgium. Marc Engels is a visiting professor of telecomsystem design at the Katholieke Universiteit Leuven and of embeddedsystem design at the University of Lugano, Switzerland. He is an activemember of the KVIV telecommunications society and URSI, secretary of theIEEE Benelux chapter on vehicular technology and telecommunications andmember of the board of directors of SITEL. He is currently an associatededitor for the Wirelss Personal Communications journal and was associatededitor of IEEE transactions on VLSI in 1999-2000.

Bert Gyselinckx is heading the Wireless Systems group of IMEC. Thisgroup performed projects in the fields of WLAN, broadband satellitecommunication, navigation systems and cellular communication. His mainresearch interests are in spread-spectrum, wireless communications andVLSI systems. Bert received the M.S. degree in Electrical Engineering from

Page 19: 10.1007%2Fb117438

How to make them work? xxi

the Rijksuniversiteit Gent, Belgium, in 1992 and the the M.S. degree in Airand Space Electronics from the Ecole Nationale Superieure del'Aeronautique et de l'Espace, Toulouse, France, in 1993. Previously heworked for the Research and Development group of Siemens in Munich,Germany.

Jean-Paul Linnartz is a Department Head with the NatuurkundigLaboratorium (Nat.Lab.) of Philips Research, at Eindhoven, TheNetherlands. Here, as a Principal Scientist he studied the protection of audioand video, in particular through the technology of electronic watermarking.In 1992-1993,he was an Assistant Professor at the University of California atBerkeley, where he worked on random access for wireless networks. In1993, he was the first to use the name Multi-Carrier CDMA in one of thefirst papers on the combination of OFDM with CDMA. In 1991, he receivedhis Ph.D. cum laude on multi-user mobile radio networks from DelftUniversity of Technology, The Netherlands. He has twenty (pending) patentsin the field of electronic watermarking, copy protection and radiocommunications. He authored over 100 papers, he is founding Editor-in-Chief of "Wireless Communication, The Interactive Multimedia CD-ROM",and he has been guest editor for two special journal issues on Multi-CarrierModulation.

Reto Ness received the engineering degree in Electrical Engineeringfrom the University of Karlsruhe, Germany and from the Ecole NationaleSupérieure d”Electronique et de Radioélectricité de Grenoble, France, in1999. He carried out his thesis in the Wireless Systems group at IMEC,,Belgium, where he focussed on narrow-band interference cancellation inOFDM-based WLANs. Currently, he works in the development departmentof Tenovis GmbH & Co. KG, Germany.

Steven Thoen was born in Leuven, Belgium, in 1974. He received thediploma of electrical engineering from the Catholic University of Leuven,Leuven, Belgium in 1997. In October 1997 he joined IMEC where he iscurrently finishing the Ph.D. degree from the Catholic University of Leuven,Leuven, Belgium. During this period, he spent 6 weeks as a visitingresearcher at the Information Systems Lab, Stanford University, Palo Alto,California, USA. His current research interests lie in the area of digitalcommunication theory including multiple antenna systems, OFDMmodulation, adaptive modulation and wireless systems. He has authoredseveral papers and one patent on these topics.

Jan Tubbax is a PhD student at the K.U.Leuven, Who performs itsresearch in the Wireless Systems (WISE) group of IMEC. The subject of his

Page 20: 10.1007%2Fb117438

xxii OFDM Systems

Ph. D. research is the design of a high-performance, low-cost wireless LANsystem Jan Tubbax received his M.S. degree as Electrical Engineer,telecommunications in 1998 from the Department of Electrical Engineering(ESAT) at the Katholieke Universiteit Leuven, Belgium. The subject of hisM.S. thesis was a study on the performance of protocols for wireless andmobile communications.

Patrick Vandenameele is the chief systems architect for ResonextCommunications, a fab-less semiconductor company based in San Jose, CA,USA, developing and marketing end-to-end two-chip Wireless LANsolutions. Patrick is responsible for the specifications and architectures ofboth the PHY and MAC functions. Also, he leads the company’s systemsengineering team located in Leuven, Belgium. Before joining ResonextCommunications, he was a researcher in the Wireless Systems group atIMEC. His research, funded by an IWT scholarship, resulted in low-complexity detection algorithms for OFDM/SDMA, including solutions toreal-world problems such as channel estimation, synchronization, powercontrol and the integration in a multiple-access protocol. Patrick received theengineering degree (1996) and the Ph.D. degree (2000), both from theKatholieke Universiteit Leuven, Belgium. His thesis, entitled Space DivisionMultiple Access for Wireless LANs, was published by Kluwer AcademicPublishers. During his studies, he did internships at ST-Microelectronics,Crolles, France; Sirius, Montpellier France; ENST, Paris, France; KTH-Electrum, Kista, Sweden; and the Smart Antennas Research Group atStanford University, CA, USA.

Liesbet Van der Perre received the M.Sc. degree in ElectricalEngineering from the K.U.Leuven, Belgium, in 1992. She performed herM.Sc. thesis research at the ENST in Paris, France. She received the Ph.D.degree in Electrical engineering from the K.U.Leuven in 1997. Currently,she is the director of IMEC’s wireless program. Her work focuses on systemdesign and digital modems for high-speed wireless communications. Shewas a system architect in IMEC’s OFDM ASICs development, and theleader of the turbo coding team. Also, she is a part-time professor at theUniversity of Antwerp, Belgium.

Page 21: 10.1007%2Fb117438

How to make them work? xxiii

Acknowledgements

This book was only possible with the help and support of many people.In the first place, I like to thank all the authors that contributed to the variouschapters. I am particularly indebted to Liesbet Van der Perre, who alsocontributed to the concept of the book.

The material in the chapters is the result of the wireless program atIMEC. I am grateful to all the people that worked with me in this programduring the last 7 years and realised these excellent results.

Finally, a word of thanks is due to my wife Els and my three daughtersHeleen, Laura and Hanne for their patience and support.

Marc Engels

Page 22: 10.1007%2Fb117438

Chapter 1

IntroductionThe world goes wireless!

Marc EngelsIMEC

1.1 A CONNECTED WORLD EMERGES

About a decade ago, the telecommunication infrastructure was targetedtowards fixed analogue telephony, with support for voice and narrow banddata communication. In 10 years, the digitalisation of the communicationinfrastructure, the support for broadband access at home and the success ofmobile phones have changed this situation completely.

End-to-end digitalisation of the telecom network was achieved with theintroduction of the Integrated Services Digital Network (ISDN) [1].

Page 23: 10.1007%2Fb117438

2 Chapter 1

However, market success was limited due to the lack of interesting services.This changed dramatically with the fast take-up of the Internet by businessand residential customers in the ‘90s (see Figure 1.1).

The success of the Internet rapidly created a market for data pipes toindividual users. The 128 kbps of ISDN was no longer considered sufficient.Hence, Asymmetrical Digital Subscriber Line (ADSL) [2] and cable modem[3] technologies were developed to increase the datarate to several hundredskbps, with a theoretical maximum of 10 Mbps. Very high speed DigitalSubscriber Line (VDSL) technology [4] is currently investigated. It willfurther increase the datarate up to 52 Mbps.

In the same time frame, digital mobile communication experienced anextraordinary success: the number of mobile phones doubled every twoyears (see Figure 1.2). Europe was a forerunner in this evolution because ofthe unified GSM (Groupe Speciale Mobile) standard [5]. In the U.S., on thecontrary, a multitude of standards was deployed, IS-136 [6], based on Time-Division Multiple Access (TDMA) and IS-95 [7], based on Code DivisionMultiple Access (CDMA).

The logical next step would be to bring these two trends together andcreate the wireless Internet. The wireless Internet will not be a singletechnology, but rather a collection of different systems (see Figure 1.3).Third generation mobile systems [8] will be the wide area network (WAN)that provides up to 2 Mbps to stationary users, while offering up to 384 kbpstowards fast moving terminals. Research for fourth generation systems, thatwill provide at least 10 Mbps, has already started [9]. For indoor wirelesslocal area networks (WLAN), standards (HIPERLAN/2 in Europe [3]; IEEE802.11 in US [11]) are available that offer up to 54 Mbps. Also for the

Page 24: 10.1007%2Fb117438

Introduction 3

wireless local loop (WLL), the wireless first mile solution, standards withsimilar performances are under construction (HIPERMAN in Europe andIEEE 802.16a in US). Further generations of these WLAN and WLLstandards with datarates beyond 100 Mbps can be anticipated. Extension ofthe wireless Internet to a large set of personal devices is foreseen withpersonal area networks (PAN). An example is the current bluetooth system[12] that offers a peak data rate of 721 kbps.

1.2 WIRELESS OFDM: THE NEXT TECHNOLOGYWAVE

The systems that constitute the wireless Internet operate in largelydifferent environments. Some can still be considered as narrow-band (e.g.bluetooth) while other, like WLANs, have broadband characteristics. Forsome systems the performance is limited by the signal-to-noise ratio (SNR)and for others the interference from other cells is the limiting factor. Toadapt to these environmental aspects the wireless systems apply various airinterfaces. They can be grouped in three main technology waves thatconsecutively appeared and became popular.

Early wireless technologies consisted of TDMA-based single carriercommunication. This technology is ideally suited for narrow-band single-cellcommunication. Therefore it was adopted in second generation mobilephones (e.g. GSM [5]), cordless telephony (e.g. DECT [13]) and morerecently in first generation personal area networks (e.g. bluetooth [12]).

Page 25: 10.1007%2Fb117438

4 Chapter 1

When a limited spectral efficiency is acceptable, constant modulus schemes,like Gaussian Minimum Shift Keying (GMSK)[14], are the most costeffective. To increase spectral efficiency, M-ary Phase Shift Keying (M-PSK) or M-ary Quadrature Amplitude Modulation (M-QAM) schemes areused. The performance of these schemes is limited by the received signal-to-noise ratio.

In a cellular system, however, the performance is not limited by thesignal-to-noise ratio but rather by the interference from neighbouring cells,called co-channel interference. For a TDMA system this implies thatfrequencies are only being re-used in cells which are sufficiently far fromeach other, reducing the overall network bandwidth–efficiency. Analternative is to use systems that can cope with the co-channel interference.Code division multiple access, based on spread spectrum communication,has this property. As a consequence, it became a second technology wavethat was adopted in second and third generation cellular mobilecommunication systems (e.g. IS-95 [7]and W-CDMA [8]) and in wirelesslocal area networks (e.g. IEEE 802.11b [11]).

In a multipath environment (e.g. indoor wireless networks) broadbandcommunication will result is a frequency selective channel response, asshown in Figure 1.4. In such a situation, the above technologies do not workoptimally and a modulation scheme is needed that is better suited to theenvironment. For this reason, Orthogonal Frequency Division Multiplexing(OFDM) became very popular recently. It is, for instance, used in theHIPERLAN/2 [3] and IEEE 802.11a [11] WLAN standards as well as in thedraft IEEE 802.16a WLL standard [15]. As a consequence, it can beconsidered as the next technology wave. For instance, it is, potentially

Page 26: 10.1007%2Fb117438

Introduction 5

combined with CDMA, one of the strong candidates for generationcellular systems.

1.3 WIRELESS OFDM SYSTEMS

Although the principle of OFDM communication has been around forseveral decades, it was only in the last decade that it started to be used incommercial systems. The most important wireless applications that make useof OFDM are Digital Audio Broadcasting (DAB), Digital VideoBroadcasting (DVB), wireless local area networks (WLAN), and morerecently wireless local loop (WLL).

The DAB system was originally developed by the Eureka 147 project[16]. It is a novel audio broadcasting system [17] intended to supersede theexisting analog Amplitude and Frequency Modulation (AM, FM) systems. Itis rugged, yet highly spectrum and power efficient. It has been designed forterrestrial and satellite as well as for hybrid and mixed delivery. DAB is seenas the future of radio as it makes more efficient use of crowded airwaves andprovides CD-quality sound that is noticeably better than an FM analogbroadcast. DAB broadcasts are virtually immune for interference and fading(i.e. programs are not suddenly lost when the car passes through a tunnel orunder power lines). One of the principal advantages of switching to DAB isthat a single frequency (called a "Multiplex") can carry up to six stereo or 12mono services or any combination in between. DAB also allows going

Page 27: 10.1007%2Fb117438

6 Chapter 1

beyond audio and using some of the "Multiplex" capacity to transmit datathat is not related to programming, such as financial data and digital video.

DAB makes use of an OFDM transmission scheme with differentialQPSK (Quadrature Phase Shift Keying) modulation. Four different modesare specified to cope with different multipath delays (up to a difference inpropagation distance of 73,8 km). All of them transmit a signal with abandwidth of approximately 1.54 MHz.

One of the key benefits of using OFDM for DAB is the ability toestablish single frequency networks (Figure 1.5). In a single frequencynetwork several geographically separated transmitters, send the same signalsimultaneously. As a consequence, any receiver receives the sum of thesetransmitted signals each with a different propagation delay. As long as thedifferences between these propagation delays are substantially smaller thanthe guard interval, the OFDM system will work with neglectibleperformance degradation.

The DVB system [19] is very similar to the DAB standard but is intendedfor broadcasting of digital television signals. MPEG-2 source compression isused to reduce a standard television channel to approximately 3 Mbps and ahigh definition TV channel to 20 Mbps. Because of the higher datarates, theDVB system uses a 8 Mhz bandwidth. The subcarriers in the OFDM signalare also modulated with a higher order QAM constellation, with up to 64points.

Third generation WLAN systems are intended to offer high datarates inthe 5 GHz frequency band. The standardization is taking placesimultaneously in the U.S., Japan and Europe. The IEEE is working on theIEEE 802.11a standard for the U.S. The Multimedia Mobile AccessCommunication Systems (MMAC) group [14] of the Japanese Associationof Radio Industries and Businesses (ARIB) establishes the HiSWANastandard. The European Telecommunication Standards Institute (ETSI) has aworkgroup on Broadband Radio Access Networks (BRAN) that develops theHIPERLAN/2 standard [3]. All three standards have very similar physicallayers optimized for indoor environments with a large amount of multipathwith limited delays. The communication is based on OFDM in a 20 MHzbandwidth. Per subcarrier, the modulation scheme ranges from BPSK(Binary Phase Shift Keying) up to 64-QAM. Together with a variable error-coding rate, this allows the datarate to be adapted from 6Mbit/s to 54Mbit/s,depending on the propagation channel conditions.

Wireless local loops provide high speed Internet access and multimediaservices to fixed users. They are a competitive technology to VDSL andcable modems. Licensed frequency bands are reserved for WLL systems: 2.4GHz in the US and 3.5 GHz in Europe. Up till now, a large variety ofsystems exists, keeping the production volumes low and the cost of theequipment high. To remedy this situation, which prevents success in the

Page 28: 10.1007%2Fb117438

Introduction 7

market, a worldwide standardization effort was started. In the US, the IEEE802.16 committee is working towards a standard medium access control(MAC) standard that can work with multiple physical layers. It defines aphysical layer for bands above 11 GHz, one for the licensed bands between 2GHz and 11 GHz (IEEE 802.16a), and one for the unlicensed bands between2 GHz and 11 GHz (IEEE 802.16b). At the same time, ETSI BRAN hasestablished workgroups for WLL systems below 11 GHz (HIPERMAN) andabove 11 GHz (HIPERACCESS). The activities of both standardizationcommittees are being aligned to come up with compatible systems in bothstandards.

The sub 11 GHz standards are focussing on non line-of-sight situationsthat experience multipath propagation with relative long delays (up to 20ms). They support a large variety of bandwidths with a maximum of 28MHz, resulting in a maximum datarate of more than 100 Mbps. One of thesupported modulation schemes is based on OFDM. Per subcarrier, QPSK,16-QAM or 64-QAM is used. Also multiple error-coding rates aresupporting. Modulation and error-coding rate are adapted depending on thepropagation channel conditions.

1.4 STRUCTURE OF THE BOOK

Because of the growing popularity of OFDM, an increasing number ofengineers are involved in designing OFDM transceivers. Although thetheory of OFDM is well understood, implementation aspects of OFDMsystems are seldom discussed. This book fills this gap and gives acomprehensive overview of the implementation of OFDM systems. Thebook capitalises on the large experience of the authors with theimplementation of OFDM base WLAN systems.

After this first introductory chapter, we discuss the indoor propagationchannel. Knowledge of the propagation properties is essential for severalaspects of the receiver design (e.g. channel estimation methods). As aconsequence, the first task in any wireless system design is to establish anaccurate channel model.

Next, we review the OFDM basics in chapter 3. This will result in asystem model that will serve as a reference in the remainder of the book.Besides an overview of well-known theory, the chapter contains also somenew material on the introduction of Doppler effects in this system model.

The fourth chapter introduces the WLAN standards. Most material in thischapter deals with physical and medium access control layers of theHIPERLAN/2 standard. However, also a comparison with the IEEE 802.11aand the Japanese HiSWANa standards is included.

Page 29: 10.1007%2Fb117438

8 Chapter 1

The next two chapters are devoted to baseband implementationchallenges. In chapter 5 we present several channel estimation algorithms.We show that large performance differences exist between the estimationmethods. In chapter 6, we examine the various synchronisation problems andsolutions for OFDM modems.

An OFDM transceiver does not only consist of a baseband circuit butalso needs a radio that translates the signal to and from its carrier frequency.Several effects of this radio part have a considerable influence on theperformance of the OFDM system. In chapter 7, these effects are analysed indetail.

Finally, in chapter 8, we put everything together and show some practicalimplementations of OFDM systems.

REFERENCES

[1]

[2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

[10]

[11]

[12]

I. Dorros, “ISDN”, IEEE Communications Magazine., Vol. 19, March 1981, pp.16-19.D.L. Waring, J.W.Lechleider, T.R.Hsing, “Digital Subscriber Line TechnologyFacilitates a Graceful Transition from Copper to Fiber”, IEEE CommunicationsMagazine, Vol. 29, March 1991, pp. 96-103.D. Fellows, D. Jones, “DOCSIS cable modem technology”, IEEECommunications Magazine, Vol. 39, issue 3, March 2001, pp. 202-209.J.M.Cioffi, V.Oksman, J.-J.Werner, T. Pollet, P.M.P. Spruyt, J.S. Chow, K.S.Jacobsen, “Very-high-speed digital subscriber lines”, IEEE CommunicationsMagazine, Vol. 37, Issue 4, April 1999, pp. 72-79.M.Rahnema, “Overview of the GSM system and protocol architecture”, IEEECommunications magazine, Vol. 31, Issue 4, April 1993, pp. 92-100.N.R. Sollenberger, N. Seshadri, R. Cox, “The evolution of IS-136 TDMA forthird-generation wireless services”, IEEE Personal Communications, Vol. 6,Issue 3, June 1999, pp. 8-18.D.N. Knisely, S. Kumar, S. Laha, S. Nanda, “Evolution of wireless dataservices: IS-95 to cdma2000”, IEEE Communications Magazine, Vol. 36, Issue10, Oct. 1998, pp. 140-149.T. Ojanperä, R. Prasad, “WCDMA: Towards IP Mobility and Mobile Internet”,Artech House, 2001.A. Bria, F. Gessler, O. Queseth, R. Stridh, M. Unbehaun, J. Wu, J. Zander, M.Flament, Wireless Infrastructures: sceanrios and ResearchChallenges”, IEEE Personal Communications, Vol. 8, No. 6, December 2001,pp. 25-31.ETSI TS 101 475, Broadband Radio Access Networks (BRAN); HIPERLANType 2; Physical (PHY) layer.R. van Nee, G. Awater, M. Morikura, H. Takanashi, M. Webster, “New high-rate wireless LAN standards”, IEEE Communication Magazine, Vol. 32, No.12, December 1999, pp. 82-88.B. Chatschik, “An overview of the Bluetooth wireless technology”, IEEECommunication Magazine, Vol. 39, Issue 12, December 2001, pp. 86-94.

Page 30: 10.1007%2Fb117438

Introduction 9

[13]

[14]

[15][16][17]

[18]

[19]

[20]

W.H.W. Tuttlebee, ”Cordless personal communications”, IEEECommunications Magazine, Vol. 30, Issue 12, Dec. 1992, pp. 42-53.K. Feher, “Wireless Digital Communications: Modulation and Spread SpectrumApplications”, Prentice-Hall, 1995.IEEE 802.16, http://grouper.ieee.org/groups/802/16/index.htmlThe Eureka 147 consortium, http://www.eurekadab.org/index.htmlAlard M., Lasalle R., “Principles of Modulation and Channel Coding for DigitalBroadcasting for Mobile Receivers”, EBU Review, August 1987,pp. 168-190.Le Floch B., Halbert-Lassalle R., Castelain D., “Digital Sound Broadcasting toMobile Receivers”, IEEE Trans. On Consumer Electronics, Vol. 35, No. 3,August 89.Sari H., Karam G., Jeanclaude I., Transmission Techniques for DigitalTerrestrial TV Broadcasting”, IEEE Communication Magazine, February 1995,pp. 100-109.Multimedia Mobile Access Communication (MMAC) Systems,http://www.arib.or.jp/mmac/e/index.htm

Page 31: 10.1007%2Fb117438

Chapter 2

Understanding the indoor environmentRadio propagation models

Liesbet Van der Perre, Reto Ness, Steven Thoen, Patrick Vandenameele, MarcEngelsIMEC

2.1 INTRODUCTION

‘(S)He who does not know the channel, can never be a good radioengineer’, a wise man said [1]. Indeed, a good understanding of thepropagation problem, is a key factor to success in designing wirelesscommunication systems. Therefore, we dedicate this chapter to radiopropagation modelling, specifically for systems based on OFDM-transmission.

Traditionally, radio engineers like to dispose over channel models, whichthey can use in their system conception. Such models necessarily form atrade-off between the following major criteria:1. On the one hand, the channel model should reflect as good as possible the

physical propagation phenomena, in order to serve as a reliable base inthe system research and design.

2. On the other hand, for system simulations and design considerations, onewants to dispose over a model which is as simple as possible.

3. Finally, in wireless transceiver design, not primarily an accurate modelfor one specific geometric situation, but rather a comprehensive set ofchannel responses (including typical and worst case situations) is needed.As such, it is evident that propagation modelling should be performed

keeping in mind the application for which it is intended [2] [4].Correspondingly to the above criteria, this chapter aims to introduce basicradio propagation and channel models for OFDMbased systems, without the

Page 32: 10.1007%2Fb117438

12 Chapter 2

objective of providing a complete survey of propagation mechanisms, whichforms a scientific discipline by itself.

The focus in this chapter is on indoor wireless propagation, as thisenvironment is the main object of recent OFDM-based standards and systemdevelopments. We discuss three major effects:1. Propagation losses: radio waves travelling through the ether undergo

severe attenuation, as a consequence of what are generally called ‘large-scale’ path losses. When doing communication system simulations ingeneral and analysing a modem’s performance in particular, the ‘averagereceive level’ is often used as a reference value. It is therefore importantto get an idea of what the typical values are one can expect to find for thisparameter. Section 2.2 discusses the basic theory and practice ofassessing propagation losses.

2. Time dispersion: radio waves often face multiple reflections due to thepresence of all kinds of obstacles (walls, furniture...), leading tomultipath propagation. As a consequence, fading effects are experiencedat the receiver. Focussing on broadband indoor communication, thisfading can be characterised as frequency selective and time invariant.Section 2.3 introduces fading terminology, applies it for broadbandindoor communication, and presents some typical channel responsesgenerated by simple ray tracing.

3. Doppler effects: a wireless channel can never be guaranteed to be time-invariant, as persons and objects in the environment can always move. Asa consequence, Doppler effects also need to be taken into account.Section 2.4 introduces basic definitions for time varying channels. Thepossible disastrous effects on OFDM-transmission are warned for. A newmodel for time-variant channel effects in indoor environments isproposed, where the reflectors in stead of the communicating terminalsare moving.

2.2 PROPAGATION LOSSES

Monitoring the budget is definitely a motto in wireless communicationsystem design: not only does the severe competition force one to come withcost-effective solutions, also the link budget asks for careful accountancy.The link budget is the resulting signal to noise ratio at the receiver for agiven transmit power. A significant part of the link budget is made up by thepropagation loss, which is also generally called ‘large-scale’ path loss. Fromthe overall link budget, achievable Quality of Service (QoS) parameters(such as net data rate and error rate) can be predicted, as elaborated in [13].

The propagation loss can be exactly predicted in a ‘free space’ situation,which we introduce as a reference case in paragraph 2.2.1. For severe

Page 33: 10.1007%2Fb117438

Understanding the indoor environment 13

multipath propagation no exact analytical formula is available. Therefore anexperimentally determined expression is proposed in paragraph 2.2.2. It is ofpractical use for statistical analyses, provided that appropriate parameters areavailable, Some guidelines for finding more accurate predictions in specificsituations are given in paragraph 2.2.3.

The other major term in the link budget is the noise and interference thatis experienced by the receiver. They are discussed in paragraph 2.2.4.

2.2.1 Free space propagation

When James Clerk Maxwell in 1864 described the ‘Laws ofElectrodynamics’ and formulated the famous equations named after him,experimental physics were not ready to confirm these phenomena withpractical experiments. In 1887 Heinrich Hertz succeeded in validatingMaxwell’s ideas by impressive experiments: the proof of propagation ofelectromagnetic waves in free space was a fact [11] [12], Consequentlydifferent radio engineering pioneers contributed to the effective realisation ofinformation transfer by radio propagation pioneers. Little could they foreseethe impact of their work on society more than a century later!

From the basic radio equation, the signal loss in free space can becalculated as given by following formula:

Where and are respectively the received and transmitted power,and the gains of the transmitting and the receiving antenna, thewavelength, and d the link distance.

It is important to remark that, even in a completely free space, the powerdecays with the square of the distance. As a simple example, we can assumeisotropic antennas and an operating frequency of 5 GHz. For distancesvarying between 10 and 50 meter, we find that the free space loss variesbetween 65 dB and 80 dB.

Although the simplicity and exactness of the basic radio equation is veryattractive for a radio engineer designing a system, one should be very awareof its limited validity. Indeed, the term ‘free space’ needs to be takenliterally. In practical systems it applies when the ‘Line of Sight’ (LOS) (seesection 2.3) contribution to the received signal is principally dominant. Suchsituations occur when e.g. very directive antennas are used in satellitecommunications. Clearly, as OFDM is primarily used because it allowscoping elegantly with multipath propagation, we can not rely on free spaceconditions in the given context.

Page 34: 10.1007%2Fb117438

14 Chapter 2

2.2.2 Statistical estimation

Also in environments where multipath propagation is an essential part tothe communication, we need to estimate the path loss, being the attenuationthat an electromagnetic wave experiences when propagating over the air.The path loss is usually expressed in dB

Where S is the path loss of a path of d meter, and S typically alsodepends on the environment E. Clearly, expression (2.2) gives a purestatistical prediction, and should not be used for determining the precisesignal strength in a specific location.

Following the wish to be able to dispose over equations for the large-scale signal loss which are similarly simple as in free space, researchers havetried to find simple analytical expressions which match channelmeasurements. A typical example of an approximate expression for theoverall signal loss in an indoor environment is given in following equation[6]:

Where the parameters a and b depend on the operating frequency, thegeometry of the environment, and on whether there is a LOS component ornot (i.e. Obstructed line of sight or OBS situation). Physically, the parametera can be interpreted as the exponent of decay of the received power with thedistance, while and b are curve-fitting parameters.

As a typical example, for an OBS situation at frequencies around 5GHz,parameters giving a good correspondence to measurements are:dB, and Obviously, the decay of the received power withthe link distance is even more severe than in free space! For distancesbetween 10 and 50 meter this gives S between 80 dB and 105 dB. As aconsequence, if one wish to design OFDM-based wireless indoorcommunication with a range of some dozens of meters, a serious challenge isinvolved to devise receivers that can work at a low SNR.

It is clear that, due to the increased losses by transmission through wallsand reflections, the signal losses in an OBS-position depend much strongeron the specific geometry and the link distance than in a free space or LOSsituation. From the frequency dependencies, the following conclusions canbe drawn if wireless indoor communication systems below or above 5GHzare considered:

Page 35: 10.1007%2Fb117438

Understanding the indoor environment 15

Since lower frequencies get less attenuated, they allow to cover a largerrange with a single basestation. OFDM-systems complying with astandard for the 2.4 GHz range, can benefit from this effect.For significantly higher frequencies, obstacles behave as isolators.Consequently, the range at which a signal can be received decreasesdrastically. On the other hand, the reuse of frequencies is augmented.This feature can be exploited advantageously for setting-up high-capacitypico-cellular networks.Also for outdoor communication, similar expressions have been found to

provide a good approximation of actually measured losses. The exponent ofdecay of the power can vary from 2 (for free space) to 5, depending on theenvironment (rural, urban,..).

2.2.3 Deterministic models

For some applications, the information that is output by typical statisticalmodels for propagation losses is not sufficient to perform system analysisand simulations. In such cases, a deterministic or also called geometricalmodel is often preferred. Thereto, the exact path loss is estimated from thetransmissions and reflections encountered on the path between transmitterand receiver.

In [7], a rule of thumb is given for the losses by transmission throughwalls, dividing walls into three categories with corresponding losses: light(1.5 dB), medium (5 dB), and heavy (10 dB).

In [8], a more rigorous approach to calculate reflection and transmissioncoefficients of walls is given. It is quite evident that these depend on thethickness and the material of the wall, and the frequency of theelectromagnetic waves. The derivation of exact expressions is however notstraightforward, since the characteristics of materials are often frequencydependent in a difficult to reveal manner. Recently, freeware also becameavailable [9], which allows calculating interesting parameters fordeterministic path loss determination.

2.2.4 Noise and interference

Successful communication depends on how good a receiver can retrievethe information out of the received signals. Even if there is very littleattenuation and distortion on the channel, reception is far from perfectbecause of the noise that is inevitably superposed on the signals. The term‘noise’ is used for all undesired signals that add up to the actual information.For most communication systems, the signal-to-noise ratio is crucial for thequality of the link.

Page 36: 10.1007%2Fb117438

16 Chapter 2

We distinct noise of natural origin on the one hand, and generated byhumans on the other hand. We call the latter category interference.

2.2.4.1 ‘Natural’ noise

An important source of ‘natural’ noise is thermal noise. Thermal noise iscaused by electrons, whose mobility is influenced by temperature. Therefore,it is physically impossible to build a completely noise-free electrical system,although one can try to minimise the influence of thermal noise duringsystem design. Since the thermal movements of electrons are random innature, so are the noise signals resulting from them. Thermal noise has auniform frequency content, which we call ‘white’, and a temperature-dependent spectral density

in which k is the Boltzmann constant en T thetemperature in Kelvin degrees.

Other sources of natural noise that are relevant for wireless links areamong others atmospheric disturbances and radiation of the sun and otherelements in our milky way and beyond. While we can notice these effects onoutdoor radio channels, for example when listening to the radio during athunderstorm, they have no perceptible influence on indoor communication.

2.2.4.2 Interference

Human activity also generates a lot of noise in the ether. A majorcontribution comes from other transmitters emitting radiation in the samefrequency band. Spectrum regularisation aims to prevent this kind ofinterference. Also, electrical equipment (as well residential as industrial) isseldom radiation-free.

Recently, OFDM-systems are considered for increasing the data-rate ofwireless systems in the unlicensed 2.4 GHz ISM-band. Strong narrowbandinterference, due for instance to the leakage of microwave ovens orinterfering wireless communication systems operating in the same band,severely hampers the performance of these systems

To simulate two major types of narrowband interference we developed aflexible complex baseband model for the interference i(t), given by [14]:

With this model, two types of interference where modelled:

Page 37: 10.1007%2Fb117438

Understanding the indoor environment 17

a) Microwave oven emission: The carrier frequency is set to the designfrequency of the magnetron in the baseband ( Hz for a basebandcenter frequency of 2.45 GHz). A(t) is a rectangular waveform with afrequency of 50 Hz to model the switching of the magnetron due to itspower supply. We constructed to match the results of broadbandmeasurements [17] in the time and frequency domain. The spectrogramof a typical interfering signal generated by this model is given in Figure2. 1a.

b) Frequency hopping interference: The amplitude being constantwe apply frequency shift keying, with a continuous phase, to modulatethe carrier using a pseudo-random BPSK sequence with a symbol periodof For we use a pseudo-random hopping sequence offrequencies spaced by 1 MHz within a bandwidth ranging from –10 to 10MHz. The hopping rate is 1600 Hz, as used in the Bluetooth system.Figure 2.1b shows the spectrogram of a typical interfering signalgenerated by this model.

Analysis has shown that interference mitigation is crucial for systemsoperating in the 2.4 GHz band [14]. Fortunately, OFDM enables some nicesolutions to cope with the specific interference in the frequency domain.

2.3 MULTIPATH PROPAGATION

In most practical wireless communication systems, the radio propagationis far more complex than in a free space situation. In the presence of theearth, natural obstacles, buildings, furniture, etc., a radio signal travels viaboth the direct path and (possibly numerous) other paths from transmitter toreceiver.

As a consequence, the radio channel suffers from multipath conditions,leading to typical fading phenomena. This section focuses on situations

Page 38: 10.1007%2Fb117438

18 Chapter 2

where the time variance is very slow in comparison to the transmission rate,and can thus be neglected in the analysis. First the basic mechanisms ofmultipath channels are described in 2.3.1. Next, in paragraph 2.3.2, theterminology for describing a multipath channel is introduced. In paragraph2.3.3 a simplified ray tracing approach to model multipath channels ispresented. For two typical indoor examples, graphical results are included.

2.3.1 An intuitive understanding

Most real radio channels are established in circumstances that differsubstantially from ‘free space’. For example in an indoor environment, radiowaves face multiple reflections due to the presence of all kinds of obstacles(walls, furniture...). Electromagnetic waves propagating in such anenvironment are partially transmitted through and reflected by theseobstacles. As a consequence, waves emitted by the transmitter arrive at thereceiver antenna over multiple paths, which is called multipath propagation.Figure 3.1 shows a simple multipath situation.

The complete set of propagation paths between transmitter and receiverforms the multipath channel. Each path can be characterised by threeparameters: delay, attenuation and phase shift. The path delay depends onthe path length and on the speed at which a wave is propagating in thedifferent media along the path. Attenuation and phase shift can besubdivided into two different components. One is due to the free spacepropagation and depends on the path length. The other one is caused byreflection and shadowing and depends on the reflection and transmissioncoefficients. These coefficients are functions of material properties, such asrelative dielectric constant, permeability, conductivity, thickness, etc.

Page 39: 10.1007%2Fb117438

Understanding the indoor environment 19

The effect of multipath propagation on a radio channel impulse responsedepends on the path-length difference(s) relatively to the wavelength.Consider the exemplary case study of 2 paths, each with their own delay,attenuation, and phase shift. The paths interfere destructively if they arrivewith a phase difference of 180°, which extinguishes the overall receivedsignal. In case the path length difference is an entire multiple of thewavelength, the paths add constructively. Resultant, the received signalstrength varies heavily with frequency, place, and in case of changes in themultipath composition also time. These variations are generally called fadingcharacteristics.

A distinction is made between “Line of sight” (LOS) and “Obstructedline of sight” (OBS) set-ups. In a LOS set-up, there is a line of sight betweentransmitter and receiver. The corresponding path is typically the leastattenuated one and the one with the shortest delay. In an OBS set-up, there isno line of sight between transmitter and receiver, so that all incoming wavesat the receiver antenna have been reflected or shadowed at least once. This isthe more hostile case, since rarely one particular path dominates like the lineof sight would do.

From a communication point of view, a multipath channel can becharacterised as a producer of echoes. A whole set of echoes with differentamplitudes and phase shifts arrives at the receiver antenna, with each echoarriving at a different instant. This causes dispersion of the informationsignal in time. If no appropriate measures are taken, the different signalcomponents arriving at the receiver antenna interfere with each other, whichcan lead to inter-symbol interference (ISI).

2.3.2 Multipath Terminology

To describe multipath, a extensive set of mathematical terminology hasbeen established. The most important concepts are introduced in the nextsections.

2.3.2.1 Impulse response

The complex impulse response h(t) of a time-invariant multipath channelwith N paths can be modelled as

Page 40: 10.1007%2Fb117438

20 Chapter 2

Where k is the path index, is the path gain, is the path phase shift

and is the path delay. The above model describes a static channel. For

OFDM-based wireless indoor networks, the multipath parameters can indeedbe assumed to be quasi-static, since they change very slowly with respect tothe considered data rates.

The frequency response H(f) of the channel is the Fourier transform ofthe impulse response.

2.3.2.2 Power Delay Profile

The power delay profile (PDP) P(t) is defined as the squared absolute

value of the channel impulse response. It gives the time distribution of thereceived signal power from a transmitted over the channel.

The power delay profile represents the relative received power infunction of excess delay with respect to the first received path. Power delayprofiles are found by averaging instantaneous power delay profilemeasurements. The PDP of a channel is on the average exponentiallydecaying in an indoor RF channel, which can be explained by the path lossthat increases with the length of the path. From the PDP, the instantaneousfrequency response of the channel can be calculated.

2.3.2.3 RMS Delay Spread

The RMS delay spread which can be calculated from the powerdelay profile, is most often used to quantify the time dispersion on thechannel [4]. It is given by:

Together with (2.7) this expression can be simplified to

Page 41: 10.1007%2Fb117438

Understanding the indoor environment 21

The value of is commonly used to give a rough indication of themaximum data rate that can reliably be supported by the channel, when nospecial measures, such as equalization, are taken.

The following rule of thumb is often applied for the length of the channelimpulse response

2.3.2.4 Coherence Bandwidth

The auto-correlation function of the channel frequency response is givenby

For the class of channels with an exponential delay profile, the auto-correlation can be computed as a statistical expectation. For a received signalwith unity local-mean power, we find

Jakes et al. [18] have shown that, for the channel amplitudes,

The coherence

bandwidth gives a measure for the statistical average bandwidth overwhich the channel characteristics are correlated. is defined as the valueof for which the auto-correlation function of the channelfrequency response has decreased with 3 dB, or:

Page 42: 10.1007%2Fb117438

22 Chapter 2

So, for the channel with exponential delay spread Howthe fading on the radio channel affects the communication over a channel isdetermined by the relation between the bandwidth of the informationsignal and the coherence bandwidth of the channel. If the channel responsecan be considered constant over the information bandwidth thefading is named flat. On the other hand, frequency selective fadingconditions are encountered when the information bandwidth is significantlylarger than the coherence bandwidth of the channel. Specifically because itenables elegant equalisation in frequency selective fading, OFDM is oftenpreferred in these conditions. Therefore, it is a facilitator of broadbandcommunications in harsh reflective environments.

2.3.3 Ray-Tracing Modelling

Different modelling techniques have been developed in the quest ofcharacterising multipath channels. The simplified approach that is presentedhere is based on the modelling of wave propagation by two-dimensional ray-tracing algorithms [3]. The ray-tracing model, some simulation examples,and finally an interpretation of the simulation results will be presented.

2.3.3.1 A simplified approach

A rather simple ray-tracing approach is followed (leading to relativelyfast simulation times), not comprising an accurate characterisation of theenvironment. This method has not been designed for precise channelpredictions in specific geometrical situations (e.g. no diffraction effects aretaken into account). Still, the results show to produce typical fadingcharacteristics that correspond very well with measurements reported inliterature [6], and with channel responses considered in the BRAN-standardisation [10].

Page 43: 10.1007%2Fb117438

Understanding the indoor environment 23

Figure 2.3 gives a very simple example of how the ray tracing works.Rays from a starting point to a target point are obtained by findingthe direct path and the paths to all mirror images of the target point

The floor plan of the set-up, including walls and objects, is modeled as aset of straight lines. Each line can be assigned different reflection andtransmission coefficients. Further input parameters are the positions of thereceiver and the transmitter antenna respectively. Both antennas areconsidered isotropic. This setup being given, all possible paths from thetransmitter antenna to the receiver antenna are calculated by a software tool,and the corresponding path delays, attenuation and phase shifts are stored ina result file. The computation of a path is aborted, if its attenuation exceeds acertain threshold, e.g. 30 dB, with respect to the attenuation of the firstreceived path.

The results of the ray-tracing simulation are used to parameterise themathematical channel model given by equation (2.6) in order to calculate thecorresponding impulse response.

Since the channel model is used for baseband simulations, thecomputation is performed for the desired centre frequency, i.e. phase shiftsare calculated for the corresponding wavelength. Finally the impulseresponse is down-sampled to the desired sample rate using a raised cosinefilter to avoid aliasing.

2.3.3.2 Simulation examples

Figure 2.4 through Figure 2.8 show the results of ray-tracing examplesfor two different indoor set-ups. They were generated in the context of thedesign of an OFDM-transceiver for 5GHz WLANs.

The first set-up (Figure 2.4) represents a typical home environment,where in this specific simulation the transmitter and the receiver are locatedin the same room, but the direct path between them is obstructed. The secondset-up (Figure 2.6) corresponds to an office-like environment, with thebasestation installed in the corridor and a terminal in one of the offices.

The power delay profiles are given for a bandwidth of 80 MHz and 4times over-sampling. Gain and phase of the baseband frequency responsesare given for a bandwidth of 80 MHz, and a centre frequency at 5.25 GHz.The characteristics of the different channels, in terms of average delay

delay spread and coherence bandwidth of the channel aresummarised in Table 2.1.

Page 44: 10.1007%2Fb117438

24 Chapter 2

Page 45: 10.1007%2Fb117438

Understanding the indoor environment 25

Page 46: 10.1007%2Fb117438

26 Chapter 2

2.3.3.3 Interpretation of results

A considerably large number of ray-tracing simulations for home-likeand office-like environments have been carried out. We analysed scenarioswith a single room containing various objects and with different geometriesof multiple rooms.

The following practical conclusions can be drawn from these results:The Power Delay Profiles on the average show an exponentially decayingbehaviour with increasing delay, while we can also observe typical‘clusters’ of paths. Both these effects correspond to the channel modelsthat were considered in ETSI-BRAN [10].For typical indoor environments, the RMS delay spread is of the order of10 to 50 nsec. (even for large settings). In ETSI-BRAN, some of thechannels correspond to outdoor channels, which explains the larger delayspreads reported there.Two main categories of channels can be distinguished: LOS-situationsmostly show a dominant component, the OBS delay profiles are lessregular.For an environment with numerous reflections (walls and/or objects), theexact geometry of the building and location of the objects does notdetermine the kind of channel responses (dips) you can get in theenvironment. By moving transmitter or receiver over a very smalldistance (in the order of ) in one specific environment, you can getextremely different channel responses (going from almost flat to dips upto 40db!).The overall scale of the environment has an influence on the channelresponse, namely a scaling on the This effect can be calculatedwithout needing new simulations.

2.4 TIME VARIANT CHANNELS

The indoor propagation channel is often considered as a staticenvironment, because of the relatively low mobility speed and the easyanalysis according to this assumption. However, following the motto ‘nevertrust a wireless channel’, the time variance of the channel and its effect onOFDM transmission should be assessed. The focus is here on WLANs, but if

Page 47: 10.1007%2Fb117438

Understanding the indoor environment 27

OFDM is considered for outdoor and even mobile systems, Doppler effectsmay ask for specific correction measures.

2.4.1 Terminology

To describe the time variations a channel, a mathematical model isneeded. The most important terminology is introduced in the nextparagraphs.

2.4.1.1 Coherence Time

The time correlation describes the correlation between two channelresponses at different time instances and is defined as

Generally, as the time between two channel responses increases, thecorrelation declines.

The coherence time is defined as the time for which the correlationdecreases by 3 dB.

When the time variation of the channel is considered, a categorisation offading channels can be made, relating the data rate to the coherence time ofthe channel. If the coherence time is very large compared to the transmittedsignalling period, the channel essentially stays constant during the samplingperiod. This is referred to as slow fading. If the signalling period becomescomparable to the coherence time, the transmission system undergoes fastfading.

In case of OFDM transmission or more generally systems making use ofa block-based equaliser, a quasi-static approach is only robust if the channelremains stable during the entire OFDM symbol. The conventionalclassification then takes a more meaningful significance if the OFDM-symbol or block duration is used as a classification criterion, in stead of thesignalling or sampling time.

2.4.1.2 Doppler spectrum

By taking the Fourier transform of the time correlation function, theDoppler spectrum is obtained:

Page 48: 10.1007%2Fb117438

28 Chapter 2

The Doppler bandwidth is defined as the 3dB bandwidth of the Dopplerspectrum. An interesting relation between the coherence time and theDoppler frequency is given by:

2.4.2 What is on the move in the indoor environment?

In a typical mobile multipath channel, the Doppler effects are mostlymodelled by the so-called ‘Jakes’ spectrum [18]. This model is based on theassumptions that the receiver is moving at velocity v, and the angles ofarrival of the multipath components are uniformly distributed. Defining

the time correlation of the channel is then given by

where stands for the zero-th order Bessel function of the first kind.The corresponding Jakes spectrum is given by:

This model has also widely been used for WLANs mainly due to itssimplicity, although typically neither transmitter nor receiver is moving insuch scenarios. The approach results however in an extreme over-estimationof the time variance of such channels. Therefore, a new and moreappropriate model for the time variance of indoor channels is proposed in[15]. It is based on a stationary transmitter and receiver, but reflectors thatare moving at random speeds. These assumptions reflect the situation whereobjects or persons in the surroundings are moving, rather then thecommunicating terminals themselves. The resulting time correlation is thengiven by:

Page 49: 10.1007%2Fb117438

Understanding the indoor environment 29

where a is the fraction of paths that are time-invariant. Compared toequation (2.17), the Bessel function is now squared. This is due to the factthat a movement of a scatterer has double the impact of a movement of thereceiver. Unfortunately, there is no closed form expression for the aboveintegral. Figure 2.8 shows the time correlation for different instantiations ofthe new model on the one hand, and the Jakes model on the other hand, for acarrier frequency The thick solid line gives the Jakes’ model.The worst case for moving reflectors, plotted in dashed line, represents thetime correlation when all scatterers are assumed to move at the maximumspeed We also show the situation in which 90% of the paths is static,which is a realistic value in indoor environments. This case is given in solidline. Clearly, the correlation degrades only slowly with time as not allreflections are dynamic.

The corresponding Doppler spectra for all models are shown in Figure2.9. The classical Jakes’ spectrum has a bandwidth of and a veryhigh probability of high Doppler frequencies. In all other cases where

Page 50: 10.1007%2Fb117438

30 Chapter 2

movement of scatterers is considered, the bandwidth of the fading process isequal to The latter models give rise to a more peaky spectrum,matching indoor propagation measurements [19] [20] [21].

2.5 CONCLUSIONS

An exact knowledge of the problem, is often the most crucial steptowards finding a solution. Therefore, when making plans for gettingwireless OFDM systems to work, it is sound practice to start with anassessment of the wireless transmission channel.

This chapter introduced the basic propagation effects to take intoaccount. The focus is mainly on the indoor environment. The propagation isshown to be subject to rich multipath reflection, and slow time variation.

Page 51: 10.1007%2Fb117438

Understanding the indoor environment 31

REFERENCES

[1][2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

Prasad, private communicationL. Van der Perre, Modelling and simulation of the HF channel with theobjective of testing intelligent narrowband and wideband modems. Ph. D.Thesis K.U.Leuven 1997P. Vandenameele, L. Van der Perre, M. Engels, SDMA for OFDM-basedWLANs, Kluwer Academic Publishers, 2001T.S. Rappaport, Wireless Communications, Principles and Practice. PrenticeHall, 1996H. Hashemi, ‘The Indoor Radio Propagation Channel,’ Proceedings of theIEEE, Vol. 81, No 7, July 1993G. J. M. Janssen et al., “Wideband Indoor Channel Measurements and BERAnalysis of Frequency Selective Multipath Channels at 2.4, 4.75 and 11.5GHz”, IEEE Transactions on Communications, vol. 44, no. 10, p. 1273.J. Doble, Introduction to Radio Propagation for Fixed and MobileCommunications, Artech House Publishers, 1996C.D. Taylor, S.J. Gutierrez, S.L. Langdon, K.L. Murphy, and W.A. Walton,‘Measurement of RF Propagation into Concrete Structures over the FrequencyRange 100 MHz to 3 GHz,’ in Wireless Personal Communications, Advances inCoverage and Capacity, Kluwer Academic Publishershttp://komatsu.linux.student.kuleuven.ac.be/3dom/, K.U.Leuven software, June1999http://www.etsi.org/BranP. Simon, “Proof”, Rhythm of the Saints, 1990R. Cuyvers, B. Van der Herten, and al., Van tamtam naar virtuele realiteit,Davidsfonds, 1995J.C. Proakis, Digital communications, Mc Graw-Hill, 1989R. Ness, Steven Thoen, Liesbet Van der Perre, Bert Gyselinckx and MarcEngels, ‘Narrowband interference mitigation in OFDM-based WLANs’,workshop on multicarrier,S. Thoen, ‘High-speed OFDM based wireless local area networks’, PhD thesisKULeuven, May 2002J.A.C. Bingham, “Multicarrier Modulation for Data Transmission: An IdeaWhose Time has Come”, IEEE Comm. Magazine, vol. 28, pp. 5-14, May 1990.A.M. Saleh et al., “A Statistical Model for Indoor Multipath Propagation”, IEEESelected Areas of Comm., vol. CSA-5, no. 2, pp. 1384-87, July 1991.W.C. Jakes, Microwave Mobile Communications, IEEE press, 1993S.J. Howard and K. Pahlavan, “Doppler spread measurements of the indoorradio channels,” Electronic Letters, 26(2):107-109, January 1990H. Hashemi, M. McGuire, and D. Tholl, “Measurements and modelling oftemporal variations of the indoor propagation channel,” IEEE journal onVehicular Technology, 43(3):733-737, August 1994H. Hashemi “A study on temporal variations of the indoor propagationchannel,” in PIMRC, pp 127-134, 1994

[10][11][12]

[13][14]

[15]

[16]

[17]

[18][19]

[20]

[21]

Page 52: 10.1007%2Fb117438

Chapter 3

The OFDM PrincipleDivide et Impera

Reto Ness, Jean-Paul Linnartz, Liesbet Van der Perre, Marc EngelsIMEC

3.1 THE OFDM PRINCIPLE

3.1.1 Multicarrier modulation

In single carrier modulation, data is sent serially over the channel bymodulating one single carrier at a baud rate of R symbols per second. Thedata symbol period is then 1/R. In a multipath fading channel, the timedispersion can be significant compared to the symbol period, which resultsin inter symbol interference (ISI). A complex equaliser is then needed tocompensate for the channel distortion.

Page 53: 10.1007%2Fb117438

34 Chapter 3

The basic idea of multicarrier modulation was introduced and patented inthe mid 60's by Chang [1]: the available bandwidth W is divided into anumber of subbands, commonly called subcarriers, each of width

The subdivision of the bandwidth is illustrated in Figure 3.1,

where arrows represent the different subcarriers. Instead of transmitting thedata symbols in a serial way, at a baud rate R, a multicarrier transmitterpartitions the data stream into blocks of data symbols that are transmittedin parallel by modulating the carriers. The symbol duration for amulticarrier scheme is

In its most general form (see Figure 3.2), the multicarrier signal can bewritten as a set of modulated carriers:

where is the data symbol modulating the subcarrier in thesignalling interval. is the waveform for the subcarrier.

The symbol duration can be made long compared to the maximum excessdelay of the channel (see previous chapter), or by choosing

sufficiently high. At the same time the bandwidth of the subbands can bemade small compared to the coherence bandwidth of the channel

The subbands then experience flat fading, which reducesequalisation to a single complex multiplication per carrier.

Increasing thus reduces the ISI and simplifies the equaliser into asingle multiplication (remark that the number of multiplications is

Page 54: 10.1007%2Fb117438

The OFDM Principle 35

proportional with but the rate at which they have to be calculated isreverse proportional with However, the performance in time variantchannels is degraded by long symbols. If the coherence time of thechannel is small compared to the channel frequency response changessignificantly during the transmission of one symbol and a reliable detectionof the transmitted information becomes impossible. As a consequence, thecoherence time of the channel defines an upper bound for the number ofsubcarriers. Together with the condition for flat fading within the subbands areasonable range for can be derived as

3.1.2 Orthogonal Frequency Division Multiplexing

To assure a high spectral efficiency, the subchannel waveforms musthave overlapping transmit spectra. They need to be orthogonal for enablingsimple separation of these overlapping subchannels at the receiver.Multicarrier modulations that fulfil these conditions are called orthogonalfrequency division multiplex (OFDM) systems.

A general set of orthogonal waveforms, is given by:

with

Here is the subcarrier frequency and is the lowest

frequency used The spacing between the adjacent subcarriers equals

Since the waveform is restricted to the time

window the intercarrier spacing must also satisfy

The windowing results in a convolution with

otherwiseotherwise

Page 55: 10.1007%2Fb117438

36 Chapter 3

in the frequency domain. As a consequence, the

different subbands overlap (as shown in Figure 3.3).Although the subchannels overlap, they do not interfere with each other

at Indeed, they are orthogonal, or:

The demodulation is based on this orthogonality of the subcariers andconsists of a bank of matched filters that implement the relation

A schematic view of such a demodulator is shown Figure 3.4. Theimplementation of an OFDM system that consists of oscillators in thetransmitter and a bank of matched filters in the receiver is becoming verycomplex for a large number of subcarriers. However, as Weinstein and Ebertpointed out [2], an IDFT and DFT operation can replace the basebandmodulator and the bank of matched filters respectively (if is a power oftwo). In addition to being much cheaper, such implementation does notsuffer from the inaccuracies associated with an analogue oscillator bank.

Page 56: 10.1007%2Fb117438

The OFDM Principle 37

3.1.3 Cyclic Prefix

Passing the signal through a time-dispersive channel causes inter symbolinterference (ISI). In an OFDM system, it also makes that the orthogonalityof the subcarriers is lost, resulting in inter carrier interference (ICI).

To overcome these problems, Peled and Ruiz [3] introduced the cyclicprefix (CP). A cyclic prefix is a copy of the last part of the OFDM symbolthat is prepended to the transmitted symbol (see Figure 3.5) and removed atthe receiver before the demodulation. The cyclic prefix should be at least aslong as the significant part of the impulse response experienced by thetransmitted signal. This way the benefit of the cyclic prefix is twofold. First,it avoids ISI because it acts as a guard space between successive symbols.Second, it also converts the linear convolution with the channel impulseresponse into a cyclic convolution. As a cyclic convolution in the timedomain translates into a scalar multiplication in the frequency domain, thesubcarriers remain orthogonal and there is no ICI.

The length of the cyclic prefix should be made longer than theexperienced impulse response to avoid ISI and ICI. However, the transmitted

Page 57: 10.1007%2Fb117438

38 Chapter 3

energy increases with the length of the cyclic prefix. The SNR loss due to theinsertion of the CP is given by

where denotes the length of the cyclic prefix and is thelength of the transmitted symbol. Also the the number of symbols per secondthat are transmitted per Hz of bandwidth, decreases to In a

digital implementation, is a multiple of the basic sample period

Because of the loss of SNR and efficiency, the cyclic prefix should not bemade longer than strictly necessary. When making equal to the length ofthe impulse response, the relative length of the cyclic prefix is typicallysmall, so that the ISI and ICI-free transmission motivates the small SNR loss.

However, when selecting the length of the cyclic prefix, the followingissues should also be taken into account:

Filter responses may add to the overall impulse response that should becompensated for by the guard interval.A part of the guard interval needs to be reserved for synchronisationmargins. Not only is the time acquisition never guaranteed to be perfect,the effect of a clock offset between transmitter and receiver may stillsignificantly increase the deviation.

3.2 THE OFDM SYSTEM MODEL

Page 58: 10.1007%2Fb117438

The OFDM Principle 39

In the previous section, we introduced an OFDM system with asbasic ingredients the DFT and IDFT operations and the cyclic prefix. Thediscrete-time baseband equivalent model of such an OFDM system is givenFigure 3.6. In the transmitter, the incoming data stream is grouped in blocksof data symbols. These groups are called OFDM symbols and can be

represented by a vector Next, an IDFT is

performed on each data symbol block, and a cyclic prefix of length isadded. The resulting complex baseband discrete time signal of theOFDM-symbol can be written as

where n is the discrete time index.The complete time signal s(n) is given by the concatenation of all

OFDM symbols that are transmitted

In general, the received signal is the sum of a linear convolution with thediscrete channel impulse response h(n) and additive white Gaussian noisen(n). For this, we implicitly assume that the channel fading is slow enough toconsider it constant during one OFDM symbol. In addition, we assume thatthe transmitter and receiver are perfectly synchronised. Based on the factthat the cyclic prefix is sufficiently long to accommodate the channelimpulse response, or for and we can then write:

In the receiver the incoming sequence r(n) is split into blocks and thecyclic prefix associated with each block is removed. This results in a vector

with

The received data symbol is obtained by performing a DFT onthis vector. Thus is given by

Page 59: 10.1007%2Fb117438

40 Chapter 3

By substituting r(n) with equation (3.10), (3.11) can be written as

Substituting with equation (3.8), then yields the following result:

where is the sample of the

DFT of . Since n(n) is white Gaussian noise, is also white

Gaussian noise.Because for all we can let run from 0 to instead

of Additional swapping of the two inner sums and reordering yields

The first part of this expression consists of an IDFT operation nested in aDFT operation. The inner sum is the sample of the DFT ofor The equation hence translates into

Page 60: 10.1007%2Fb117438

The OFDM Principle 41

This equation demonstrates that the received data symbol on eachsubcarrier k equals the data symbol that was transmitted on thatsubcarrier, multiplied by the corresponding frequency-domain channelcoefficient in addition to the transformed noise contribution

From the received data symbols the transmitted data symbols canbe estimated using a single tap equaliser followed by a slicer. In theequaliser, the receiver divides each received data symbol by itscorresponding channel coefficient. The result of this step is a soft estimate

The slicer rounds this soft estimate towards the nearest symbol in the

modulation alphabet, called the hard estimate

For a more compact notation, a matrix equivalent is often used. For asingle OFDM symbol, it equals

where denotes the Hadamard (i.e. element-wise) product, DIAG(H) isthe diagonal matrix with the elements of H,

and

When considering M OFDM symbols, we can define the followingmatrix notation:

where and

3.3 WHAT IF THE CHANNEL IS TIME-VARIANT?

The OFDM system model of previous section was derived for a time-invariant channel. However, as explained in chapter 2, even with fixedtransmitters and receivers, a channel will be (slowly) time-variant. Thesetime variations erode the orthogonality of the subcarriers and cause ICI or"FFT leakage." To model such behaviour a more complex system model willbe needed. In this section we derive such a model. Although the mathematicsare more sophisticated, it will appear soon that a relatively simple matrixchannel model remains.

Page 61: 10.1007%2Fb117438

42 Chapter 3

Classic multipath channel models involve a collection of reflectedwaves. Each wave has its particular path delay complex-valued path gain

and phase shift

When the antenna is in motion, the amplitudes and path delays of theindividual reflections will remain fairly constant, but the phase shiftsexperience a Doppler effect. In fact, for a signal at the k-th subcarrier,

with the maximum Doppler shiftand the angle of arrival. Without any loss of generality, we takeand consider any non-zero initial phase to be taken into account by the phaseof If, for ease of notation we focus on the initial signalling interval

the received joint OFDM signal equals

Note that the time delays are not necessarily an integer multiple of thesampling period, so here we prefer a continuous-time representation. Next,we force the above expression into the following form, with a complexreceived amplitude at the k-th subcarrier that is time varying,

This is possible if we define the time-varying channel amplitude as [9]

As described in the previous section, the OFDM receiver takessamples at sample intervals of and performs a DFT. The k-th outputof the DFT is then found as

We observe that

Page 62: 10.1007%2Fb117438

The OFDM Principle 43

Now let's fill in r(t) in this equation. This results in

From here we will work towards a matrix channel model. To this end, wetake a Taylor expansion of the time varying amplitude, namely

Here denotes the q-th derivative of the amplitude withrespect to time at the k-th subcarrier and at instant We extend thenotation of the vector H as follows:

denotes the q-th

derivatives of H. Remark that as defined in the previous section.Further, we introduce the ICI leakage constants defined as

In fact, these constants describe the signal transfer over the q-thderivative of the amplitude at subcarrier k to the receive subcarrier.This allows us to rewrite as follows:

A practical receiver typically removes the effect of a phase shift due to atime delay, so we simplify the above expression by taking So, thereceived signal can be written compactly in discrete frequency domain as,

where the q-th order ICI crosstalk matrix equals

Page 63: 10.1007%2Fb117438

44 Chapter 3

Figure 3.7 depicts the channel and receiver in the discrete frequencydomain. A frame of user symbols is offered to the system. The outputvector after the DFT in the receiver is denoted by In a conventionalsystem, W represents the equaliser, or automatic gain control per subcarrier.To adequately receive signals over a mobile channel, W also needs tocompensate for ICI. The figure shows that one can simulate the radio link asa vector channel without explicitly executing the DFT operation. and

are (correlated) Gaussian random vectors and is a fixed matrix,which can be implemented using a butterfly structure.

If the Doppler spread is much smaller than the frequency resolution ofthe DFT grid we may restrict our analysis to zero and first ordereffects In particular, for

For integer this reduces to the Kronecker delta function This is justa confirmation that subcarriers (with non-fading amplitude) are orthogonal,

resulting in For we see that, if we assume integer the

first-order derivatives leak according to

Page 64: 10.1007%2Fb117438

The OFDM Principle 45

3.4 OFDM RECEIVER PERFORMANCE

It has been shown in the previous sections that in an OFDM system, thereceived data symbol transmitted in the signalling interval on thesubcarrier is given by the corresponding transmitted symbol, multiplied withthe channel frequency response sampled at the subcarrier frequencyplus noise.

If we have an ideal linear time-invariant (LTI) frequency non-dispersiveAWGN channel, this translates to a parallel set of AWGN channels, withequal SNR. As a consequence, the performance will be identical with singlecarrier modulation over AWGN, except for the SNR loss due to the cyclicprefix. As an example, Figure 3.8 shows the BER versus plot for anOFDM-QPSK system with carriers and various cyclic prefix lengths.

Page 65: 10.1007%2Fb117438

46 Chapter 3

For QPSK, the probability of a symbol error or SER in function of theeffective SNR per bit is given by

In the previous chapter it was shown that for indoor multipathcommunication the amplitude spectrum of the channel taps is approximatelyRayleigh distributed. Therefore, we can assume that the effective SNR percarrier are exponentially distributed according to

The probability of bit error can then be expressed as

Substituting equations (3.31) and (3.32) in this formula results in thefollowing expression

The resulting SER versus graph is shown in Figure 3.9. It can beobserved that the SER is only slowly decaying with increasingIntuitively, this can be understood by considering the significant differencesin the signal-to-noise ratios between different carriers. The dips in thefrequency response of typical indoor multipath channels, for instance, canreach up to 30 dB. These low SNR values cause extremely high bit errorprobabilities on the “bad carriers” which dominate the average bit error rate.

Mobility also has an effect on the SER. Let's for the sake of simplicityassume that ICI affects the receiver performance in the same manner as thenoise. We use the model of the previous section to estimate the averagesignal to interference-plus-noise ratio (SINR) for OFDM with Doppler. Theintercarrier interference power on the carrier can be expressed as:

Page 66: 10.1007%2Fb117438

The OFDM Principle 47

In a Rayleigh channel, the derivatives are zero-mean complex jointlyGaussian for any k and q. The covariance of and can be expressedfor certain specific cases of the channel model. For instance for a uniformangle of arrival and an exponential delay profile, we can extend thecorrelation function of Chapter 2 into [9],

Roughly speaking with So the ICIreduces slowly with increasing subcarrier separation. Relatively manysubcarriers make a significant contribution to the ICI

Page 67: 10.1007%2Fb117438

48 Chapter 3

The expected signal-to-noise ratio, to be inserted in equation (3.34), becomes

3.5 CODING: AN ESSENTIAL INGREDIENT

We indicated in the previous section that OFDM has a limitedperformance for a frequency selective channel, due to the dominance ofcarriers with low SNR. Remark that this is different from conventionalsingle-carrier systems, where the SER or BER is determined by the averageSNR in the transmission bandwidth. If this bandwidth is large compared tothe coherence bandwidth and if perfect channel equalisation is used, theperformance of a single-carrier system in a frequency selective environmentsignificantly outperforms plain OFDM. To remedy this problem, anypractical system uses either channel coding or adaptive loading.

In adaptive loading, the modulation order and/or power of every carrier isadapted according to its SNR. As a consequence, the bad carriers, whichdominate the performance of plain OFDM, are no longer used and adramatic improvement of BER performance is experienced. In addition thisbenefit comes without reduction in the transmitted datarate. The maindisadvantage of adaptive loading is the need for feedback of channelestimations, which limit it applicability in fast fading environments. Becausedetailed discussion of adaptive loading are beyond the scope of this book, werefer the user to the relevant literature [4] [5] [6].

The alternative way of improving performance is the use of an error-coding scheme over the carriers [7] (see Figure 3.10). Various error-codingmethods can be applied on the incoming bit stream: block codes, like Reed-Solomon codes and convolutional codes are the most common ones. Also aconcatenation of a block coder, an interleaver and a convolutional code isoften used. It has the advantage of mitigating the output burst errors that aretypical for convolutional Viterbi decoders. More recently, also trellis codedmodulation, which operates on symbols in stead of bits, and Turbo codeshave been proposed.

Page 68: 10.1007%2Fb117438

The OFDM Principle 49

The coded bit- or symbol stream is next applied to an interleaverstructure. In its most general form, the interleaver has both a time andfrequency component. The frequency interleaver puts consecutive bits onuncorrelated carriers, such that they experience independent channelattenuations. The time interleaver separates consecutive bits over differentOFDM symbols. As a consequence, for a fast fading channel these bitsexperience different channel attenuations. However, for slow fadingchannels the time interleaver has no effect and can be eliminated.

The effect of block coding on an OFDM system can be illustrated bymeans of a simple analysis. Assume that we have an (n,k,t) code, where n isthe number of total symbols per block and k is the number of sourcesymbols. As a consequence, the code rate is k/n. The number of symbolerrors that can be corrected with the code is t. In the remainder we take theblock size equal to the DFT size or

Based on equation (3.34), the probability of symbol error on a singlecarrier in a Rayleigh fading channel can be calculated. Assuming that allcarriers experience independent fading, the probability of having symbolerrors in an OFDM symbol can be easily calculated as:

The resulting coded probability of symbol error is given by:

Page 69: 10.1007%2Fb117438

50 Chapter 3

The result of this expression for various values of t is plotted in Figure3.11. Remark that the coding overhead had to be taken into account in thecalculation of The SER curves show a much steeper descent, i.e., closerto the desired waterfall-like shape. With increasing t, the curves shift to theleft of the figure (better performance). For large average the curveconverges to straight line on a log-log plot. The slopes of the curve, ordiversity order, equals -t, which is a dramatic increase with respect to theuncoded OFDM case This can be explained by the fact that thefrequency diversity has now been exploited.

3.6 SUMMARY

In this chapter we introduced the basic OFDM principle: a data stream issplit into parallel lower rate data streams that are modulated on separatesubcarriers. Practical implementations of OFDM systems use the IDFToperation for this modulation. Although this parallellization already reducesthe impact of ISI, it can be completely removed by means of a cyclic prefix.For long, implementing an OFDM scheme was prohibitive complex.

Page 70: 10.1007%2Fb117438

The OFDM Principle 51

Practical interest only appeared in the ‘90s due to the progress in digitalsignal processing and microelectronics [8].

In an AWGN channel, OFDM performs identical to a single carrier link.In a frequency selective channel, the performance is limited due to theimpact of "bad" carriers. As a consequence, adaptive loading or error codingare essential ingredients for an OFDM system in such an environment. In amobile environment, channel fading causes inter carrier interference, whichleads to an increased amount of symbol errors.

REFERENCES

[1]

[2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

R.W. Chang, “Orthogonal Frequency Division Multiplexing”, U.S. Patent3,488,445, filed 1966, issued Jan. 1970.S.B. Weinstein and P.M. Ebert, "Data transmission by frequency-divisionmultiplexing using the discrete Fourier transform", IEEE Trans. onCommuninations, COM-19(5):628-634, October 1971.A. Peled and A. Ruiz, "Frequency domain data transmission using reducedcomputational complexity algorithms", Proc. of the IEEE Int. Conf.Acoustics, Speech and Signal Processing, Denver, CO, 1980, pp. 964-967.Liesbet Van der Perre, Steven Thoen, Patrick Vandenameele, BertGyselinckx, Marc Engels., “Adaptive loading strategy for a high speedOFDM-based WLAN”, Globecom 98 Syndney, Australia, pp. 1936-1940,Nov. 1998.P.S. Chow et al., “A Practical Discrete Multitone Transceiver LoadingAlgorithm for Data Transmission over Spectrally Shaped Channels”, IEEETransactions on Communications, vol. 43, pp. 773-775, Apr. 1995.R. Fischer and J. Huber, “A New Loading Algorithm for DiscreteMultitone Transmission”, IEEE Proc. Globecom ‘96, London, England, pp.724-728, Nov. 1996.W.Y. Zou and Y. Wu, "COFDM: An Overview", IEEE Trans. onBroadcasting, 41(l):l-8, March 1995.J.A.C. Bingham, “Multicarrier Modulation for Data Transmission: An IdeaWhose Time has Come”, IEEE Communications Magazine, vol. 28, pp. 5-14, May 1990.A. Gorokhov and J.P.M.G. Linnartz, “Robust OFDM receivers for dispersivetime varying channels: equalisation and channel estimation”, ICC 2002,April 28- May 2, 2002, New York, Session OFDM-2

Page 71: 10.1007%2Fb117438

Chapter 4

When people agree on OFDMWireless OFDM standards

Bert GyselinckxIMEC

4.1 WLAN STANDARDS

Standards are essential in the market success that WLAN is currentlyexperiencing. Especially the 802.11 series of standards from the IEEE(Institute of Electrical and Electronics Engineers) played a key role.

The first generation of the IEEE 802.11 standard was finalized by the endof 1996. It provides a wireless Ethernet capability at a rate of 1 or 2 Mbps inthe 2.4 GHz ISM (Industrial Scientific Medical) band. The standard supportsvarious physical layers, e.g. direct sequence spread spectrum (DSSS) andfrequency hopped spread spectrum (FHSS), under a common medium accesscontrol (MAC) protocol. Due to their relative low data rate, the market forthese first generation WLAN products was limited to niche applicationswhere mobility is an essential feature, like warehouses and industrialautomation.

In 1999, the second generation IEEE 802.11b standard became available.It increase the datarate for WLAN in the 2.4 GHz ISM band to 5.5 and 11Mbps. This is achieved by supporting a new physical layer, i.e.complementary code keying (CCK) DSSS, under the standard IEEE 802.11MAC layer. Because of its higher datarates, the IEEE 802.11b experienced amajor succes for office WLAN applications.

However, in order to provide high quality streaming video or fast Internetaccess even higher datarates are needed. This is especially crucial foropening up the residential WLAN market. Motivated by these commercialperspectives and by opening of new licence-exempt spectrum in the 5 GHzband, third generation WLANs are underway in the U.S., Japan and Europe.

Page 72: 10.1007%2Fb117438

54 Chapter 4

The IEEE is working on the IEEE 802.1la standard [15] for the U.S. TheMultimedia Mobile Access Communication Systems (MMAC) group [14] ofthe Japanese Association of Radio Industries and Businesses (ARIB)establishes the HiSWANa standard. The European TelecommunicationStandards Institute (ETSI) has a workgroup on Broadband Radio AccessNetworks (BRAN) that develops the HIPERLAN/2 standard [3]. All threestandards have very similar physical layers based on OFDM with provisionsfor link adaptation. By varying the modulation and the coding schemes, thedatarate can be varied from 6Mbit/s to 54Mbit/s. Their MACs, however, arevery different. While IEEE 802.1la builds further on the distributed carriersense multiple access with collision avoidance (CSMA/CA) Ethernetprotocol of the previous standards, HIPERLAN/2 is based on a centrallycontrolled time sharing network. HiSWANa is the newest of the threestandards and combines aspects of both MACs.

In this chapter we will have a closer look into the OFDM based standardsfor WLAN. Our main focus will be on the European HIPERLAN/2 standard.

4.2 HIPERLAN/2

The HIPERLAN/2 standard was developed in the ETSI Project BRAN(Broadband Radio Access Networks) Error! Reference source not found..The intention of the HIPERLAN/2 standard is to provide up to 54 Mbpsmultimedia communications between different broadband core networks andportable terminals. As a consequence, the standard comprises mechanisms tosupport services that are bounded by specific time delays to achieve anacceptable Quality of Service (QoS).

To guarantee these QoS requirements, HIPERLAN/2 is based on acellular networking topology. An access point that covers a certaingeographical area controls a radio cell. A terminal communicates with otherterminals or with the core network via this access point. This communicationflow matches nicely with a typical business application scenario, where aportable computer gets services over a fixed corporate networkinfrastructure. In a home application scenario, however, the wireless networkis supposed to interconnect consumer devices directly. Therefore, theHIPERLAN/2 standard also has a direct mode, where mobile terminals,controlled by the same access point, can directly exchange data.

The HIPERLAN/2 standard defines the physical layer (PHY), the datalink control layer (DLC), and several convergence layers (CL) for both theaccess point (AP) as well as the mobile terminal (MT). A schematic drawingof the HIPERLAN/2 protocol stack in the AP is shown in Figure 4.1. In thefigure, the DLC layer is further detailed with the radio link control (RLC),medium access control (MAC) and error control (EC) functions. The higher

Page 73: 10.1007%2Fb117438

When people agree on OFDM 55

layer protocols, which are located on top of the CL, are beyond the scope ofthe HIPERLAN/2 standard.

The HIPERLAN/2 basic protocol stack at the MT side and its functionsare similar to these of the AP. At the terminal side only a single RLC andMAC function is needed. The functionality of this MAC and RLC entitydiffers slightly from that of the AP, whereas the EC functions aresymmetrical.

The functionality of the PHY, DLC and CL layers is explained in moredetail in the following sections.

4.2.1 Convergence layer (CL)

The HIPERLAN/2 system can be used with a variety of different highlevel protocols. This is achieved by a convergence layer (CL) that interfacesbetween the high level protocol and the HIPERLAN/2 specific DLC layer.The standard defines CLs for ATM, IEEE1394 or firewire, UMTS andEthernet. The CL has two main functions. The first is to translate the servicerequests from the higher layers to services offered by the DLC. The secondis to reformat the different data formats coming from the high layers to dataunits with fixed size that can be treated by the DLC and vice versa. Theprocess that does this is known as segmentation and reassembly (SAR) andis shown in Figure 4.2. The variable length data blocks coming from thehigher layers, known as service data units (SDUs), are segmented intochunks of 48 bytes. These 48 bytes are then combined with additional header

Page 74: 10.1007%2Fb117438

56 Chapter 4

information (tag and flag). These new packets, the segmentation andreassembly protocol data units (SAR PDU) are then sent to the DLC forfurther processing.

4.2.2 Data link control layer (DLC)

The DLC layer constitutes the logical link between HIPERLAN/2devices. The DLC includes functions for medium access and transmission aswell as terminal/user and connection handling, as shown in Figure 4.1. Forthis purpose the DLC consists of the following sublayers:1. Error Control (EC) sublayer.2. Medium Access Control (MAC) sublayer.3. Radio Link Control (RLC) sublayer

In the next sections we will describe the functionality of these threesublayers.

Page 75: 10.1007%2Fb117438

When people agree on OFDM 57

4.2.2.1 Error Control (EC) sublayer

This layer is responsible for detection and recovery from transmissionerrors on the radio link. HIPERLAN/2 foresees three different types of errorcontrol modes.1. Acknowledged mode: in this mode a packet that is not received

successfully and acknowledged is retransmitted. A cyclic redundancycheck (CRC) of length 16 or 24 allows detecting whether the packet isreceived correctly.

2. Repetition mode: in this mode the packets are repeated in order toincrease the reliability.

3. Unacknowledged mode: in this mode the packets are directly delivered tothe higher layers. This mode is the least reliable of the three, but has thelowest latency.

4.2.2.2 Medium Access Control (MAC) sublayer.

The MAC layer controls the access of information to the physical layerand therefore the radio link. In HIPERLAN/2 the access point (AP) is in fullcontrol of the structure of the MAC frame. It will determine at which pointin time the different mobile terminals will receive and can send theirinformation.

The MAC protocol is based on a dynamic time division multiple access /time division duplex (dynamic TDMA/TDD) scheme. Dynamic means thatthe AP can distribute radio resources to uplink and downlink of differentusers within one frame depending on the bandwidth needs of the differentusers. To see how this can be done we will examine the basic MAC framestructure shown in Figure 4.3.

Each MAC frame has a duration of 2ms. It comprises transport channelsfor broadcast control (BCH), frame control (FCH), access control (ACH),downlink data (DL), uplink data (UL) and random access (RCH). It also

Page 76: 10.1007%2Fb117438

58 Chapter 4

foresees an optional direct link (DiL) channel that allows directcommunication between mobile terminals. In all cases the allocation of theresources is performed by the AP. Let us examine these transport channels insomewhat more detail.

The broadcast channel (BCH) is sent from the access point to all mobileterminals at the beginning of each MAC frame. It contains channelinformation concerning the entire radio cell such as: the AP transmissionpower, the expected AP reception power, pointers to and information aboutthe different other transport channels in the frame. The BCH has a fixedlength of 15 bytes.

The frame channel (FCH) is sent from the access point to all mobileterminals. It conveys information that describes the structure of the airinterface of the MAC frame. This is done by resource grants (RG) thatdefine how many channels will be foreseen for uplink, downlink and directlink and which PHY modes that will be used in each of them.

The access feedback channel (ACH) is sent from the access point to allmobile terminals. It is used to inform the terminals that have sent a requestduring the random access (RCH) period of the previous MAC frame aboutthe results of their access attempts.

The downlink and the uplink phases convey information to and fromdifferent terminals. This information is sent in long channels (LCH) andshort channels (SCH). The long channels consist out of 54 bytes and containthe so-called user protocol data units (U-PDUs) which are used to conveyuser information. The short channels are 9 bytes long and are used to conveycontrol information.

Because the BCH, FCH, ACH and RCH are essential for the MACoperation they are always transmitted in the most robust physical mode, i.e.BPSK with code rate ½. The SCH and LCH are transmitted in a modedetermined by the AP that depends on the quality of the channel.

4.2.2.3 Radio Link Control (RLC) sublayer

The RLC sublayer performs the association control function (ACF), theradio resources control (RRC) and the DLC user connection control(DUCC).

4.2.2.3.1 The association control function (ACF)The association control function’s primary goal is to associate the MT

with the AP. For this purpose, the AP is periodically transmitting a beacon.The MT wanting to start a communication waits till it receives this beacon.If it does not receive the beacon after a certain time, it will send out amessage itself, asking the AP to send the beacon. Upon receipt of thebeacon, the MT will check whether it is allowed to associate with the

Page 77: 10.1007%2Fb117438

When people agree on OFDM 59

network and whether the AP it received the beacon from has the rightconvergence layer. If all this is successful, the MT will request a MAC IDfrom the AP.

Next, the MT and the AP negotiate the link capability to check thingssuch as the version of the protocol, the highest modulation, the frequencybands, and the authentication and encryption algorithms that are supportedby both.

If encryption is negotiated, the MT and the AP will exchange their publicDiffie-Hellman keys in order to calculate the encryption keys. This key iscalculated with the DES or the Triple DES algorithm [6], [7] and is refreshedregularly in order to guarantee secrecy. The encryption ensures that theauthentication can take place in encrypted mode. If the authenticationsucceeds, the MT is allowed to access the network and the associationprocedure will continue. Otherwise, the MT shall be rejected and the DLCconnection between MT and AP will be terminated. The MT may alsoterminate the access attempt if the AP authentication fails.

After successful association, the MT can request for a dedicated controlchannel (DCCH) that it uses to set-up DLC user connections. The MT canrequest multiple DLC user connections, each connection having its ownunique support for QoS.

Disassociation terminates the association between a MT and an AP.There are two types of disassociation: explicit and implicit. The explicitdisassociation is requested by the MT or the AP. The implicit disassociationis used if the MT and the AP have lost the ability to communicate with eachother for a certain period. In both cases, the AP will release the resourcesallocated to the MT.

4.2.2.3.2 The radio resources control (RRC)The RRC is responsible for the surveillance and the efficient use of the

available radio resources.The first service to support RRC is handover. A network handover is

carried out when an associated MT moves from one AP to another or whenthe link budget of another AP becomes more favorable. In this case, the MTnotifies both the current and the target AP that it will perform handover. Thetarget AP can then contact the old AP directly through the fixed network inorder to get the information which is required to continue communicationsuch as the MAC ID and the encryption key. Due to changes in thecommunication link, it can occur that the MT looses the connection to itscurrent AP before it can connect to the new AP. In this case, the MT willhave to set up a communication with the new AP starting from associationon.

A second service to support RRC is dynamic frequency selection (DFS).DFS assures that HIPERLAN/2 systems make equal use of the available

Page 78: 10.1007%2Fb117438

60 Chapter 4

frequencies (see section 4.2.3.1.7) under the consideration of avoidinginterference of other devices in the same spectrum. This interference mayoriginate from other HIPERLAN/2 systems in the neighborhood or fromother systems working in the same frequency band. In order to perform DFS,the AP will collect received signal strength (RSS) measurements of theentire HIPERLAN/2 frequency band. For this purpose, the AP can makemeasurements itself or it can instruct associated MTs to make measurementsand to send them back. Based on these measurements, the AP will determineto stay at the current frequency or to move to a new frequency. In the lattercase the AP will broadcast a message to all associated MTs to change theirfrequencies.

A third service to support RRC is transmission power control (TPC). Inthe uplink this means that every MT will transmit at the lowest possiblepower which still allows good reception by the AP. For the downlink, thepower control is implementation specific. There are just a few rules thatavoid interoperability problems and some spectrum regulatory requirements.

A fourth service to support RRC is the so-called MT Alive function. Thisfunction is used to check whether an associated MT and an AP can stillcommunicate with each other. For this purpose, the associated MTperiodically sends out a MT Alive signal. If the AP does not get this messagein the specified period it will explicitly solicit the MT to send this signal. Ifthe MT fails to do so, the AP it will disassociate the MT and reuse its MACID and other radio resources.

A fifth service to support RRC is power saving. This function allows theMTs to go to sleep mode in which the power consumption is greatly reducedbecause a large part of the receiver can be switched off. The MT can choosea sleeping time varying from 2 to MAC frames. In sleep mode the MTwill only listen to the broadcast channel with a period determined by thesleeping time. At this moment, the MT will either wake up because the APor the MT has new data to send or the MT will go back to sleep for anothersleeping time period.

4.2.2.3.3 The DLC user connection control (DUCC)This control function is responsible for setting up, maintaining, re-

negotiating and closing a DLC user connection (DUC) at the DLC layer.Both the MT and the AP can initiate the set up of the DUCC by a simplerequest. This request can either be acknowledged or rejected by the otherside. The moment the DUCC was accepted, actual traffic transmission in theuser plane can start.

Page 79: 10.1007%2Fb117438

When people agree on OFDM 61

4.2.2.4 Mapping of the MAC frame to the PHY frame

The different transport channels created by the MAC are combined in so-called PDU trains, which are forwarded to the PHY layer. There they areconverted to PHY bursts, as we will see in the PHY operation (see section4.2.3). HIPERLAN/2 distinguishes between 6 different PDU trains. A coupleof them are described below.1. Broadcast PDU train. This typically consists out of BCH-FCH-ACH and

is transmitted by the access point at the beginning of each MAC frame.

2. FCH-and-ACH PDU train. This train is only used by an AP that makesuse of multiple antenna sectors. We will not consider this burst further inthis document.

3. Downlink PDU train. This consists of a set of SCHs and LCHstransmitted from the AP to a MT, as shown in Figure 4.5. Because a MTcan maximally receive one downlink PDU train per MAC frame,sometimes multiple MAC frames are required to send all data from AP toMT over several downlink PDU trains.

4. Uplink PDU train with short preamble. This consists of a set of SCHs andLCHs transmitted from the MT to the AP, as shown in Figure 4.6.Because a MT can maximally transmit one uplink PDU train per MACframe, sometimes multiple MAC frames are required to send all datafrom MT to AP over several uplink PDU trains. In addition to the SCHsand LCHs the MT may also make an access attempt with the RCH.

Page 80: 10.1007%2Fb117438

62 Chapter 4

5. Uplink PDU train with long preamble. This one is identical to theprevious one except for the preamble. The BCH will announce whichpreamble has to be used in the uplink train.

6. Direct link PDU train. This PDU train consists of all LCHs and SCHsbelonging to the same pair of source and destination MAC Ids, as shownin Figure 4.7. A set of SCHs and LCHs is granted for each DLCC by oneRG. All corresponding DLCCs shall be grouped in a single PDU train.

In Table 4.1 an overview can be found of how many OFDM symbols areneeded to transmit the different transport channels depending on the coderate and the modulation that is being used in the physical layer.

4.2.3 Physical layer (PHY)

For the purpose of elaborating the specification of physical layerfunctions, a reference configuration of the transmission chain is used as

Page 81: 10.1007%2Fb117438

When people agree on OFDM 63

shown in Figure 4.8. It should be noted that only the transmission part isspecified. The receiver is specified only via the overall performancerequirements. This allows different manufacturers to develop their ownintellectual property for algorithms and implementations.

The PHY layer of HIPERLAN/2 offers information transfer services tothe DLC of HIPERLAN/2. For this purpose, it provides functions to map theoutput of the DLC, the so called DLC PDU trains (see section 4.2.2.4), ontoPHY bursts. These are appropriate for transmitting and receivingmanagement and user information between an AP and a MT in thecentralized mode or between two MTs in the direct mode. This includes thefollowing functional entities in the transmitter:

Configuring the transmission bit rate by choosing appropriate PHY modebased on the link adaptation mechanism, described in section 4.2.3.1.Scrambling the PDU train content described in section 4.2.3.1.1.Encoding the scrambled bits according to the forward error correction setduring PHY layer configuration, described in section 4.2.3.1.2.Interleaving the encoded bits at the transmitter by using the appropriateinterleaving scheme for the selected PHY mode described in section4.2.3.1.3.Sub-carrier modulation by mapping the interleaved bits into modulationconstellation points described in section 4.2.3.1.4.Producing the complex base-band signal by OFDM modulation describedin section 4.2.3.1.5.Inserting pilot sub-carriers, appending appropriate preamble to thecorresponding PDU train at the transmitter and building the PHY burst,described in section 4.2.3.1.6.Performing radio transmission by modulating the radio frequency carrierwith the complex base-band signal at transmitter described in section4.2.3.1.7.

Page 82: 10.1007%2Fb117438

64 Chapter 4

4.2.3.1 Different PHY modes

The PHY layer of HIPERLAN/2 is based on the Orthogonal FrequencyDivision Multiplexing (OFDM) scheme. In a typical indoor communicationscenario, the channel will vary as a result of varying multipath conditions orinterference levels. In order to improve the radio link capability a multi-ratePHY layer is applied, where the “appropriate” mode will be selected by alink adaptation scheme. The data rate can be varied from 6 to 54 Mbps byusing various signal alphabets for modulating the OFDM sub-carriers and byapplying different puncturing patterns to a mother convolutional code.BPSK, QPSK, 16QAM are used as mandatory modulation formats, whereas64QAM is optional for both AP and MT. The mode dependent parametersare listed in Table 4.2.

4.2.3.1.1 Data scramblerThe content of each PDU train ( bits) from the DLC shall be

scrambled with a length-127 scrambler. The scrambler uses the generatorpolynomial S (x) that is illustrated in Figure 4.9 and defined by

The same scrambler is used to scramble transmit data and to descramblereceive data. All PDU trains belonging to a MAC frame are transmitted withthe same initial state for scrambling. The initialization sequence depends onthe type of burst that is transmitted. For the details of this mechanism werefer to the standard document [3].

Page 83: 10.1007%2Fb117438

When people agree on OFDM 65

4.2.3.1.2 FEC CoderA channel encoder unit encodes the scrambled PDU train of bits.

The encoder block diagram is shown in Figure 4.10. It consists of fourblocks: code termination, encoding, code rate independent puncturing (P1),and code rate dependent puncturing (P2).

The code termination, encoding, and puncturing P1 is performed in aspecific way for different types of PDU trains. For the details of thismechanism we refer to the standard document [3].

Page 84: 10.1007%2Fb117438

66 Chapter 4

The convolutional encoder block diagram is shown in Figure 4.11. It hasa code rate 1/2 with 64 states. The generator polynomials of the mother codeare for the X output and for the Y output. Theencoder is set to zero state before the encoding process.

The P1 puncturing assures that an encoded PDU train fits precisely intoan integer number of OFDM symbols.

The puncturing P2 has as role to provide code rates of 9/16 and 3/4 bypuncturing the output of P1.

4.2.3.1.3 Data interleavingAll encoded data bits are interleaved by a block interleaver with a block

size corresponding to the number of bits in a single OFDM symbol,The interleaver is defined by a two step permutation. The first ensures thatadjacent coded bits are mapped onto nonadjacent sub-carriers. The secondpermutation ensures that adjacent coded bits are mapped alternately onto lessand more significant bits of the constellation to avoid long runs of lowreliability bits.

We denote by the index of the coded bit before the

first permutation; i is the index after the first and before the secondpermutation and j is the index after the second permutation, just prior tomodulation mapping. The first permutation is then defined by the rule

where function floor(.) denotes the largest integer not exceeding theparameter, and mod is the integer modulo operator.

The second permutation is defined by the rule

where the value of s is determined by the number of coded bits per sub-carrier, according to:

4.2.3.1.4 Signal constellations and mappingDepending on the PHY mode selected for data transmission, the OFDM

sub-carriers are modulated with BPSK, QPSK, 16QAM or 64QAM. The

Page 85: 10.1007%2Fb117438

When people agree on OFDM 67

interleaved binary serial input data is divided into groups of (1, 2, 4 or6) bits and converted into complex numbers representing BPSK, QPSK,16QAM or 64QAM constellation points. The conversion is performedaccording to Gray coded constellation mappings.

4.2.3.1.5 OFDM modulationThe stream of complex valued sub-carrier modulation symbols at the

output of the mapper is divided into groups of complex numbers.Each group is transmitted in an OFDM symbol. All data OFDM symbols

contain data in data carriers and reference information in pilot carriers. Fordata there are carriers and for pilots carriers in each symbol. Thus,each symbol is constituted by a set of carriers and transmitted with aduration This symbol interval consists of two parts: a useful symbol withduration and a cyclic prefix with duration The cyclic prefix is a copyof the last samples of the symbol part sent in front of the symbol part.

The length of the useful symbol part is equal to 64 samples and itsduration is For the cyclic prefix length there are two possiblevalues in the HIPERLAN/2 system: mandatory 800 ns and optional 400 ns.The 800 ns guard interval is sufficient to allow good performance inchannels with a delay spread up to 250 ns. The 400 ns guard interval can beused for communication in small indoor environments.

Numerical values for the OFDM parameters are given in Table 4.3.

The reference signal transmitted in the pilot carriers is defined as andis generated with the polynomial S(x) used for data scrambling:

This scrambler is initialized at the beginning of each PDU train.

Page 86: 10.1007%2Fb117438

68 Chapter 4

The mapping from data and pilot complex symbols into the sub-carrierfrequencies is shown in Figure 4.12. Here, stands for complex datasymbol i transmitted at OFDM symbol n.

The resulting OFDM symbols are extended with a cyclic prefix andconcatenated to constitute the baseband PDU train, called payload. Thestructure of the payload section is illustrated in Figure 4.13. It consists ofvariable number of OFDM symbols required to transmit the PDUtrain payload.

4.2.3.1.6 PHY burst formatterThe HIPERLAN/2 system distinguishes between five different kinds of

PHY bursts1. Broadcast burst2. Downlink burst3. Uplink burst with short preamble4. Uplink burst with long preamble5. Direct link burst (optional)

The PDU trains delivered by the DLC are mapped onto the PHY burstsdepending on the type of link and service that is required (see section4.2.2.4).

Independently of the burst type, each burst consists of two sections:preamble and payload. Each burst is started with a preamble section,

which is followed by a payload section, The basicstructure of a PHY burst is illustrated in Figure 4.14.

Page 87: 10.1007%2Fb117438

When people agree on OFDM 69

Each MAC frame start with a broadcast burst that will be used forautomatic gain control and channel estimation, as well as frequency andframe timing synchronization [13]. Therefore we will discuss the preambleof the broadcast burst more in detail. The broadcast burst consists of apreamble of length and a payload section of length

The structure of the broadcast burst preamble is illustrated inFigure 4.15. It is composed of three sections: Section 1, Section 2 andSection 3.

Section 1 consists of 5 specific short OFDM symbols that are denoted Aand IA in Figure 4.15. The term "short OFDM symbols" refers to theirlength of 16 samples instead of a regular OFDM symbol of 64 samples. Thefirst 4 short OFDM symbols in section 1 (A, IA, A, IA) constitute a regularOFDM symbol consisting of 12 loaded sub-carriersand given by the frequency-domain sequence SA. The last shortsymbol in section 1 (IA) is a repetition of the preceding 16 time-domainsamples. SA is defined as

Section 2 consists of 5 specific short OFDM symbols that are denoted Band IB in figure 12. The first 4 short OFDM symbols in section 2 (B, B, B,B) constitute a regular OFDM symbol consisting of 12 loaded sub-carriers( and ) given by the frequency-domain sequenceSB. The last short symbol in section 2 (IB) is a sign-inverted copy of thepreceding short symbol B, i.e. SB is defined as

Page 88: 10.1007%2Fb117438

70 Chapter 4

Section 3 consists of two OFDM symbols (C) of normal length precededby a cyclic prefix (CP). All the 52 sub-carriers are in use and they aremodulated by the elements of a frequency-domain sequence SC. The cyclicprefix CP is a copy of the 32 last samples of the C symbols and is thusdouble in length compared to the cyclic prefix of a normal data symbol. SCequals

Concatenating the above-described preamble with the data payloadforms the broadcast burst. The resulting broadcast burst is illustrated in

Figure 4.16. This figure further also shows the format of the other PHYbursts.

4.2.3.1.7 Radio transmissionThe complex digital data leaving the burst formatter have to be converted

to the analog domain and upconverted to a radio frequency. The nominalfrequencies for HIPERLAN/2 are allocated in two frequency bands. A lowerfrequency band from 5150 MHz to 5350 MHz and an upper frequency bandbetween 5470 MHz and 5725 MHz. The nominal carrier frequencycorresponds to its carrier number, which is defined as:

The nominal carrier frequencies are spaced 20 MHz apart. Alltransmissions shall be centered on one of the nominal carrier frequencies.The center frequencies in Europe are shown in Table 4.4.

Page 89: 10.1007%2Fb117438

When people agree on OFDM 71

Page 90: 10.1007%2Fb117438

72 Chapter 4

For each of the different subcarriers the transmitted signal has to fallwithin the spectral mask defined in Figure 4.17.

Page 91: 10.1007%2Fb117438

When people agree on OFDM 73

4.3 DIFFERENCES BETWEEN HIPERLAN/2 ANDIEEE 802.11A

At the same time that the HIPERLAN/2 standard was drafted in Europe,the IEEE 802.11a standard saw the light in the US. Thanks to aharmonisation process, the physical layers for the two standards are verysimilar [10], [11], [12], [13]. The protocols are however completelydifferent. 802.11a uses a distributed MAC based on carrier sense multipleaccess with collision avoidance (CSMA/CA). HIPERLAN/2 uses acentralised scheduled MAC. In Table 4.5, a comparison between of the802.11a and the HIPERLAN/2 standards is provided.

In Japan the Multimedia Mobile Access Communication (MMAC)Organization [14] is also working on a 5 GHz WLAN standard. Three ad-hoc sub committees are active in this field. The wireless home-linkcommittee is investigating a wireless 1394 solution. The 5 GHz Ethernetworkgroup is focussed on indoor PC networks and adopted the IEEE802.11a standard. The 5GHz high speed wireless access sub committeelooks both in indoor and access networks and supports the HIPERLAN/2standard. Further harmonisation between the two standards is pursued. Amajor complication for the success of these standard in Japan is the fact thatonly 100 MHz, from 5.15 to 5.25 GHz, is available. This results in only 4carrier frequencies.

Page 92: 10.1007%2Fb117438

74 Chapter 4

REFERENCES

[1]

[2][3]

[4]

[5]

[6]

[7]

[8]

[9]

[10]

[11]

[12]

[13]

[14]

[15]

http://www.etsi.org/technicalactiv/hiperlan2.htm#Overview/, overview of ETSIHIPERLAN/2.HIPERLAN/2 Global Forum, http://www.hiperlan2.com/web/.ETSI TS 101 475, Broadband Radio Access Networks (BRAN); HIPERLANType 2; Physical (PHY) layer.ETSI TS 101 761-1, Broadband Radio Access Networks (BRAN); HIPERLANType 2; Data Link Control (DLC) layer, Part 1: Basic Data Transport Functions.ETSI TS 101 761-2, Broadband Radio Access Networks (BRAN); HIPERLANType 2; Data Link Control (DLC) layer, Part 2: Radio Link Control (RLC)sublayer.US National Bureau of Standards, “Data Encryption Standard,” FederalInformation Processing Standard (FIPS) Publication 46-2, December 1993.US National Bureau of Standards, “Guidelines for Implementing and Using theData Encryption Standard,” Federal Information Processing Standard (FIPS)Publication 74, April 1981, http://www.itl.nist.gov/div987/pubs/fip74htm.ETSI TS 101 493-1, Broadband Radio Access Networks (BRAN); HIPERLANType 2; Packet based Convergence Layer; Part 1: Common Part.ETSI TS 101 493-2, Broadband Radio Access Networks (BRAN); HIPERLANType 2; Packet based Convergence Layer; Part 2: Ethernet Service SpecificConvergence Sublayer (SSCS).Martin Johnsson, “HIPERLAN/2 – The Broadband Radio TransmissionTechnology Operating in the 5 GHz Frequency Band,” version 1, HIPERLAN/2global forum.Richard van Nee, “A new OFDM standard for high rate wireless LAN in the5GHz band,” Proceedings Vehicular Technology Conference, Volume 1, pp.258-262, September 19-22, 1999.Neeli R. Prasad and Harold Teunissen, “A state-of-the-art of HIPERLAN/2,”Proceedings Vehicular Technology Conference, Volume 5, pp. 2661-2666,September 19-22, 1999.Jamshid Khun-Jush, Peter Schramm, Udo Wachsmann, and Fabian Wenger,“Structure and Performance of the HIPERLAN/2 Physical Layer,” ProceedingsVehicular Technology Conference, Volume 5, pp. 2667-2671, September 19-22, 1999.Multimedia Mobile Access Communication (MMAC) Systems,http://www.arib.or.jp/mmac/e/index.htmIEEE Std 802.11a, Wireless LAN medium access control (MAC) and physicallayer (PHY) specifications: High-speed physical layer in the 5GHz band,September 1999.

Page 93: 10.1007%2Fb117438

Chapter 5

Beating the wireless channelChannel estimation and equalisation

Luc DeneireIMEC

5.1 INTRODUCTION

To achieve high data rates with good performance in current OFDMsystems for Wireless Local Area Networks, we use coherent detection. Thecoherent detector relies on the knowledge of phase and amplitude variationsthat are present on each (flat fading) subcarrier, i.e. on the knowledge of thechannel.

Channel estimation can be done in various ways: with or without the helpof a parametric model, with the use of frequency and/or time correlationproperties of the channel, blind or training based, adaptive or not.

Non parametric estimation determines the quantities of interest withoutrelying on a specific channel model, whereas parametric estimation relies ona specific channel model, determines the parameters of this model and infersthe quantities of interest (for example the frequency response). Parametricchannel estimation usually offers better performance, as the number ofquantities to estimate is smaller, but potentially suffer from model mismatchproblems.

Time and frequency correlations are specific properties of the wirelesschannel. Straight-forward non-parametric estimators do not take thisproperty into account, whereas more advanced estimators explicitly orimplicitly take profit of it.

Training-based estimation techniques are common in mostcommunication systems, where the sender emits some known signal, toachieve synchronisation and channel estimation (or equaliser training). Blindestimation on the other hand only relies on the properties of the signals (their

Page 94: 10.1007%2Fb117438

76 Chapter 5

statistical properties, like cyclo-stationarity or their deterministic properties,like their so-called Finite Alphabet property or constant amplitude propertyfor GSM). Although blind estimation is seldom used in practical OFDMsystems, we provide some references to it [15][16][17][18].Adaptive channel estimation is needed whenever the channel is varyingrapidly in time. Most channel estimators are derived in the non-adaptiveframe (one usually talks about an acquisition phase) and further extended tothe adaptive case (by merely extending the block algorithm or by performingspecific parameter tracking).

For the wireless OFDM channels, this chapter provides an overview ofthe major estimation techniques, along with a discussion on theircharacteristics in terms of performance and complexity.

5.2 CHANNEL MODELS AND CHARACTERISTICS

This section recapitulates the system model of chapter 3 for wirelessOFDM that is valid when the cyclic prefix condition (i.e. the channel lengthis shorter than the cyclic prefix) holds.

5.2.1.1 Notations

To ease mathematical derivations, this chapter relies on a vector/matrixdescription of the signals. The following notations apply: normal lettersrepresent scalar quantities, boldface letters represent vectors and boldfacecapitals are matrices. Slanted (respectively roman) letters indicate time(respectively frequency) domain quantities. and respectively meantranspose, conjugate transpose and Moore-Penrose pseudo-inverse of X. If Xis full column rank, then is the orthogonal projectiononto the space spanned by the columns of X.

5.2.1.2 Transmission model and training set-up

OFDM modulation consists in multiplexing QAM data symbols over alarge number of orthogonal carriers. To this end, the QAM symbols of anOFDM symbol are passed through an Inverse Fast Fourier Transform(IFFT). In the presence of a time dispersive channel, a Cyclic Prefix (CP) isprepended to each OFDM symbol to preserve orthogonality between carriersand eliminate Inter Symbol Interference (ISI).

We consider a single user / single channel communication set-up (seeFigure 5.1), with OFDM modulation, described by

Page 95: 10.1007%2Fb117438

Beating the wireless channel 77

where denotes the Hadamard (i.e. element-wise) product of thecolumns of X with H. For a single OFDM symbol:

An OFDM symbol is written as where

denotes the number of carriers and m is a time (i.e. OFDM symbol) index.The latter is often omitted for clarity. After performing an IFFT and insertinga cyclic prefix of samples, the transmitted signal is transformed into

For a channel response where the

expression is the FFT of the channel response.

Previous equations further take the additive (possibly coloured) Gaussiannoise into account. Next, equalisation is a complex division on each carrier.Another classical view of this peculiar channel model is that the “OFDMchannel” can be viewed as a set of parallel Gaussian channels (a complexgain followed by an Additive White Gaussian Noise), like sketched inFigure 5.2.

Two types of training, Pilot Symbol Assisted Modulation (PSAM) andspectral shaping systems are considered. In classical training basedestimation, all components of x are known. Spectral based systems use aminor modification of the classical training, zeroing a small number ofcarriers (named zero carriers) at the edges and in the middle of the utilisedband. PSAM on the other hand bases it's channel estimation on a smallfraction of the carriers, usually evenly spaced on the whole band, andpossibly on varying positions from one OFDM symbol to the next.

received vector is, after prefix removal and FFT, In this

Page 96: 10.1007%2Fb117438

78 Chapter 5

5.2.1.3 Non sample spaced channel, time synchronisation andchannel estimation performance.

The above channel model assumes sample spaced channels. A samplespaced channel has all delayed impulses of its channel impulse response atinteger multiples of the system sampling interval. Hence, the continuousFourier transform of the channel impulse response is a channel frequencyresponse with non-zero values at multiples of the system’s sampling rate.Due to this particular frequency response, and for the usual case of a samplerate which is (possibly a multiple of) the symbol rate, the samples of thechannel frequency response coincide exactly with the DFT of the channelimpulse response.

In the non-sample-spaced channel, the channel is actually resampled inthe receiver sampling process. As a consequence, there is no exactcorrespondence between the channel frequency response and the DFT of thesampled channel impulse response. Actually, the resampling process resultsin an extension of the equivalent sample-spaced channel impulse response(sketched on the right part of Figure 5.3). This leads to the need for a longerguard interval to ease synchronisation. It can also cause performance loss ofthe channel estimator, if it is based on a limited channel length assumption.

Page 97: 10.1007%2Fb117438

Beating the wireless channel 79

Furthermore, the Cyclic Prefix Condition also relies on a correct frame(timing) synchronisation. In the case of early synchronisation

Page 98: 10.1007%2Fb117438

80 Chapter 5

(synchronisation tick is given earlier than should be), the effect is simply thatthe measured channel begins with some zeros, and hence there is someadditional guard interval needed to fulfil the Cyclic Prefix Condition. In thelate synchronisation case, the Cyclic Prefix Condition is violated. Hence, inthe system design, the maximum synchronisation error should be specifiedand taken into account in the length of the Cyclic Prefix. The effects of lateand early synchronisation are illustrated in Figure 5.4.

5.3 ONE-DIMENSIONAL CHANNEL ESTIMATORS

The structure of OFDM signalling allows a channel estimator to use bothtime and frequency correlation. Such a two-dimensional estimator structureis generally too complex for a practical implementation. To reduce thecomplexity, separating the use of time and frequency correlation has beenproposed [1], still with prohibitive complexity.

To pave the way towards low complexity estimators, we will only use thefrequency correlation of the channel in the estimation. Two different types ofblock-oriented channel estimators for OFDM are discussed. The first onerelies on a linear minimum mean-squared error (LMMSE) estimator usingonly frequency correlation and on low-rank approximation theory to achievelow complexity. This method was proposed by Edfors et al. [2]. The secondestimator relies on the sample-spaced channel model and proposes aMaximum Likelihood estimator in this framework. Apart from optimality(under the channel model hypothesis), this method, somewhat surprisingly,leads to a low complexity implementation and was proposed by Deneire etal. [3].

Next, we discuss a third estimator that goes a step further in exploitingthe channel model. It relies on the non sample-spaced multipath channelmodel, employing the ESPRIT (estimation of signal parameters by rotationalinvariance techniques) method to do the initial multipath time delaysacquisition and using an interpath interference cancellation delay lockedloop to track the channel multipath time delays. This estimator was proposedby B. Yang et al. [10].

As a reference for these estimators we first introduce the straightforwardLeast Squares (LS) estimator.

5.3.1.1 The LS estimator

The first and simplest channel estimator one can imagine consists simplyin dividing the received signal by the symbols that have been actually sent(and that are supposed to be known). This estimator is usually known as the

Page 99: 10.1007%2Fb117438

Beating the wireless channel 81

Least Squares estimator, and can be written as (where the division signmeans element-wise division of y by x):

The main advantage of this estimator is its simplicity: one division percarrier. The main disadvantage is it's poor performance, due to the use of anoversimplified channel model. Indeed, the frequency and time correlation ofthe channel are not taken into account in the LS estimator, as it is based onthe parallel Gaussian channel model sketched in Figure 5.2. The frequencycorrelation, closely linked to the short channel impulse response of thechannel, can be used both in the non-sample spaced channel model (leadingto LMMSE and parametric multipath-based estimators) and in the samplespaced channel model (leading to the Maximum Likelihood estimator). Thetime correlation (linked to the slow variation of the channel), can also beused in the same frames, although it is usually not used as such, due to thedelay that would be introduced by needing several OFDM symbols beforeestimation (and hence detection). Time correlation can be implicitly used bydecision-feedback mechanisms.

5.3.1.2 The LMMSE estimator

The Linear Minimum Mean Squared Error channel estimator tries tominimise the mean squared error between the actual and estimated channels,obtained by a linear transformation applied to The standard estimationtheory tell us that this estimator is :

where is the correlation matrix of the channel, is

the noise power, DIAG(x) is the diagonal matrix with elements equal to thevector x taken in argument and CONJ(x) is the conjugate of it's argument x.

The LMMSE estimator proposed is relying on a complex matrixmultiplication, which implies a very high complexity compared to the twoDFTs and divisions needed for the normal OFDM modem.

The low complexity approximate LMMSE estimator relies on the factthat the channel correlation matrix is nearly rank deficient, due it'sfrequency correlation. Hence, one can apply rank reduction and only use themost significant part of

Optimal rank reduction is achieved by using the singular valuedecomposition (SVD) [5]. The SVD of the channel correlation matrix can

Page 100: 10.1007%2Fb117438

82 Chapter 5

be written as where is a diagonal matrix with thesingular values on it's diagonal and U is the unitary matrix formed by thesingular vectors. It can then be shown [3] that the best rank-p estimator ofthe LMMSE estimator is given by:

where is a rank-p diagonal matrix whose p first elements are equal to

and the last elements are zeros. Factor is a

constellation dependent constant.Based on this estimator, one can reduce the complexity from complex

operations to operations. Hence, the complexity decrease is a functionof the frequency correlation of the channel. Further refinements in thecomplexity analysis are given in the following section, devoted to the MLestimator.

5.3.1.3 The Maximum Likelihood OFDM channel estimator

The frequency correlation implicitly used by the low complexity MMSEestimator is linked to the finite delay spread of the channel. This has beenrecognised by Rayleigh and Jones [6], who estimate the channel from asubset of the carriers, but restrict them to regularly spaced pilots (infrequency).

Based on the sample-spaced deterministic model of the channel, wederive the associated Maximum Likelihood estimator, show that it can beinterpreted as a transformation from frequency domain to time domain andback to frequency (like for the LMMSE). The actual estimation is done inthe time domain, where the number of parameters (i.e. the channel length) issmall. The estimator is obtained by minimising a quadratic criterion, which,combined with the small number of parameters, leads to a low complexityalgorithm. As such, we have obtained an exact low complexity solution andextended it to Pilot Symbol Assisted Modulation (PSAM).

Page 101: 10.1007%2Fb117438

Beating the wireless channel 83

5.3.1.3.1 Reduced order modelSince the time domain channel h has a finite length (in a well-designed

OFDM system smaller than the prefix length) these parallel channels featurecorrelated attenuations. Considering, without loss of generality, that x equals

the received signal can be written as :

where F is a FFT matrix. y is a Gaussian random variable withmean and covariance matrix However, the signal part of y iscontained only in the space spanned by its mean. Separating the “signalsubspace” from the “noise only subspace”, the received signal can berewritten as (with partitioning of the F matrix):

Relying on this, the reduced space signal is defined as

where v is a zero mean Gaussian noise with covariance matrixIf then where denotes an identity

matrix of size The ML estimator is then given by [4]:

where denotes the orthogonal projection on the column-space of

As the channel estimator is the cascade of an IFFT,a weighting matrix and an FFT. This is equivalent to going from thefrequency domain to the time domain, force the time channel estimator to beof length ( denoting the estimated channel length) and going back tothe frequency domain.

5.3.1.3.2 Extensions to PSAM and spectral shaping systemsThe above derivation assumes that all carriers are present. However, in

the case of Pilot Symbol Assisted Modulation and spectral shaping systems,not all symbols in x are known, and only a subset of measured carriers

Page 102: 10.1007%2Fb117438

84 Chapter 5

can be used. Only this part of the signal (noted ) will be used and equation(5.9) becomes

where measured pilots have been grouped together and definedaccordingly.

The ML estimator for spectral shaping systems is (only

the measured carriers are estimated, as they are the only ones carrying data)and, for PSAM, it is the whole channel is estimated).

For these estimators a similar time-frequency interpretation is possible,with the following modifications :

The initial IFFT is partial, as only part of the carriers are measured.The non-trivial part of the channel impulse response is weighted by

5.3.1.3.3 Combination of PSAM and Decision-Feedback (DF)The classical ML solution can be applied to a combination of PSAM and

decision-feedback. Indeed, suppose we use the pilot symbols along withdecisions taken on the other carriers, then remains valid, with agiven which leads to Hence, if the designer can afford

the increment in complexity, combination of PSAM and decision-feedbackis desirable. Indeed, Figure 5.8 shows that a difference in performance of 2-3 dB can be expected between an all-pilot system (which is equivalent tocombined PSAM/DF if decision errors are neglected) and a PSAM systemwith 8 pilot carriers.

5.3.1.3.4 ComplexityThe complexity of the ML estimator is significantly lower than the

complexity of the LMMSE estimator, both for spectral shaping and PSAMsystems. This low complexity relies on the time-frequency interpretation andon the partial (I)FFTs.

Spectral shaping systems

By construction, is a low rank matrix (of rank ). Taking its

hermiticity into account, it can be written as where V is a

matrix of size that can be precomputed. Hence, the complexity forcomputing the ML estimator is reduced to complex

Page 103: 10.1007%2Fb117438

Beating the wireless channel 85

multiplications. The complexity reduction for this ML and for the LMMSEestimator are illustrated below.

Further complexity reduction can be obtained by using the time-frequency interpretation. Indeed, the projection operation can be expressedby the cascade of two partial FFTs, weighted by a matrix (if allcarriers are used as pilots, it is an identity matrix). With a radix-4implementation of the FFT, the complete estimator would require

complex multiplications. Furthermore, some additionalcomplexity gain can be achieved by using FFT pruning or transformdecomposition [7]. Such techniques lead to a significant gain for the FourierTransforms. However, the last term due to the weighting matrix

remains unchanged.

PSAM

When using Pilot Symbol Assisted Modulation, a comb spectrum (Figure5.6) has to be measured, and only the teeth of this comb are used for theFFTs. This particular case has been studied by He and Torkelson [8]. In thiscase, the DFT can be computed with complexmultiplications, which represents a large gain when the number of carriers islarge.

Page 104: 10.1007%2Fb117438

86 Chapter 5

Figure 5.7 shows complexity evaluations of the four algorithmicapproaches:

the SVD-based approach;the frequency-time approach with plain FFTs ;the frequency-time approach with FFT pruning like Sorensen in [7];the frequency-time approach with FFT optimised for a combspectrum (He and Torkelson [8]);

Note that the complexity gains are larger for a large number of carriers.

The complexity for FFT-based solutions is much lower than for the SVD-based approach, both for spectral shaping and PSAM systems. Futhermore,simulations show that the ML algorithm can work with a significantlysmaller than the LMMSE, which results in a still larger gain than appearsin Figure 5.7.

For a relatively large number of pilot carriers, the main contribution tothe complexity is due to the weighting matrix (see the curves in Figure5.7). However, for pure PSAM with regularly spaced pilot carriers, it caneasily be shown that the weighting matrix is proportional to theidentity matrix, and complexity is even lower. This special case of ouralgorithm is the frequency correlation part of the algorithm developed byRayleigh and Jones [6].

Page 105: 10.1007%2Fb117438

Beating the wireless channel 87

5.3.1.3.5 Simulation resultsTo evaluate the performances of the ML estimator, and compare it with

the LMMSE algorithm, we simulated a spectral shaping system and aPSAM-based system in an indoor radio channel.

An 64-carriers OFDM scheme, with zero carriers as in HIPERLAN/2 anda 256-carriers PSAM system are simulated. The data rate is 20Msamples/second over the air (i.e. including the cyclic prefix) with a carrierfrequency of 5.6 GHz. The simulation are based on a collection of 120indoor office-like channels obtained by ray-tracing, with channel lenghts inthe order of 4 to 6 taps.

For the spectral shaping system, the Bit Error Rate (BER) is simulated forboth LMMSE and ML estimators and for ranging from 4 to 16. BERbased on exact channel knowledge and raw measurements are evaluated forcomparisons. Simulation results (Figure 5.8) clearly show that the LMMSEsuffers from a threshold effect at high SNR, as reported in [3]. To obtainsimilar performances for both algorithms, must be 2 to 4 times larger forLMMSE than for ML. For the PSAM-based system, similar conclusionshold.

Page 106: 10.1007%2Fb117438

88 Chapter 5

5.3.1.4 A Parametric approach based on ESPRIT.

The previous method relies on a very simple parametric model of thechannel, namely that the channel has a small number of multipath that arelocated (in time) at the OFDM system sampling instants. In a real systemhowever, the location of the multipath will not coincide with the systemsampling instants. This leads to a parametric multipath channel model. Whenthe channel correlation matrix is constructed based on this parametricchannel model, the signal subspace dimension of the correlation matrix canbe reduced further than for the ML estimator. Accordingly, the channelestimator performance can be improved. Moreover, the high-speed datatransmission in wireless communications potentially results in a sparsemultipath fading channel, this sparsity can be used to lower the complexityof the estimator.

B. Yang [10] proposed an improved channel estimation method forOFDM transmission over the sparse multipath fading channels using pilotsubcarriers. The channel estimator is derived to estimate the parameters ofthe channel model, which include the time delays, gains, and phases of thepaths. The number of paths is determined by an information theoreticcriterion (the Minimum Description Length or MDL criterion). Then theinitial multipath time delays are determined by Estimation of SignalParameters by Rotational In variance techniques (ESPRIT) [11].Furthermore, to be able to track the (slowly) time-varying channel, theypropose an interpath interference cancellation (IPIC) delay locked loop(DLL) to track the channel multipath time delays and rely on a MMSEapproach to estimate the frequency response of the channel.

5.3.1.4.1 System assumptionsThe OFDM system under consideration is a PSAM system, with evenly

spaced pilot carriers, at fixed positions and repeated at each OFDM symbol(generalisations to other pilot patterns are possible). The pilot pattern ischosen so that the sampling rates (in frequency and time domain) allow theestimation of the channel (sampling rates will be function of the maximumdelay spread and maximum Doppler spread).

5.3.1.4.2 The Acquisition PhaseThe first step consists in determining the number of multiple paths

present in the channel. To this end, the Minimum Description Lengthmethod is used. It tries to minimise a criterion which is a function of thechannel (basically via a log likelihood function) and which is penalised bythe number of parameters to be estimated (in order to "balance" between thelog likelihood, which is a monotonous function, and the number ofparameters). Without going into the details of the derivations (see [10]), the

Page 107: 10.1007%2Fb117438

Beating the wireless channel 89

method relies on the logarithm of the geometric mean of the singular valuesof the channel correlation matrix. This is already an order of complexityhigher than previous methods (here the SVD has to be computed online,unlike the two other methods).

Initial multipath time delays are estimated by the ESPRIT [11]method. This method relies on the eigendecomposition of a matrix which isformed with the L (L being the number of multipaths estimated here above)eigenvectors associated with the largest eigenvalues of the channelcorrelation matrix.

5.3.1.4.3 Tracking phaseAs the ESPRIT method is computationally complex, and as the channel is

slowly varying, the time delays can be tracked by a classical Delay LockedLoop (DLL). However, much like in W-CDMA systems, Inter PathInterference (IPI) dominates the DLL performance. Hence, an IPICancelling method is needed.

The main idea of IPIC is, based on the knowledge of the channel at theprevious symbol time, to subtract the IPI from the received signal. Indeed,the received signal can be written as:

Hence, to estimate the delay of path one can use the cleaned-upreceived signal:

This signal is then given to the "classic" DLL.Once the delay parameters are known, the channel estimation phase relies

on an adapted version of the LMMSE estimator, with the additional benefitthat, through the knowledge of delay parameter, the signal subspacedimension of the correlation matrix is known. This knowledge enables low-complexity channel estimation with no performance penalty (like inapproximate LMMSE, due to the approximation, or in the ML, due to themore simple channel model).

Page 108: 10.1007%2Fb117438

90 Chapter 5

5.3.1.4.4 Simulation resultsThe authors considered a 1024 carrier OFDM system, with 16 QAM

modulation on the 901 used carriers. The system occupies 5 MHz in the 2.4Ghz frequency band. The guard interval consists of 64 samples and there are32 evenly space pilot carriers (in fact 29, due to the 124 zero carriers thatperform spectral shaping). The sample period is (hence the symbolduration is 0.205 ms). The channel is the "Vehicular A" channel specified bythe ETSI for the evaluation of UMTS, consisting of 6 paths with a maximumdelay of (i.e. about 12 sample periods) and the maximum Dopplerfrequency is set to be 100 Hz.

The most important simulation results are that, in this particularscenario, the proposed estimator performs about 4 dB better than theLMMSE estimator in a 3 paths channel, and this improvement goes up whenmore channels are present.

5.4 TWO-DIMENSIONAL CHANNEL ESTIMATORS.

Previous methods only take advantage of the frequency correlation of thechannel. But, as the channel is slowly varying, one can also benefit of therather strong time correlation. The aforementioned estimators can beextended in the time direction. After a brief discussion on the pilot patternsthat can be used in 2-D channel estimation, we will briefly point out the mostrelevant methods for the wireless channel, again stressing the complexityissues.

5.4.1.1 Pilot patterns

When using pilot tones to perform 1-D channel estimation, the formermethods use evenly spaced pilots. This choice can be theoretically justified

by comparing the MMSE of the estimator for different set of pilots, as ispointed out by Negi and Cioffi in [12]. They also show that, from a point of

view of performance, properly chosen pilot grids for the 2-D case couldyield some advantage. In the case of 2-D channel estimation, the most

natural choice is to use a rectangular time-frequency grid, like sketched in

Figure 5.9, although other choices, like the triangular one in the samefigure, are also possible. This particular issue has been tackled by Garcia etal in [13], where they compare the BER for different patterns, assuming a 2-D Wiener filtering and the same number of pilots. They come to theconclusion that the hexagonal pilot pattern provides better performance thanrectangular pilot patters and triangular pilot patterns.

Page 109: 10.1007%2Fb117438

Beating the wireless channel 91

5.4.1.2 The 2-D estimators.

The best 2-D linear estimator is obtained by 2-D Wiener filtering.Stacking the Least-Squares estimates of the channel at the pilot location in avector the LMMSE estimator can be written as:

where is the cross-correlation matrix between the LS estimator

and the LMMSE estimator and is the autocorrelation matrix of the

Page 110: 10.1007%2Fb117438

92 Chapter 5

LS estimator. This estimator, however, has a high computationalcomplexity. To lower this complexity, separable filters have been appliedinstead of a 2D finite impulse response filter. Using this technique, theestimation is first performed in the frequency direction with a 1-D filter andthen in the time direction. Similar low-complexity techniques as for the 1-Destimators can then be applied and are analysed in [14].

These estimators are relying on prior knowledge of some channelparameters (SNR, Doppler Frequency, etc.) and appear not to be robustagainst Doppler spread. To alleviate these shortcomings, Geoffrey Li [9]proposes a robust 2-D estimator. This estimator is based on the observationthat the LMSSE filter can be shown to be a 2-D FFT, a (small) 2-D filter anda 2-D IFFT. This cascade accounts for the lower complexity of theestimator, while the increased robustness is due to the fact that the reducednumber of parameters is less depending on the Doppler frequency and on thedelays than the original set of parameters. Li reports a dramatic performanceimprovement for a Doppler frequency of 200 Hz, compared to a classicalDecision-Directed channel estimator.

REFERENCES

[1]

[2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

P. Hoher, “TCM on frequency-selective land-mobile fading channels,” in Proc.Tirrenia Int. Workshop Digital Communications, Tirrenia, Italy, Sept. 1991, pp.317–328.O. Edfors, M. Sandell, J.J. van de Beek, S. K. Wilson, and P. O.Borjesson.``OFDM Channel Estimation by Singular ValueDecomposition,".IEEE Trans. on Communications, 46(7):931--939, July 1998.Deneire, L.; Vandenameele, P.; van der Perre, L.; Gyselinckx, B.; Engels, M."A low complexity ML channel estimator for OFDM" Communications, 2001.ICC 2001. IEEE International Conference on , Page(s): 1461 -1465J. J. van de Beek, O. Edfors, M. Sandell, S. K. Wilson, and P. O.Borjesson, “Onchannel estimation in OFDM systems,” in Proc. IEEE Vehicular TechnologyConf., vol. 2, Chicago, IL, July 1995, pp. 815–819.L. L. Scharf, Statistical Signal Processing: Detection, Estimation, and TimeSeries Analysis. Reading, MA: Addison-Wesley, 1991.G.G. Rayleigh and V.K. Jones.``Multivariate Modulation and Coding forWireless Communication,".em IEEE Journal on Special Areas inCommunications}, 17(5):851--860, May 1999.H. V. Sorensen and C.S. Burrus, ̀ `Efficient computation of the DFT with only asubset of input or output points," IEEE Trans. on Signal Processing, vol. 41, no.3, pp. 1184--1200, march 1993S. He and M. Torkelson, ``Computing partial dft for comb spectrumevaluation," IEEE Signal Processing Letters, vol. 3, no. 6, pp. 173--175, june1996.Ye (Geoffrey) Li "Pilot-symbol-aided channel estimation for OFDM in wirelesssystems", IEEE Transactions on Vehicular Technology, vol. 49, no. 4, july 2000pp., 1207-1215;

Page 111: 10.1007%2Fb117438

Beating the wireless channel 93

[10]

[11]

[12]

[13]

[14]

[15]

[16]

[17]

[18]

Baoguo Yang, Khaled Ben Letaief, Roger S. Cheng, and Zhigang Cao, "Channel Estimation for OFDM Transmission in Multipath Fading ChannelsBased on Parametric Channel Modeling"; IEEE Transactions onCommunications, vol. 49, no. 3, March 2001 pp. 467-479R. Roy and T. Kailath, “ESPRIT—Estimation of signal parameters viarotational invariance techniques,” IEEE Trans. Acoust., Speech, SignalProcessing, vol. 37, pp. 984–995, July 1989.Rohit Negi and John Cioffi, "Pilot Tone Selection for Channel Estimation in aMobile OFDM System," IEEE Transactions on Consumer Electronic, vol. 44,no. 3, August 1998, pp. 1122-1129.M.J. Fernandez-Getino Garcia, J.M. Paez-Borallo and S. Zazo, "Pilot Patternsfor Channel Estimation in OFDM," Electronic Letters, vol. 36, no 12, pp. 1049-1059, June 2000.Ove Edfors, "Low Complexity algorithms in digital receivers", PhD Thesis,Lulea University of Technology, 1996.K. Abed-Meraim, W. Qiu, and Y. Hua, “Blind system identification,” Proc.IEEE, vol. 85, pp. 1310–1322, Aug. 1997.A. Scaglione, G. B. Giannakis, and S. Barbarossa, “Redundant filterbankprecoders and equalizers Part II: Blind channel estimation, synchronization, anddirect estimation,” IEEE Trans. Signal Processing, vol. 47, pp. 2007–2022, July1999.B. Muquet, M. de Courville, P. Duhamel, and V. Buenac, “A subspace basedblind and semi-blind channel identification method for OFDM systems,” inProc. IEEE-SP Workshop on Signal Proc. Advances in Wireless Comm.,Annapolis, MD, May 9–12,1999, pp. 170–173.R. W. Heath and G. B. Giannakis, “Exploiting input cyclostationarity for blindchannel identification in OFDM systems,” IEEE Trans. Signal Processing, vol.47, pp. 848–856, Mar. 1999

Page 112: 10.1007%2Fb117438

Chapter 6

Avoiding a tower of BabelSynchronization

Luc DeneireIMEC

6.1 INTRODUCTION

Before an OFDM receiver can really demodulate data, it has tosynchronise itself in time and frequency with the transmitter. In this chapterthe effects of carrier frequency offset, symbol timing misalignment andclock offset on the performance of the OFDM receiver will be analysed.Classical solutions to the estimation of these parameters will be presented aswell as some recent developments.

The first section of this chapter describes the effects of symbol timing,sampling clock frequency and carrier frequency offsets. This part willfollow the approach of the "classical" papers in OFDM synchronisation, likethe papers by Pollet et al. [1] and Speth et al. [2]. A symbol timing offset(i.e. a timing offset that is a multiple of the sample duration) will addadditional Gaussian noise, with variance proportional to the symbol offset, tothe received signal. Moreover, as depicted in previous chapter, there ispotentially an additional noise due to the truncation of the channel impulseresponse. A carrier frequency offset creates Inter Carrier Interference (ICI)and also induces a time-variant rotation of the symbol constellation on eachsubcarrier. A clock frequency offset produces a symbol rotation that isproportional to the subcarrier index.

The second section describes the major synchronisation algorithms,categorising them in methods based on the auto-correlation of the inputsignal, on the cross-correlation of the input signal with the received signaland on the use of the cyclic prefix.

Page 113: 10.1007%2Fb117438

96 Chapter 6

6.2 EFFECTS OF OUT OF SYNC TRANSMISSION

In this section, we first discuss the effect of symbol timing and samplingtiming offsets. Next, we discuss the effect of a frequency offset on the carrieror sampling clock.

6.2.1 Symbol Timing Offset

Before performing the channel estimation, equalisation anddemodulation, the correct timing for the OFDM symbol has to be acquired.Perfect synchronisation is achieved if the data block selected for the FFTprocessor corresponds exactly to the transmitted IFFT block The mostimportant effect of a timing misalignment is the violation of the cyclic prefixcondition, as well as additional noise due to the estimation of a shortenedchannel. On top of these effects, there is additional ICI and ISI.

6.2.1.1 Violation of the cyclic prefix condition

The effect of a mismatch in symbol timing is different for early and latesynchronisation. In the case of late synchronisation, i.e. when thesynchronisation tick is later than the perfect synchronisation point, a block ofreceived data is selected that contains part of the cyclic prefix of the nextsymbol. This breaks the orthogonality of the subcarriers and leads to ICI. Asa consequence, late synchronisation should be avoided in all cases.

Early synchronisation, on the other hand, has no major effects if thecyclic prefix is sufficient long. Indeed, the effect of early synchronisation issimply that the measured channel begins with some zeros. To avoid ICI, theguard interval should be sufficiently long to fulfil the Cyclic PrefixCondition for this extended channel. Hence, in the system design, themaximum synchronisation error should be specified and taken into accountin the length of the Cyclic Prefix.

6.2.1.2 Effect on the channel estimation performance.

Moreover, for late synchronisation, part of the channel response can fallout of the channel estimation window (especially for the parameter-basedestimation methods). Hence, there will be an additional noise due to theestimation error, which can be easily computed as

Page 114: 10.1007%2Fb117438

Avoiding a tower of Babel 97

where is the number of data carriers.

6.2.1.3 Effect of a symbol timing offset on a subcarrier.

Following Speth et al. [2], we assume a late timing offset of p.T samples,and express the received data symbols for carrier k and symbol m. For

an AWGN channel, with a guard interval the vector of receivedsignals can be written as

Demodulation of this vector via the FFT yields [2]:

According to this equation the effect of the symbol timing offset consistsof three terms: a phase rotation, an ICI contribution and an ISI term. The

Page 115: 10.1007%2Fb117438

98 Chapter 6

phase rotation is proportional to the subcarrier index k. In addition, thereceived signal is attenuated. The ICI is due to the loss of orthogonality. TheISI term, on the other hand, occurs because of the inclusion of the nextsymbol in the received channel.

Extending this analysis early synchronisation in case of a cyclic prefixthe received vector for the symbol becomes

Performing the same analysis

as above learns that the ICI and ISI terms in (6.2) vanish. Also theattenuation factor disappears. As a consequence, the only remaining effect isthe phase rotation, which can be handled by the equaliser.

The extension to the multipath propagation case is easy to do. Indeed, aslong as the sum of the offset and the maximum delay spread (expressed insamples) is smaller than the guard interval, the only effect will be the phaserotation, which can be handled by the equaliser. If the offset is larger, theattenuation term applied to the symbols appears to be negligible, whereas theeffect of the ICI and ISI can be modelled as an additive white Gaussian noisewhose variance is approximately linear with the offset p (see [2]).

6.2.2 Sample Timing Offset

The analysis that was carried out here above relies on an integer offset(with respect to the sampling time). For an additional constant fractionaloffset, the only effect is a phase shift in the received signal, which can alsobe handled by the equaliser. Timing jitter, however, translates into phasenoise, which has a detrimental effect on performance. The effect of phasenoise is treated in the next chapter.

6.2.3 Carrier and Sampling Clock Frequency Offset

OFDM is much more sensitive to carrier frequency offset (CFO) andsampling clock frequency offset (ScFO) than single carrier modulation (see[3]). Under these effects the received samples experience a phase rotationgiven by where is the CFO. The ScFO is

defined as where T is the perfect and T’ the actual samplinginterval.

The received signal under the effect of this phase rotation of the samplescan be expressed as:

Page 116: 10.1007%2Fb117438

Avoiding a tower of Babel 99

From this equation, we can conclude that the carrier frequency and samplingfrequency offsets create a loss of orthogonality between the carriers,resulting in ICI. In addition to this ICI, we can identify two other effects ofCFO and ScFO: an OFDM symbol window drift, mainly due to the ScFOand a subcarrier symbol rotation, due to both CFO and ScFO.

6.2.3.1 OFDM symbol timing drift

In the absence of sampling clock synchronisation, i.e. with a samplingclock offset of the frame sampling instant will drift, for an observationtime of l OFDM symbols, of samples. In the case of HIPERLAN/2,the standard assumes 20 ppm clocks between transmitter and receiver:maximum mismatch is This results in a completesample shift every 25000 samples, i.e. 312.5 OFDM symbols. Hence, for aburst of about 1000 OFDM symbols one can undergo a shift of 4 samples.Note that for other systems where the number of carriers can be much higher(e.g. 8192), like in Digital Video Broadcasting, this effect can be moresevere.

6.2.3.2 Subcarrier symbol rotation

Translating equation (6.3) in the discrete time domain yields thefollowing expression [2]:

denotes the OFDM symbol time, excluding the guard time. Theattenuation due tio the symbol rotation equals and is

neglectable in tracking mode. If we evaluate this formula for a specificcarrier k and determine the phase increment from one OFDM symbol toanother, we obtain:

Page 117: 10.1007%2Fb117438

100 Chapter 6

A constant phase rotation from one symbol to another is produced by thecarrier frequency offset. The sampling clock offset, on the other hand,produces a phase rotation that grows with the subcarrier index. Consideringa similar test case as above, and 64 carriers, the maximum phasedifference between carriers on one OFDM symbol for is

6.2.3.3 Implementation loss due to ICI and attenuation of thereceived signal.

Following [3], the combined effect of the attenuation and ICI generatednoise leads to an implementation loss D (i.e. the extra SNR needed to haveconstant BER performance) of:

where denotes the SNR at the receiver while B denotes the totalbandwidth of the received signal.

This equation tells us essentially that the loss grows linearly with theratio of the frequency offset over the subcarrier spacing in a log-log graph.Moreover, to have an acceptable degradation for an HIPERLAN/2 system,the (residual) frequency offset should be about two orders of magnitudesmaller than the subcarrier spacing.

Note that [2] derives slightly different results, incorporating the multipathchannel coefficients, but ignoring the effect of attenuation and with adifferent approach.

6.3 TIMING SYNCHRONISATION

Timing synchronisation techniques can be roughly divided in auto-correlation methods on an OFDM symbol, cross-correlation methods on anOFDM symbol, and methods based on the cyclic prefix.

Page 118: 10.1007%2Fb117438

Avoiding a tower of Babel 101

6.3.1 Methods based on auto-correlation of an OFDMsymbol

The most famous auto-correlation method is probably the so-calledSchmidl and Cox method [4]. Their symbol timing recovery searches for atraining symbol that has two identical halves in the time domain. Thissearch is performed by scanning the received signal, making the auto-correlation of two parts that correspond to half the length of an IFFT andsearching for a plateau at the output of the auto-correlation circuit. Thisscheme is sketched in Figure 6.2.

The timing metric of this synchronizer, in function of the signal r, is :

Page 119: 10.1007%2Fb117438

102 Chapter 6

The main advantage of this metric is its robustness against carrierfrequency offset, as well as its robustness against fading (due to the "AGC"present in the denominator of the metric. Moreover, the signal r can begenerated by a classical OFDM scheme, in which the two halves of thetraining symbol are made identical by transmitting a pseudo noise (PN)sequence on the even frequencies, while zeros are used on the oddfrequencies [4].

The main disadvantage of the Schmidl and Cox method is the plateau-like timing metric, which gives rise to a relatively high uncertainty on thestarting time of the symbol.

A simple solution to this problem, which has been used in IMEC'ssolution (which is documented in chapter 8), is to resort to a sequence ofinverted training sequences, which, after auto-correlation, give rise to a saw-tooth signal (Figure 6.3). From this saw-tooth, one can not distinguish thetwo halves of the training symbol, hence, a classical sequence of identicaltraining sequences is appended, which gives rise to the classical plateau-likemetric. The combination of these two sequences then gives a more accuratetiming. The principle of this method is sketched in Figure 6.3. Note that thefirst part of this scheme has been proposed in [5], where a performanceanalysis is presented.

Moreover, this method enables the use of special constant amplitudesequences (know as CAZAC sequences [11]), or of PN sequences, like in[7]. The big advantage of these methods, on top of their accuracy, is thatthey can base themselves on one bit quantisation of the received signal. Thishas two major benefits, first, the complexity of the digital part is very low,but perhaps most important, one can design specific synchronisation circuitswith 1 bit analog to digital converters, and hence lower the powerconsumption significantly while waiting for an incoming burst.

Page 120: 10.1007%2Fb117438

Avoiding a tower of Babel 103

6.3.2 Methods based on cross-correlation of the receivedsignal.

Following the idea to use special synchronisation sequence, like PNsequences, it is rather natural to implement the renowned matched filterapproach, which was developed for CDMA systems. In this method, thereceiver performs a correlation between the received signal, at differentepochs, and a local copy of the emitted synchronisation sequence. Thismethod is illustrated in Figure 6.4.

Starting from this simple principle, a large number of cross-correlationmethods can be found in the classical CDMA books. We will not detail theproperties of these synchronisation algorithms, but it suffices to know thatthey can be very accurate, at the expense of a large computational load.Their robustness to multipath will depend on the used sequence. Theyrequire that the CFO has been compensated accurately before the signal isapplied to the cross-correlation time synchronisers.

Pursuing the similarity between CDMA and OFDM, as far assynchronisation is concerned, Tufvesson et al. [6] propose the use of a pilotsignal, which is superimposed on the OFDM signal. The principle of thisapproach is to use a PN-based preamble for acquisition of the timing,followed by a PN sequence, whose length can be a multiple of the OFDMsymbol length, which is superimposed on the OFDM signal. Hence, there isno wasted bandwidth for the tracking. Nevertheless, the accuracy can veryhigh when long PN sequences are used.

Page 121: 10.1007%2Fb117438

104 Chapter 6

6.3.3 Methods based on the cyclic prefix.

As was highlighted in the previous sections, the synchronisation calls forthe use of additional information, in the form of additional symbols or pilots.One way to circumvent this loss of information is to use the cyclic prefix,which is present in most of OFDM systems, to derive the timinginformation. A simple scheme is illustrated in Figure 6.5.

The above scheme corresponds to the optimal estimator in case of anAWGN channel. Indeed, when expressing the received signal under thiscondition and searching for the Maximum Likelihood estimation of the timedelay, one finds the following solution [12]:

Page 122: 10.1007%2Fb117438

Avoiding a tower of Babel 105

This estimator has a several advantages: it has a high spectral efficiencyby not using pilots; it is based on a relatively simple expression and henceresults in a reasonable implementation complexity; it can performsimultaneous time and frequency offset estimation. The main disadvantageof the estimator is that in presence of severe multipath its performance isreduced. Indeed, when multipath is present the range on which the signal iscyclic becomes smaller (going to zero when the channel is as long as thecyclic prefix).

6.3.4 Methods based on a training sequence considered asa cyclic prefix.

A natural extension to the method mentioned above is to used severalreplicas of the same signal coming at regular intervals, that is, a trainingsequence. The principle of transforming a training sequence into a cyclicprefix is illustrated in Figure 6.6, along with the modification in the framelength with respect to the number of carriers. Notice that to be able toproduce the training sequence, one has to put specific values on specificcarriers.

In a similar way to above one can derive the ML estimator [13], which isa multidimensional generalisation of (6.8). Indeed, instead of a correlation ofa cyclic prefix with the corresponding part of the useful data, we can nowuse multiple correlations between subsequent training sequences. Figure 6.7shows the estimation accuracy in function of the SNR with the number ofused training sequences M as a parameter. The curve for corresponds tothe classical cyclic prefix based method. As can be seen from the graph, the

Page 123: 10.1007%2Fb117438

106 Chapter 6

use of 3 training sequences results in an order of magnitude gain inestimation accuracy at low SNR, or an equivalent SNR gain of

6.4 FREQUENCY SYNCHRONISATION

Frequency synchronisation can be divided in auto-correlation methods,and methods based on the cyclic prefix. Like for timing synchronisation, thecyclic prefix could also be replaced by a training sequence.

6.4.1 Methods based on auto-correlation of an OFDMsymbol

The first auto-correlation method was the so-called Moose method [14].In this method a preamble is used that consists of two identical OFDMsignals without a guard interval in between them. The method relies on the

Page 124: 10.1007%2Fb117438

Avoiding a tower of Babel 107

fact that these two OFDM signals are related by the following expression,assuming a frequency offset and noiseless transmission:

From this expression, one can easily show (see Appendix of [14]) that theMaximum Likelihood estimate of the frequency offset is given by:

Intuitively, this result corresponds to the fact that the angle of is

equal to (see Figure 6.8).

For small frequency offsets, the tangent can be approximated by itsargument, and it is than straightforward to show that the estimate of thefrequency offset is conditionally (on the OFDM symbol and the frequencyoffset) unbiased. Hence, this estimator is optimal and it's variance is givenby:

Page 125: 10.1007%2Fb117438

108 Chapter 6

Note that in the presence of multipath and provided that the cyclic prefixcondition is fulfilled, the estimator is still ML, as relation (6.9) still holds.

The main disadvantage of this method is the limitation to a frequencyoffset that is smaller than half the inter-carrier frequency spacing. Thisdisadvantage is alleviated by Schmidl and Cox [4], by using a specialsequence of two OFDM symbols that consists of identical halves.

Let’s consider the case where the frequency offset is larger than half theinter-carrier frequency spacing. If we apply an algorithm, similar to theMoose algorithm on the half OFDM symbols, we can write the frequencyoffset as a multiple of half the intercarrier spacing z plus a fraction

The Moose algorithm on the half OFDM symbols can correct thefrequency offset due to The two half OFDM symbols are generated asfollows: before the IFFT, a PN sequence is generated and given as input onthe even subcarriers, while zeros are given as input on the odd subcarriers.

To also estimate z, a second OFDM symbol is generated such that thetwo OFDM symbols are differentially encoded (on the even subcarriers), thatis, for an even subcarrier After the two OFDMsymbols are corrected by the frequency offset due to the PN sequencewill be shifted by 2z positions at the output. Moreover, even if the twoOFDM symbols were identical (at the sender), there would still be a constantphase shift on all carriers. Hence, in a similar manner as for the timingsynchronisation, one can find the shift by the following optimisation:

Note that, as the core of Schmidl and Cox's algorithm is the same as theMoose algorithm, they share the same optimality properties, and hence thesame performance (in terms of error variance) and are both unbiased.

6.4.2 Methods based on the cyclic prefix.

As was highlighted in the previous sections, the synchronisation calls forthe use of additional information, in the form of additional symbols or pilots.

Page 126: 10.1007%2Fb117438

Avoiding a tower of Babel 109

The same approach to reduce this overhead as was used for the timingsynchroniser, i.e. the utilisation of the cyclic prefix, can also be applied forthe frequency estimation. This will result in the approach that is illustrated inFigure 6.9 and which is equivalent to ML estimation.

As for the timing estimator based on the cyclic prefix, the mainadvantages of this estimator are it's simplicity and the absence of pilots.Again, it is less robust than auto-correlation methods against multipath.

6.4.3 Methods based on a training sequence considered asa cyclic prefix.

Similar to the approach for timing synchronisation, we can use a trainingsequence, i.e. replicas of the same signal coming at regular intervals, in steadof the cyclic prefix. The principle of transforming a training sequence into acyclic prefix remains identical to before and was illustrated in Figure 6.6.

Again, we can derive a multidimensional generalisation of the cyclixprefix frequency estimator above. Indeed, instead of a correlation of a cyclicprefix with the corresponding part of the useful data, we can now usemultiple correlations between subsequent training sequences. Figure 6.10shows the frequency estimation accuracy in function of the SNR with thenumber of used training sequences M as a parameter. The curve for M=2corresponds to the classical cyclic prefix based method. These results arevery similar to the ones obtained for timing estimation. Indeed, the use of 3training sequences achieves an order of magnitude gain in estimationaccuracy at low SNR, or an equivalent SNR gain of

Page 127: 10.1007%2Fb117438

110 Chapter 6

REFERENCES

[1]

[2]

[3]

[4]

[5]

[6]

Thierry Pollet and Miguel Peelers, "Synchronization with DMT Modulation",IEEE Communications Magazine, April 1999, pp. 80 -86.Michael Speth, Stefan A. Fechtel, Gunnar Fock and Heinrich Meyer, "OptimumReceiver Design for Wireless Broad-Band Systems Using OFDM -- Part I"IEEE Transactions on Communications, Vol. 47, No 11, November 1999, pp.1668 - 1677.Thierry Pollet, Mark Van Bladel and Marc Moeneclaey, "BER Sensitiity ofOFDM Systems to Carrier Frequency Offset and Wiener Phase Noise", IEEETransaction on Communications, vol. 43, No 2/3/4, February/March/April1995, pp.l91-193.Tim Schmidl and Don Cox, "Robust Frequency and Timing Synchronization forOFDM". IEEE Transactions on Communications, vol. 45, No 12, December1997, pp. 1613-1621.H. Minn, M. Zeng, and V. K. Bhargava,"On Timing Offset Estimation forOFDM Systems", IEEE Communication letters, Vol. 4, No 7, July 2000, pp.242-244F. Tufvesson, M. Faulkner, P. Hoeher and O. Edfors, "OFDM Time andFrequency Synchronization by Spread Spectrum Pilot Technique"m 8 IEEECommunication Theory Mini Conference, ICC'99, Vancouver, Canada, June1999, pp.116-119.

Page 128: 10.1007%2Fb117438

Avoiding a tower of Babel 111

[7]

[8]

[9]

[10]

[11]

[12]

[13]

[14]

F. Tufvesson and O. Edfors, "Preamble-based Time and FrequencySynchronization for OFDM Systems", Technical Report, part of the PhD Thesisof F. Tufvesson, University of Lund, 2000.Baoguo Yang, Khaled Ben Letaief, Roger S. Cheng, and Zhigang Cao "TimingRecovery for OFDM Transmission", IEEE Journal On Selected Areas InCommunications, Vol. 18, No. 11, November 2000, pp-2278--2291M. Speth, F. Classen, and H. Meyr, “Frame synchronization of OFDM systemsin frequency selective fading channels,” in Proc. VTC’97, pp. 1807-1811.Uwe Lambrette, Michael Speth and Heinrich Meyr, "OFDM Burst FrequencySynchronization by Single Carrier Training Data", IEEE CommunicationsLetters, Vol.1, No.2, March 1997, pp. 46-48.A. Milewski, "Periodic sequences with optimal properties for channelestimation and fast start-up equalization", IBM J. Res. Develop., vol 27, no 5,Sept. 1983, pp. 426-431.J.-J. van de Beek, M. Sandell, and P. O. Börjesson, “ML estimation of time andfrequency offset in OFDM systems,” IEEE Trans. Signal Processing, Vol. 45,pp. 1800-1805, July 1997.Luc Deneire , Bert Gyselinckx, Marc Engels "Training Sequence vs. CyclicPrefix. A new look on Single Carrier Communications" IEEE CommunicationLetters Vol.5, No7, pp. 292-294, July 2001.Paul H. Moose, "A Technique for Orthogonal Frequency Division MultiplexingFrequency Offset Correction", IEEE Transaction on Communications, Vol. 42,No. 10, October 1994, pp.2908-2914.

Page 129: 10.1007%2Fb117438

Chapter 7

Living with a real radioImpact of front-end effects

Boris Come, Jan Tubbax

7.1 INTRODUCTION

Signals generated by the digital modem at the transmit side of atelecommunication system must be transported – wired or wireless – to thereceive side of this system with minimum deterioration of the signal quality.Local Authorities (e.g. the FCC in the US) that set boundary conditions interms of transmitted power, unintentionally radiated powers, and correct useof the available spectrum, regulate this transmission. The mixed-signal front-end transforms the original signal so that it can be transported withoutviolating these rules in the allocated frequency band. As a result, mixed-signal front-ends hardly ever differ from the schematic in Figure 7.1: thedigitally modulated signal is first converted into an analog signal, then up-converted in one or several steps to the assigned RF frequency and finallyamplified so that it can reach the receiver with sufficient power. The first up-conversion is generally combined with I/Q modulation, which can beperformed either before or after the Digital-to-Analog Converter(s). Eachoperation is followed by a filtering operation to avoid unintentionaltransmission in adjacent frequency bands. At the receive side, the signalundergoes similar operations in reverse order, from the receiver input(antenna or cable connector) to the Analog-to-Digital Converter(s). The firstoperation always consists of the separation of the wanted signal fromblockers and signals in adjacent frequency bands (filtering). Poweralignment between the transmitted and received signal is generallycontrolled at both sides: at the transmitter by the Power Control function to

IMEC

Page 130: 10.1007%2Fb117438

114 Chapter 7

avoid transmitting excess power, and at the receiver by the Automatic GainControl (AGC) to fine compensate for the channel losses.

Given the high data rates with required low bit error rates, and given thenature of the OFDM signal, a conservative analysis of the front-endrequirements for systems compliant with [1] and [2] lead to severe, over-dimensioned specifications. Such a design would never meet the marketrequirements for consumer applications i.e. low-cost and low-powerconsumption. To optimize the design at system level, the interaction betweenthe front-end and the OFDM digital modem must be better understood. Thismakes it impossible for the analog front-end designers alone to set the front-end specifications.

The front-end effects on the link performances are difficult to analyze asthey address mixed-signal issues. Considering for example the phase noiseon the local oscillator port of a RF mixer, or the nonlinear behavior of apower amplifier, how is the RF signal corrupted and how does it affect thedecision block output of the decoder? More generally, how to relate thespecification of a mixed-signal front-end effect to an Implementation Loss(IL) or Bit Error Rate (BER) degradation?

To answer such questions for the dominant front-end effects, we willfollow two approaches in this chapter:

in Section 7.2, we will qualitatively explain the BER performancedegradation and simplify complex interactions between the front-end andthe digital modem by additional Gaussian noise source. This for example

Page 131: 10.1007%2Fb117438

Living with a real radio 115

to derive an initial operating point for the front-end architecturedefinition, or to define for each front-end effect, a relevant range ofvalues for which system simulations should be performed.in Section 7.4, we will go more into details for each front-end inducedeffect, giving either a theoretical analysis or references to literature, andpresenting simulation results. These simulation results, mainly BERcurves, required a complete end-to-end model of the OFDM link, thatwill be introduced first in Section 7.3.

7.2 HOW THE FRONT-END IMPAIRS THE OFDMMODEM

7.2.1 An overview of the front-end impact on the OFDMsignal

The principle of OFDM is the parallel transmission of QAM-modulatedsubcarriers using frequency division multiplexing: the time domain OFDMsymbols at the output of the digital modem are generated from the QAM-modulated subcarriers through an IFFT, then parallel-to-series converted bya multiplexer on I and Q paths. The baseband time domain OFDM-modulated symbols are then passed to the transmitting front-end, of whichthe principle of operation has been previously discussed. The RF signal isthen sent through the channel and down-converted to baseband at thereceiver side by the receive front-end. After time-domain synchronization,the received symbols are series-to-parallel converted and fed to the input of aFFT that generates back the transmitted symbols, shaped by the channelresponse and corrupted by front-end effects. Before QAM-detection, asimple single-tap equalizer in the frequency domain corrects for the fadingchannel.

The original OFDM-modulated signal will be affected by the transmitand receive mixed-signal front-end by several non-ideal processing steps:

limited word-length of the signal paths in the digital domain, especiallyin the Digital-to-Analog and Analog-to-digital Converters (DAC andADC);phase and gain mismatches between the I and Q paths in the I/Q(de)modulator, leading to cross talk between the I and Q signals anddistortion of the constellation ;phase noise on the local oscillator port of the mixers, causing a rotationof the constellation and subcarrier interference as the power on eachsubcarrier is spread out onto all other subcarriers ;nonlinear distortion as the signal is amplified all along the transmit andreceive chains, generating harmonics (of minor influence as they can be

Page 132: 10.1007%2Fb117438

116 Chapter 7

filtered), and intermodulation products falling on top of neighboringsubcarriers;clock jitter on the DAC and ADCinaccuracy in gain control at the receive sideaddition of the filter impulse response to the channel impulse response,adding subcarrier-dependent signal-to-noise ratio variations and delayspread to the signal path.

In this chapter, we will analyze the impact on the BER performances ofthe OFDM link for the above listed front-end non-idealities, either at thetransmitting or at the receiving side (it will be pointed out in section 7.4 thatit makes little or no difference). This list is not exhaustive, and many otherfront-end effects – such as image rejection in mixing stages, blockerssaturating the analog circuitry, interferers mixing with the wanted signal,etc... – should be well understood and characterized at system level toprevent performances losses. Those are however strongly architecturedependent, which would bring the analysis beyond the scope of this chapter.

7.2.2 Expected degradation of the digital modem BERperformances

We will show in the following that by making simplistic assumptions onecan easily predict the impact of some of the front-end effects on the BERperformances. A rigorous theoretical analysis or modeling of the front-endeffects on the performances of an OFDM link is out of the scope of thissection, but will be further addressed in section 7.4.

Implementation Loss and BER degradation: Given a reference BER-versus-SNR curve and simulated or measured BER-versus-SNR curve, theImplementation Loss (IL) is the difference in SNR between these two curvesat a specified BER. Conversely, the BER degradation is the difference inBER between these two curves at a specified SNR.

Effect of quantization and clipping: One major problem related to theuse of OFDM is known to be the large peak-to-average power ratio of thetime-domain signal at the output of the IFFT in the transmitter. Manyauthors rather use the crest factor, which is the square root of the peak-to-average power ratio. If no special measures are undertaken, this large crestfactor would lead to very inefficient implementations in terms of word-lengths in the digital modem and data converters, and of dynamic range inthe analog front-end. A method that limits the corrupting influence of largecrest factor, rather than preventing the effect itself, is the clipping of thesignals coming out of the IFFT, operation performed in the digital domain.This straightforward approach is well documented in the literature [3] and ithas been shown that it results in acceptable implementation losses. Theimpact of digital clipping will be studied in greater details in Section 7.4.1,

Page 133: 10.1007%2Fb117438

Living with a real radio 117

together with the effect of limited word-lengths of the signal representationin the digital domain, another effect that limits the signal-to-noise ratio at thefront-end input. One of the important results worth mentioning here is thatquantizing and clipping each generate additive Gaussian noise that areuncorrelated except for their powers. For a given word-length of the samplesat the output of the IFFT, digitally limiting the crest factor of the signal to alower value increases the clipping noise while at the same time it reduces thequantization noise.

Effect of I/Q imbalance: I/Q imbalance can also be seen as a source ofGaussian noise. I/Q imbalance results from gain mismatches between the Iand Q paths and non-perfect quadrature generation in the I/Q (de)modulator.This effect might be critical in direct down-conversion receivers where theI/Q demodulator operates at 5 GHz. It can be shown that a phase oramplitude mismatch between the I and Q paths in the I/Q (de)modulator hasthe same effect as a parasitic up-/down-conversion by a tone at the negativefrequency of the LO frequency (for details see section 7.4.3). Hence it isoften specified in terms of Negative Frequency Rejection (NFR), expressedin dBc.

This is illustrated in Figure 7.2, where the negative frequencycomponents of the OFDM signal (here considered as an interferer in theimage band) are down-converted to DC on top of the wanted signal (positivefrequency components of the same OFDM signal). Because the LO parasiticcomponent reflects the I/Q imbalance, it is NFR dB lower than the LOwanted component. Hence the parasitic down-converted signal is NFR dBlower than the wanted down-converted signal. Furthermore, as this parasiticsignal consists of the negative frequency components of an OFDM signal, itsamplitude follows a Gaussian distribution. As a result, it can be consideredas a Gaussian additive noise perturbation, with a SNR equal to the NFR.

Page 134: 10.1007%2Fb117438

118 Chapter 7

Effect of phase noise: Phase noise and carrier frequency offset in OFDMsystems have been extensively described in the literature [4] [5] [6] [7].Carrier frequency offset is specified in the WLAN standards [1][2] to amaximum of 20 ppm. This impacts the crystal oscillator selection. Itnecessitates frequency compensation in the digital modem receiver [8].

Due to phase noise on the LO, the signal on each subcarrier is shapedaccordingly to the LO Power Density Function (PDF) and corrupts theneighboring subcarriers. In [4], the disturbance introduced by a localoscillator (LO) with phase noise is studied in great details at the digitalreceiver: the author differentiates the Foreign Noise Contribution (FNC)from the Own Noise Contribution (ONC). The ONC is the contribution ofone noisy subcarrier to its own noise component. The ONC, which isidentical for all subcarriers, can be considered due to the mixing operation,as a multiplicative noise source constant over one OFDM symbol. As aresult, the phase of the ONC causes a common rotation of the wholeconstellation, whereas the magnitude of the ONC scales the wholeconstellation, just as an amplitude modulation. However, when the LO isused as a switching signal in a mixing operation (as in a Gilbert mixer,which is the assumption for the rest of this text), it drives the LO port of themixer into saturation. In that case, amplitude modulation on the LO signal isnot seen and do not affect the BER performances anymore. The FNC is thecontribution of noisy subcarriers to the noise on one subcarrier.OFDM consists of the parallel transmission of a large number ofsubcarriers that are independantly QAM-modulated. Hence, according to thecentral limit theorem, the disturbance caused by the superimposedcontributions of all corrupted subcarriers has a Gaussian distribution.

As a consequence, the disturbance of an OFDM link due to a noisy VCOis two-fold. Due to close-in phase noise (ONC) the whole constellation in asymbol is rotated; and due to higher frequency components of the phasenoise (FNC), the SNR on each subcarrier is limited by inter-subcarrierinterference. For an OFDM link characterized by a channel bandwidth B anda number of subcarriers the constellation rotation can be digitallyestimated as the mean phase deviation during the symbol periodand compensated for on a per-symbol basis (Section 7.4.4). On the otherhand, the degradation induced by the FNC, modeled as a Gaussian noisesource, can not be compensated. However, its negative impact can bepredicted by approximating the noise power as the integral of the phasenoise PDF over the channel bandwidth, except for the sub-band

that has already been accounted for.Effect of inaccurate gain control at the receiver: Inaccurate power

estimation will induce an inappropriate decision of the Automatic GainControl (AGC) algorithm. Due to process variations, tolerances of the biasand supply voltages, temperature, and other external parameters, theswitching gains in the RF, IF and baseband variable gain amplifiers (VGA)

Page 135: 10.1007%2Fb117438

Living with a real radio 119

will vary from their nominal values, resulting in inaccurate actions of theAGC. Large inaccuracies in the AGC can lead to saturation of the analogcircuitry. This can be avoided by setting reasonable tolerances on the powerestimation accuracy and on the gain of the analog blocks. However,inaccuracy of the gain control can not be completely avoided withoutpushing these constraints to non-realistic values. As a consequence a residualmiss-alignment of the signal power with the ADC dynamic range will exist.Too much gain in the receiving front-end will saturate the ADC and clip thesignal; insufficient gain will result in higher quantization noise.

Hence the effect of inaccurate gain control at the receiver can be studiedthe same way as for quantizing and clipping, developed at the beginning ofthis section.

Conclusion: The effect of clipping and quantization, I/Q imbalance,phase noise on the local oscillator (at least for it foreign noise contribution),and inaccurate gain control in the receiver can be seen as additive Gaussiannoise source. Their power can be estimated and, as a result, theImplementation Loss IL introduced by these front-end non-idealities can beestimated as follows:

from the front-end characteristics, the power of these equivalentGaussian noise sources is computed,

Page 136: 10.1007%2Fb117438

120 Chapter 7

from the theoretical BER-versus-SNR curves for a Gaussian channel, thesignal to noise ratio to obtain a given BER is extracted,these two noise sources are combined, and the equivalent global signal-to-noise ratio computed,the difference is the implementation loss IL at theselected BER.

The curve IL versus is unique. It is plotted on Figure

of As an example, an I/Q imbalance of 32 dB NegativeFrequency Rejection will result in an implementation loss of 0.7 dB at

and 0.9 dB at Conversely, an implementation losssmaller than 1dB at requires that the LO phase noise powerintegrated over the OFDM signal bandwidth is at least of 30.5 dBc.

This approach holds as well for coded simulation: a fairly goodapproximation of the implementation loss can be derived without lengthy

7.3 together with, as an example, the values of for uncoded BPSK,QPSK, 16QAM and 64QAM.

On Figure 7.4, the implementation loss due to Gaussian noise sourceswith power ranging from 25 dBc to 45dBc for 64 QAM transmission at BER

Page 137: 10.1007%2Fb117438

Living with a real radio 121

BER simulations The same example applied to I/Q imbalance and resultingin 32dB NFR, is illustrate here:

the simulated BER curve for 64QAM coded ¾ (convolutional coding isapplied as in [1][2]), is plotted on Figure 7.5;the nominal signal-to-noise ratios to get a BER of is 18.2 dB ;the addition of two Gaussian noise source with powers of 18.39 dBc and32 dBc is equivalent to a Gaussian noise source with a power of 18.20dBc;hence, the implementation loss due to such I/Q imbalance on coded64QAM is 0.19dB.

Similarly, at a BER of the implementation loss will be 0.23 dB.Note that the curve in Figure 7.3 is still valid, only the values ofaccount for the coding added to the signal!

The above discussion is only an intuitive approach to complex front-endeffects on OFDM data transmission. However, this approach can quicklyguide the architecture designer to a first operating point with a given budget– in terms of implementation loss – distributed over the complete chain. Thisinitial study must be checked and refine with extensive BER simulationsincluding more detailed models for all the front-end non-idealities. Suchsimulations are detailed in Section 7.4.

Page 138: 10.1007%2Fb117438

122 Chapter 7

7.3 A SYSTEM SIMULATION TOOL

To accurately quantify the impact of front-end non-idealities and toextract optimal front-end specifications, each design choice should beassessed from a system point of view, through the evaluation of the BERperformances of the complete OFDM link. In the following, we brieflydescribe such a simulation model. Complete description of this tool can befound in [9] and [10].

7.3.1 Baseband model for the OFDM link

This model has been developed in MATLAB. It comprises basebandmodels for a digital baseband OFDM modem, a generic front-end andmultipath channel responses. These channel responses, which correspond toan indoor environment, are either loaded from a set of simulation resultsobtained from a ray-tracing simulation tool [11], or generated at simulationtime according to channel models that are described in [12].

The simulation model follows the structure of Figure 7.1. The spectrumof the OFDM signal in [1] and [2] occupies 16.8MHz in a 20MHz channel,and the digital modem produces I, Q signals sampled at 20MHz. To avoidhigh sample rates when simulating this band-pass signal at RF frequency of5.2GHz, simulations are conducted at baseband using the complex low-passequivalent representation. Yet, in the simulation over-sampling by 4 is addedin the interface between the modem and the front-end so that nonlineardistortion due to clipping can be evidenced. Clipping is performed digitallyon the I and Q paths, right after the time-domain signal generation. Note thatover-sampling can also be present in hardware implementations, where theI/Q modulation is performed digitally. We will also show in Section 7.4.5that introducing further clipping on the modulus of the up-sampled signalhelps improving the system power efficiency.

The analog front-end model consists of three blocks: an I/Q modulatoradding I/Q imbalance, a mixer with a local oscillator signal defined by itsphase noise power density function, and a power amplifier (PA) exhibiting acubic non-linearity. The power amplifier is implemented with ideal powercontrol that keeps the PA average RF input power constant, to make faircomparisons between the power transfer functions.

In this chapter the front-end non-idealities are considered at thetransmitting side only. Nonetheless, simulations show that they producesimilar BER degradation if placed at the receiving side, even whenconsidering multipath channels. Although only briefly mentioned here, allthese non-idealities are fully implemented both at the transmitting andreceiving side of the link. A schematic of the full link model is shown on

Page 139: 10.1007%2Fb117438

Living with a real radio 123

Figure 7.6. Details on the models and simulation results will be given in thefollowing section, where all these effects are investigated separately.

7.3.2 Considerations on the equalizer

Channel equalization is performed at the receiver on the basis of thereceived Long Training Symbol (LTS): the LTS is a predefined OFDM-symbol prepended to the transmitted payload. At the receive side, theequalizer estimates the channel coefficients from the received LTS. As thethermal noise from the channel (white in a Gaussian channel, colored inmultipath channels) as well as front-end non-idealities corrupt the payloadand the LTS, the channel estimation will be impaired and the channelequalization imperfect. The current model used for the equalizer correspondsto a "worst-case" implementation, as none of these corruptive effects iscompensated for; it typically results in an additional IL of 3dB atcompared to simulations where perfect channel knowledge at the receiver isassumed (Figure 7.7). An actual implementation of the equalizer is expectedto operate within these two limits.

Page 140: 10.1007%2Fb117438

124 Chapter 7

7.3.3 Validity of the model

Uncoded OFDM: we validated this model by comparing theoreticalBER curves and BER curves resulting from simulations. This comparison isillustrated here for uncoded BPSK and 64QAM modulations.

Bit error probability for uncoded BPSK [13] is

Bit error probability for uncoded 64-QAM [13] equals

In these formula’s Q(x) is defined as

Page 141: 10.1007%2Fb117438

Living with a real radio 125

where erfc(x) is the MATLAB built-in Complementary Error Function.The received energy per bit versus noise spectral density can be expressed as

with;is the bandwidth of the modulated signal;

is the uncoded BPSK data-rate, is theuncoded 64QAM data-rate;Error! Objects cannot be created from editing field codes. is acorrecting factor introduced to take into account the actual signalbandwidth (the zero-carriers and the pilot-carriers of the OFDMsymbol do not convey data).

For uncoded BPSK this results in:

Similar derivations for uncoded 64QAM OFDM give

In Figure 7.8, the theoretical BER curve versus SNR for uncoded64QAM is plotted together with the BER curve resulting from a simulation.The OFDM link model used for this simulation was implemented with up-sampling by 4 at the transmitter and down-sampling by 4 at the receiver,plus all other non-idealities in the transmit and receive front-end as describedpreviously, but set to negligible values. Furthermore, perfect equalization atthe receiver is assumed. The two curves match by better than 0.1dB in SNRfor BER ranging from to The theoretical and simulation curves forBPSK match even better. This validates the models in the system simulationtool.

Remark: when assessing the performances of different modulationtechniques, another correcting factor is often taken into account to compare

Page 142: 10.1007%2Fb117438

126 Chapter 7

their bit error probability versus For OFDM, is then correctedby a factor – where is the length of the cyclic prefix, andthe number of subcarriers – to reflect the fact that part of the energy of atransmitted OFDM symbol is lost in the cyclic prefix.

Coded OFDM: The coding gain when using convolutional codes canonly be estimated. BER simulations have been performed includingconvolutional coding at the transmitter for BPSK with a coding rate of 1/2,and Viterbi soft decoding (with constraint length as in [1][2]) at thereceiver. It resulted in a BER of at 0.55dB SNR or, equivalentlyequals 4.53 dB.For BPSK and Soft Decision Viterbi decoding the expected result fora BER of are [13] and coding Theexpected with coding is therefore 4.5 dB at to becompared with 4.53 dB we read from our simulations, which validates themodel for coded OFDM.

Page 143: 10.1007%2Fb117438

Living with a real radio 127

7.4 ANALYSIS AND SIMULATION OF THE MAINFRONT-END EFFECTS

In Section 7.2, we have shown that the effect of clipping andquantization, I/Q imbalance, phase noise on the local oscillator (at least for itforeign noise contribution) and inaccurate gain control in the receiver can beseen as additive Gaussian noise sources. We have seen as well that theirpower can be estimated and that, as a result, the implementation lossintroduced by these front-end non-idealities can be estimated using a singlereference curve, given in Figure 7.3.

In this section, we will go more into details for each front-end inducedeffect, giving either a theoretical analysis or references to literature, andpresent simulation results.

For most front-end effects we will present simulation results for 52 non-zero 64QAM-modulated subcarriers (uncoded or with a coding rate of 3/4)as this modulation is the most demanding case in the standards [1][2].Furthermore, mostly Gaussian channels are considered here, as front-endeffects are easier to analyze and predict in an Additive White GaussianNoise channel (in short: AWGN or Gaussian channel) than in a multipathchannel.

7.4.1 Word-length of the transmitted symbols and optimalclipping level

The word-length b of the symbol at the output of the transmit digitalmodem has a major impact both on implementation cost and performancelimitation. As b decreases, the power consumption and the complexity of theDACs decreases at the expense of the quantization noise – hence the BERperformances.

However, b can be limited with acceptable performance degradation, dueto the limited signal-to-noise ratio (SNR) on the channel. Furthermore, thedigital clipping operation after the IFFT (see Section 7.3) limits the Crest-factor of the signal, enhancing for a given word-length b the average-signal-to-quantization-noise power ratio. Still, it also introduces an additional noisesource: clipping noise. It is therefore a joint-optimization process on b andthe normalized clipping level (ratio of the clipping level to the RMSamplitude of the time-domain signal). The global signal-to-noise ratio

after quantization and hard-clipping operations on amodulated OFDM signal has been derived in [3]:

Page 144: 10.1007%2Fb117438

128 Chapter 7

where:

Hence, for a given word-length b, an optimal clipping level can bederived, as it is a trade-off between two noise sources: lowering the clippinglevel enhances the clipping noise while at the same time reducing thequantization noise. In Figure 7.9, the SNR of an OFDM signal after clippingand quantizing is plotted versus for word-lengths b ranging from 6 to 9bits: digital clipping at is close to the optimal clipping level for all word-lengths. Hence b can now be optimized separately.

Figure 7.10 shows results from BER simulations considering only thedigital modem and a Gaussian channel. Table 7.1 shows a comparisonbetween the implementation losses obtained from simulations and expectedfrom the derivations in Section 7.2.2.

Page 145: 10.1007%2Fb117438

Living with a real radio 129

The IL due to the finite word-length b is less than 0.15 dB atfor For smaller word-length, the implementation loss increasesrapidly. Taking into account implementation cost of large word-lengthsystems, 8-bit word-length with digital clipping at is an optimum.

7.4.2 Wordlength of the received symbols

In the receiver a similar analysis can be performed for the word-length ofthe ADC(s). Here, we have to take into account the imperfect receiver gainsetting at the input of the ADC as well as the Crest factor regrowth in thetransmission channel.

Page 146: 10.1007%2Fb117438

130 Chapter 7

Crest factor regrowth: After extensive simulations in multipathchannels, the crest factor regrowth due to frequency fading never exceeded150%. Hence, the number of bits in the ADC should be slightly larger thanthe number of bits in the DAC of the transmitter.

Imperfect receiver gain setting: We first assume for both the DAC atthe transmitter and the ADC at the receiver 8-bit quantization with nominalclipping at Clipping at the transmitter is intentional as already discussed,whereas further clipping at the receiver should be avoided. The automaticgain control (AGC) is responsible for this task. It consists of a powerestimator that provides input to the gain controller (control logic) that setsappropriate gains in the receiver front-end.

If the signal at the input of the ADC is well positioned then it will beresponsible for an implementation loss of 0.11 dB, just as the DAC in theprevious section.

If the receive front-end has too much gain, the Crest-factor of the signalwill be reduced due to clipping in the ADC. The clipping noise power is thusenhanced, while the quantization noise power is lowered, but the total noisepower increases as shown on Figure 7.9. The operating point shifts on the x-axis towards low Crest-factors, and the global SNR goes down from itsmaximum, following the curve corresponding to the ADC word-length.

Conversely, when the receiver front-end has too low gain, thequantization noise is enhanced, the clipping noise is reduced, but again theglobal SNR moves out from its maximum.

Using the results of Section 7.2.2, we can perform a straightforwardsensitivity analysis on the implementation loss due to the gain controlaccuracy. We study the effect on uncoded 64QAM modulation, for which wetarget a maximum implementation loss due to the ADC quantization andclipping of 0.5 dB at a BER ofFrom Figure 7.3, we conclude that 0.5dB IL corresponds to

For uncoded 64QAM, needs to be 24.6dB to get a BER of so that the SNR resulting from clipping andquantizing (the additional Gaussian noise source) should bedB.

From Figure 7.9, we read that clipping and quantizing results in 34.2 dBSNR for a word-length of 8 bits with clipping at or at Theseclipping factors, normalized to and converted in dB, correspond to themaximum and minimum (power) gain mismatches +1.18/-3.35 dB that canbe tolerated to get an implementation loss smaller that 0.5dB at a BER of

These requirements are quite severe, as gain mismatches can raise bothfrom implementation, but as well from power estimation errors in the AGC,unavoidable due to the time-limited estimation process.

A straightforward solution to cope with limited AGC accuracy is toincrease the number of bits in the ADC. Choosing for a 10-bit ADC solves

Page 147: 10.1007%2Fb117438

Living with a real radio 131

the problem, as this would give a safety margin of +3dB/-3dB on therequired power gain accuracy at the input of the ADC.

As a result, a possible architecture choice to combat excessimplementation loss due to crest factor regrowth and imperfect receiver gainsetting could be:

for the transmitter, 8-bit DACs, of which the 2 MSB are for signalamplitudes above RMS amplitude (that is clipping at ),for the receiver, 10-bit ADCs.

7.4.3 Imbalance between I and Q paths

I/Q imbalance results from two effects: gain mismatch between the I andthe Q paths and non-perfect quadrature generation ( and respectively).The effect of a mismatch between the I and the Q paths has already beenqualitatively described in Section 7.2.2: it has the same effect as a parasiticup-or down-conversion by a tone at the negative frequency of the LOfrequency. Equation (7.24) shows this effect and quantifies it.

The power ratio between the positive and the negative tones of the localoscillator is referred to as the Negative Frequency Rejection (NFR) in dBc. Itrelates NFR and by:

I/Q imbalance on is modeled at baseband with:

Table 7.2 shows a comparison between the implementation lossesobtained from simulations and expected from the derivations in Section7.2.2.

Page 148: 10.1007%2Fb117438

132 Chapter 7

These results show a discrepancy between the IL obtained from BERsimulations and from the derivation in Section 7.2.2. Furthermore, this erroris increasing with the I/Q imbalance. However, for the kind ofimplementation losses we target (around 1 dB at a BER of ) thederivations of Section 7.2.2 still give acceptable results.

These simulations were repeated with the multipath (MP) channel libraryfrom the system simulation tool presented in Section 7.3.2. A negativefrequency rejection of 32 dB in AWGN channels gives 0.19 dBimplementation loss for coded 64QAM (Section 7.2.2); this resulted incomparable IL for about 80 % of the MP channels in our library. The NFRspecification for coded 64QAM should be increased to 35 dB to cover 86 %of the MP channels and to 39 dB to cover 98 % of them. As the nominalNFR of 32 dB is already at the edge of today's ICs, these few dBs differencein NFR specification for operation in MP channels will have a largeinfluence on the front-end cost!

7.4.4 Phase noise

Phase noise has been studied extensively in literature [4] [6]. It is shownthat phase noise has two effects on an OFDM symbol: the Own NoiseContribution (ONC) and the Foreign Noise Contribution (FNC). The ONCcomes from the low frequency part of the phase noise. It results in anidentical rotation of all subcarriers, which is therefore also called theCommon Phase Error (CPE). This CPE can be estimated and thus corrected.The FNC comes from the high frequency phase noise contributions. On asubcarrier it gives rise to interference from all other subcarriers, also calledInter Carrier Interference or ICI. This can be represented as an additionalGaussian noise source, which cannot be corrected. Since in our case theinter-carrier-spacing is quite large with respect to the phasenoise bandwidth the ONC is dominant over the FNC and theFNC can be neglected. This means that every OFDM symbol is rotated overthe CPE. Moreover since the variations in phase noise will be a lotslower than the period of an OFDM symbol. Therefore this CPE can beconsidered constant over the duration of one OFDM symbol. This effect isshown in Figure 7.11 and Figure 7.12. Figure 7.11 shows the effect of phasenoise on one OFDM symbol: we clearly see a rotation of the entireconstellation. On Figure 7.12, the constellation of all symbols in a sameburst are superimposed: the rotation angle varies from symbol to symbol.

Page 149: 10.1007%2Fb117438

Living with a real radio 133

We want to compute the BER degradation caused by the phase noise as

Therefore we have to calculate the effect of the phase noise on the BERof 64QAM as

Page 150: 10.1007%2Fb117438

134 Chapter 7

In this expression the effect of a phase rotation on the BERperformance of 64-QAM is [14]:

with the symbol-to-noise power and Next we need to derive thedistribution function of the phase rotations

Page 151: 10.1007%2Fb117438

Living with a real radio 135

From literature and measurements [4] we know that the phase noisespectrum can be represented by a piece-wise linear function (Figure 7.13): aflat level close to the carrier frequency representing the PLL floor (-78.2dBc/Hz in our case), a flat low level representing the system noise floor(-118.2 dBc/Hz), and a transition in between with a slope of -20dB/decade(according to Leeson’s model). This results in an integrated phase noisepower of –32 dBc, integrated over the signal bandwidth.

Let be the frequency domain representation of the phase noise thenor

with K the number of frequency points in the phase noise spectrumspecification. The positive part of the phase noise spectrum is represented by

the negative part by and the DC-component byWe can write as with the amplitude specification of the

phase noise (as it is shown in Figure 7.13) and is the phase of thatfrequency component. Substituting in (7.30) leads to

in which From here on, we omit the index n frombecause the introduction of makes the dependency on n implicit.

Since the real and imaginary parts of the phase

noise are

As stated in Section 7.2.2, we assume that there is no amplitudemodulation due to the phase noise. Since thenshould be zero. To construct a real phase the negative part of its spectrumshould be chosen to be the complex conjugate of the positive part. Thismeans resulting in

Page 152: 10.1007%2Fb117438

136 Chapter 7

This is equivalent to Filling in (7.32) in (7.33) leads to

The Central Limit Theorem tells us that the distribution of a sum of alarge number of random variables is Gaussian, with a mean equal

to the sum of the means and a variance equal to the sum of the variances. So,the real part of the phase noise has a Gaussian distribution. Its mean andvariance are

Note that we only need the integrated phase noise power to

describe the phase noise distribution. This shows that the shape of the phase

Page 153: 10.1007%2Fb117438

Living with a real radio 137

noise spectrum has no impact, only the integrated phase noise (as long as theFNC is negligible).

The probability distribution of the phase rotation due to the phase noise isthus

This derivation shows that we can assess the BER degradation directlyfrom the phase noise specifications through equation (7.27). We verified theanalytical expressions through simulations and they match perfectly.Expressed in terms of implementation loss, we find an IL of 3 dB at

as shown in Figure 7.14.

As we stated earlier, the phase rotation of an OFDM symbol is the samefor all carriers in an OFDM symbol and can thus be estimated and corrected.We followed a decision-directed approach: we estimate the phase rotation asthe average angle between the received symbols and the hard decisions ofthe symbols. We apply the estimated phase rotation to the OFDM symboland redo the decision. This approach eliminates the phase rotation caused by

Page 154: 10.1007%2Fb117438

138 Chapter 7

the ONC. The only degradation that is left is caused by the Gaussian noisecontribution of FNC, which in our case results in a BER shift of about 0.2dB (Figure 7.14).

It is clear from this discussion that compensating the Common PhaseError greatly diminishes the BER degradation by the phase noise. Thispermits us to allow more phase noise, up to the point where the introducedFNC becomes too large.

In WLAN with 64 sub-carriers the ONC is the dominant effect. In otherapplications, such as digital broadcasting, the inter-carrier spacing is a lotsmaller and thus is the FNC the dominating effect.

7.4.5 Limited linearity

The use of a linear Power Amplifier (PA) is mandatory due to non-constant envelope signals. For simplicity, the PA here is assumed class Awith back off. The output range limitation, due to hard clipping when the PAis driven into its saturation region, can be anticipated to cause a stronglimitation on the BER performance. As a consequence, the saturation of thePA should be avoided by all means. Also because this would produce strongout-of-band radiation, require a long relaxation time to bring the PA againout of saturation and corrupt a large number of samples. A straightforwardsolution to prevent saturation of the PA is digital clipping at baseband ofhigh signal amplitudes. This approach has already been presented as anecessary step to limit the word-length of the digital signal path and to limitthe number of bits in the DAC. In addition it is also possible to add clippingon the magnitude of the complex signal to reduce even further thesignal Crest factor. As sketched in Figure 7.15, this operation adds only alimited noise power, as the probability of clipping magnitudes above islow.

This second clipping operation can be implemented at low cost if thetransmitter architecture includes a digital IF (with digital I/Q modulation); ifnot, it requires a considerable amount of DSP, hence increase the systemcost and power consumption. During the system design this must be traded-off against the PA implementation cost. In the following of this text, weassume magnitude clipping on such that the PA is never driven intosaturation.

Before considering a specific PA model for simulation, the impact ofmagnitude clipping on alone has been checked. This wouldcorrespond to a PA model with limited output power and ideally linearized(implemented for example with an ideal predistortion scheme). Thesimulated implementation losses at a BER of are reported in Table 7.3.We can conclude that magnitude clipping allows to reduce the clipping levelcompared to performing the operation on I and Q separately.

Page 155: 10.1007%2Fb117438

Living with a real radio 139

PA amplitude transfer function model: The PA linearity is a keyparameter as it reflects the distortion introduced on non-constant envelopesignals, and is closely related to power consumption. It will be quantified inthe following by the PA input-referred 3rd order intercept point IIP3 or 1dB-compression point for a given average RF input power Theamplitude transfer function of the PA, assuming that it is kept out ofsaturation, equals:

where is the limit of the saturation region, G the linear gain, andquantify the non-linearity of the device. The non-linearity coefficient canbe expressed as a function of IIP3, or

Page 156: 10.1007%2Fb117438

140 Chapter 7

As a consequence, IIP3 and are related by the well-know equation

Real-life systems do not present only cubic non-linearities. However in-band distortion is mainly introduced by cubic distortions. Hence thespecifications derived for cubic non-linearities are still valid for PAs withmore complex nonlinear behavior. Indeed, the distortion created by higherorders non-linearities generate mainly out-of-band components that can befiltered out. One remark is that in general the relation between IIP3 andbecomes Therefore, it is best to characterize the non-linearity of the PA by its to make sure that the average signal power isfar enough from the PA compression region.

PA model for in-band distortion: the PA model based on the amplitudetransfer function described above can not be used as such with a lowpassrepresentation of the signal, as at baseband one can only compute in-banddistortion. Instead, the model for baseband simulation is based on twofunctions, the AM-AM and AM-PM conversion functions, f and g

respectively. If one applies to the non-linearity, this

results in Combining with (7.38) results in:

The PA model for baseband simulation, computing in-band distortiononly, is therefore:

Note: When f(t) and g(t) are functions of only, as in (7.26), the non-linearity is referred as envelope (band-pass) non-linearity [20]. Thisguaranties that the PA can be linearized with predistortion techniques.

Figure 7.16 shows, for various values of the back-off between the 1dB-compression point and the average input power the amplitudetransfer function curves of a PA described by (7.38). On the same plot arereported a constant input power (here ) and the correspondingpeak power for a clipping factor From this figure, it appears that thePA will only remain out of saturation for a back-off greaterthan +8.4 dB; this corresponds to a rather high back-off, that may setstringent constraints on the PA design. To enforce the condition that the PAremains out of saturation even for a clipping level

lower than the provided by baseband clipping on I and Q separately

Page 157: 10.1007%2Fb117438

Living with a real radio 141

would be required. As proposed before, it is best to add clipping on themagnitude of keeping on I and Q the optimal clipping level ofderived in Section 7.4.1. This technique was applied to all the simulationswe present in this section: first baseband clipping at on I and Qseparately, then additional magnitude clipping at for simulations where

BER simulation results for PA non-linearity: the PA transfer functionis modeled at baseband by (7.41). Figure 7.17 shows that for lowimplementation losses and without predistortion, the PA can be operatedwith only 5.4 dB back-off between and (15dB between andIIP3). This can be translated in terms of system power efficiency into 13%,assuming a class A PA with 50% intrinsic power efficiency. Moreover, itappears from uncoded simulations that, at low BER, the degradation is for alarge extent introduced by the hard clipping, and not by the gaincompression in itself. Indeed, with ideal predistortion applied as alinearization technique, the IL at is for 70% due to hard clipping (PAoutput power saturation), and for 30% due to soft clipping (gain compressionof the PA). Thus, predistortion applied as a linearization will not help

Page 158: 10.1007%2Fb117438

142 Chapter 7

significantly with respect to the system power efficiency versus BER trade-off. However, predistortion might be considered to reduce out-of-bandradiated powers (inter-modulation products and harmonics).

7.4.6 Clock jitter on the ADC

An ADC processes a continuous time, continuous amplitude signal x(t) toproduce a sampled, quantified representation of this signal x[n]. Thesampling instant, ideally suffers from clock jitter, which can bemodeled by a stochastic variable As a result, the actual sampling instantshould be written as where is the value of atIn a simulation model of an ADC it is impractical to add clock jitter directly,for this would require a large over-sampling rate. Therefore, the effect ofclock jitter on the signal x[n] will be added first. Next,

is fed through an ideal ADC. This way, it is still possible to performbaseband simulations at the nominal sampling rate As illustrated onFigure 7.18, the sampling error is computed as a linear interpolation ofthe input signal x[n] at the sampling instant where followsa Gaussian distribution with a zero mean and a variance This can beformalized as

Page 159: 10.1007%2Fb117438

Living with a real radio 143

The error between the interpolated value y[n] and the actual value ofseems quite large on Figure 7.18. However, because the

simulation set-up includes up-sampling by 4 (Section 7.3.1) this error issignificantly reduced.

Simulation conducted for an ADC clock jitter variance of 1.4 nson a clock frequency of 80 MHz (that is 11.2 % of the ADC samplingperiod) resulted in an implementation loss smaller than 0.5 dB at

It shows that the link is quite robust with respect to clock jitter, as couldbe expected due to the high correlation between the signal sampled withoutclock jitter and the signal sampled with clock jitter.

7.4.7 Filter design in OFDM systems

The last front-end induced degradation we want to discuss here relates tofilters in the signal path, either analog or digital. The frequency domainperformances of filters relate to cascade analysis and appropriate frequencyplanning.

In-band ripple will result in subcarrier dependent SNR reduction.However, this can be combated for the transmitter filter chain by pre-

Page 160: 10.1007%2Fb117438

144 Chapter 7

compensation, that can be directly implemented on the OFDM symbolsbefore the IFFT. At the receiver, inband ripple from the filter chain has noimpact on the SNR, as signal and noise will be shaped in the same way(provided that the noise floor of the system is not reached). Limited stop-band performances will have similar effects as for any communicationsystem: in-band SNR reduction, in this case subcarrier dependent as well,due to adjacent channels or interferers falling back in the desired signal bandafter mixing operations, nonlinear distortion, or aliasing in the ADC.

More specific to OFDM is the performance degradation that results fromthe extension of the impulse response of the channel due to the insertion ofthe filters in the signal path. As demodulation in an OFDM receiver is basedon frequency domain block processing, strong performance degradation willpossibly occur because of the front-end filters' and multipath channels'impulse response. This performance degradation, due to intersymbol (ISI)and intercarrier (ICI) interference, is prevented by inserting a cyclic prefix(CP) with a minimal length equal to the significant part of the equivalentbaseband channel impulse response [15]. The response of the channel in anindoor WLAN system is typically short (<500ns in HIPERLAN/2 channelmodels) but in combination with the transmit/receive filters, the length of thetotal impulse response might exceed the cyclic prefix length.

This effect can be reduced to a certain extent by appropriate timesynchronization at the receiver, as described in [16] [17] [18] where theoptimal synchronization that minimizes ISI and ICI is explained. Asimulation tool has been described in [19] that efficiently analyses theimpact of a filter chain on the amount of ISI and ICI injected in the system.This tool, which does not require lengthy BER simulations, plots for a user-defined filter chain the Signal-to-Interference-Ratio (SIR) versus thesynchronization location. Examples of such curves extracted with this toolare plotted on Figure 7.19 and Figure 7.20. A good design choice to designthe filter chain in an OFDM link is to make sure that the amount of ISI andICI injected is low enough on a synchronization range of a few samples (e.g.SIR greater than 35dB for at least 5 samples).

Page 161: 10.1007%2Fb117438

Living with a real radio 145

Page 162: 10.1007%2Fb117438

146 Chapter 7

Page 163: 10.1007%2Fb117438

Living with a real radio 147

These curves prove to be even more interesting when considering theadditional impact on the delay spread introduced by multipath channels:some architecture choices may be more robust with respect to ISI and ICI, asexemplified in Figure 7.21 and Figure 7.22. It is worth mentioning here thatsuch curves do not guaranty low BER: high-enough SIR is only a necessarycondition for correct reception, but it is still possible that one or two datacarriers are in a dip of the multipath channel.

7.4.8 A front-end design example

Specifying a front-end is highly application-specific: type of environment(indoor or outdoor, office-like or home-like), maximum distance fortransmission, type of data to be transmitted and corresponding Quality OfService (video streaming, data transfer, etc.), targeted product cost, etc. Thisissue, which is especially sensitive in a multipath environment, as mentionedin section 7.4.3, can not be addressed here, as it would require the definitionof a complete business model. However, it would be the main input fordecision on cost-performance trade-offs...

Instead, the simulation results for all front-end non-idealities consideredso far individually are now combined to as a set of specification for a super-heterodyne transceiver with a first IF at 880 MHz, a second digital IF at 20MHz and digital I/Q (de-)modulation. This transceiver consists of a single-package RF module integrated in a BiCMOS process, and IF and basebandmodules implemented as a discrete board design on PCB (see chapter 8).

The specifications for the single-package RF module are:Transmitter:

DAC word-length: 8 bits, with digital clipping at baseband at ;linearity: back-off between the cascade input and average inputpower ;phase noise on the LO port of the RF mixer: total integrated phasenoise ;I/Q imbalance: not relevant as digital I/Q (de-)modulation.

Receiver:ADC word-length: 10 bits, assuming that the 4 MSBs are used tocode signal amplitudes above the signal RMS value (worst case forquantization noise) ;linearity: back-off between the cascade input P1dB and average inputpower (a 3dB margin has been included toallow for possible Crest factor re-growth in the channel) ;phase noise on the LO port of the RF mixer: total integrated phasenoise ;I/Q imbalance: not relevant as digital I/Q (de-)modulation ;

Page 164: 10.1007%2Fb117438

148 Chapter 7

ADC clock jitter: of at 80 MHz.BER / PER simulations considering the complete transmit and

receive front-end models: The BER performances of an OFDM linkincluding this transceiver have been evaluated. Two cases have beensimulated:

Case 1: the transmitted and received signal powers are such that theback-off between the cascaded P1dB and the average signal power areminimal. This back-off is therefore of 5.4 dB for the transmitter, andof 8.4 dB for the receiver ;Case 2: the transmitter does not transmit at full power, and thereceived signal strength is far away from the maximum specified levelof operation. As a result, the back-off between the cascaded P1dB andthe average signal powers have increased, so that the nonlinearbehavior of the transmit and receive chains is negligible. The BERperformance limitation is then due to the phase noise on the RF LOsignals.

Figure 7.23 plots the simulation results for various modes of the IEEE802.11a [1] and HIPERLAN/2 [2] standards:

Page 165: 10.1007%2Fb117438

Living with a real radio 149

the implementation loss for the 54 Mbit/s mode at 10-5 BER is 2.2dBwhen the transmitter operates at full power (case 1), and 1.6dB whenthe transmitter operates at reduced power (case 2);the implementation loss for the 48 Mbit/s mode at a BER of 10-5equals 1.1 dB ;the implementation loss for the 36 Mbit/s mode with a BER of 10-5 is0.4dB.

7.5 CONCLUSIONS

In this chapter, an overview of the interaction between the mixed-signalfront-end and the OFDM digital modem was presented. This interactionmust be well understood to analyze the impact of a mixed-signal front-endon the link bit error rate performance and to set at system level the front-endspecifications optimizing the design margins and the associated risks.

We have shown that basic assumptions on the degradation of the OFDMsignal due to some of the front-end non-idealities lead to a fairly goodapproximation of the implementation loss. When possible, this approachhelps in setting a first operation point for more detailed investigations

However, analytical derivations of the link degradation are unfortunatelynot always possible and often require over-simplified models. In such cases,we proposed an approach based on an end-to-end system simulation tool thatoutputs the BER versus signal-to-channel noise power ratio, for user-definedfront-end models. Such a tool is also helpful to verify the results obtainedwith the first method, and it allows to take into account more complexmodels of the front-end non-idealities, as well as multipath channel effects.It is a powerful tool to get insight in the IL degradation along the completelink due to front-end non-idealities, and to trade-off IL among the differentfront-end non-idealities on the one hand, and IL in the digital part versus ILin the front-end on the other hand.

As a driving application, the main mixed signal contributions of a super-heterodyne transceiver have been considered to specify the overall linkperformances. However, it was pointed at that this example lacks thedefinition of a business model that would set additional constraints on thecost-performance trade-offs in a changing multipath environment…

REFERENCES

[1] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY)specifications: High-speed Physical Layer in the 5 GHZ Band, IEEE stdP802.11a-1999.

Page 166: 10.1007%2Fb117438

150 Chapter 7

ETSI TS 101 475 V1.2.1A, April 2000: Broadband Radio Access Networks(BRAN); HIPERLAN Type 2; Physical (PHY) layerD.J.G. Mestdagh, P.M.P. Spruyt, et. al., "Effect of amplitude clipping in DMT-ADSL transceivers", Electronic Letters, Vol. 29, No 15, pp. 1354-1355.Influence of RF oscillators on an OFDM signal - C. Muschallik - IEEETransactions on Consumer Electronics, Vol. 41 No. 3 pp. 592-603, August 1995Effect of carrier phase jitter on single-carrier and multi-carrier QAM systems -T. Pollet, I. Jeanclaude, H.Sari - International Conference on Communications,Vol. 2 pp. 1046 -1050, IEEE 1995Analysis of the Effects of Phase-noise in Orthogonal Frequency DivisionMultiplex (OFDM), systems - P. Robertson, S. Kaiser - InternationalConference on Communications, Vol. 3 pp. 1652 -1657, IEEE 1995Phase noise and sub-carrier spacing effects on the performance of an OFDMcommunication system - A. Garcia Armada, M. Calvo, IEEE CommunicationsLetters, Vol. 2 Issue 1 pp. 11-13, January 1998The effect of frequency errors in OFDM - J.H. Stott - Research anddevelopment department technical resources, report BBC RD 1995/15(http://www.bbc.co.uk/rd/pubs/reports/), BBC 1995B. Come, R. Ness, S.Donnay, L. Van der Perre, P. Wambacq, M. Engels, and I.Bolsens "Impact of front-end non-idealities on Bit Error Rate performances ofWLAN-OFDM transceivers", IEEE Radio and Wireless Conference(RAWCON 2000), pp. 91-94, Sep 10-13, 2000, Denver, Colorado.B. Côme, et al., "Impact of front-end non-idealities on Bit Error Rateperformances of WLAN-OFDM transceivers", Microwave Journal, Vol. 44, No.2, pp. 126-140, February 2001.P. Vandenameele, L. Van der Perre, et. al. , "An SDMA Algorithm for High-Speed Wireless LAN", Globecom 98, pp. 189-194, Nov. 1998.“Channel models for HIPERLAN/2 in different indoor scenarios”, ETSI EPBRAN Meeting #3, Document 3ERI085B, March 30, 1998B. Sklar, "Digital Communications, Fundamentals and applications", Prentice-Hall International, 1988‘Digital Communication Techniques: Signal Design and Detection’, Marvin K.Simon, Sami M. Hinedi, William C. Lindsey, Prentice Hall, 1995R. Van Nee, R. Prasad, “OFDM Wireless Multimedia Communications”,Artech House Publishers, 2000.S. Muller et al., “Analysis of frame- and frequency synchronizer for (bursty)OFDM”, CTMC Globecom, pp.201-206, November 1998.T. Pollet, M. Peeters, “Synchronization with DMT Modulation”, IEEECommunications Magazine, pp. 80-86 vol. 37 Issue 4, April 1999.G. Malmgren, “Impact of Carrier Frequency Offset, Doppler Spread and TimeSynchronization errors in OFDM based Single Frequency Networks”, GTCGlobecom, pp. 729-733 vol.1, 1996.B. Debaillie, B. Come, W.Eberle, S. Donnay, M. Engels, I. Bolsens, "Impact offront-end filters on Bit Error Rate performances in WLAN-OFDMtransceivers", IEEE Radio and Wireless Conference (RAWCON), pp. 193-196,Boston, Massachusetts, USA, August 2001.M.C. Jeruchim, P. Balaban, K.S. Shanmugan, “Simulation of communicationsystems”, Plenum Press, New York & London – Section 2.11.5: Block Modelsfor Memoryless Nonlinearities, pp. 144-152

[2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

[10]

[11]

[12]

[13]

[14]

[15]

[16]

[17]

[18]

[19]

[20]

Page 167: 10.1007%2Fb117438

Chapter 8

Putting it all togetherFrom theory to a working solution

Wolfgang EberleIMEC

8.1 INTRODUCTION

The previous chapters presented different challenges and solutions forOFDM-based systems in the context of wireless local area networks. Toarrive at an actual implementation, we need to combine all this knowledge.A case study of such an implementation is described in this chapter.

We will first define an application scenario for the system that will guidethe detailed specifications. These specifications serve as input to thearchitecture selection phase. We opted for a discrete system set-up with off-the-shelf components around an application specific integrated circuit(ASIC) design for the baseband signal processing. Architecture and designof this baseband chip is discussed next. The evaluation of measurementresults and design cost parameters leads to a discussion on futureimprovements.

8.1.1 Focus on an application scenario

Imagine you want to build a wireless LAN transceiver right now. Youhave studied the previous chapters and you are familiar with the requiredingredients. How would you start? The first question to ask is whatrequirements should be met. Three can be distinguished:– the product should not disturb any other applications,– the product should be compatible with products of other vendors,– the client should buy the product.

The first requirement is achieved by following frequency regulation. Itlimits the power at which transmission is allowed, puts restrictions on theantenna gain and defines a transmission spectrum mask. The second

Page 168: 10.1007%2Fb117438

152 Chapter 8

requirement is handled by means of standards (cfr. chapter 4). This is wheremost constraints come into play. Often regulation and standardisation arelinked tightly together, e.g. by allocating certain frequency bands for specificstandardised applications only.

The last issue is part of the business plan. Where can we make a point inthe market? To answer this question we need to come up with one or severalapplication scenarios. We next try to tune the system for those scenarioswithin the constraints of standards and regulation. Remark that the definitionof an application scenario can have a considerable impact on the systembecause it defines many performance criteria related to the quality of service(QoS). For instance, video streaming requires a different error handling thanpacket-based message transfers.

In our case, we decided to design the wireless LAN prototype for awireless webcam scenario, which was considered a sufficiently complexenvironment to study performance and system integration issues.Sufficiently complex means in this context that we will not only encounterproblems at one level, e.g. the RF front-end design, but we will face allproblems at all layers, be it protocol software, DSP hardware, or D/A andA/D conversion.

Before we specify our requirements, let us first have a closer look at thewebcam application (Figure 8 2). At the application level, standard availablefunctionality is used, such as a webcam with a VideoForWindows softwareinterface, or viewer software at the receiver side. A combination of asoftware stack and hardware (i.e. the AMCC board in the figure) is needed tobridge between the computer platform and the actual wireless transceiver.Remark that a computer platform is not a necessity: we could also use a thin

Page 169: 10.1007%2Fb117438

Putting it all together 153

multimedia terminal. The wireless communication set-up is partitioned intothe digital baseband functionality (digital board) and the front-end with theantenna. The digital baseband employs a configurable FPGA.

Figure 8 2 contains already a first sketch of an architecture. Principally,we could have restricted the discussion to the data flow of the application.However, in practical design cases it is more common to take anarchitectural view to describe the application scenario. The final architectureis then derived by iterations between specification and architecture selection,going from general requirements to detailed specifications.

8.1.2 Design goals

A wireless webcam can be employed both in a business or homeenvironment. As a consequence, the following constraints come into mind:cost efficiency, easy maintainability, and compactness.

These goals guide us to IC design for the integration capability, towardsstandard IC technologies for the cost, and into heterogeneoussoftware/hardware solutions for easy maintenance and upgrading options. Inthe road towards integration, we first chose to focus on the digital basebandASIC as a custom design and to design the remaining system based on off-the-shelf components. In the mean time, steps towards the integration of theRF front-end have been undertaken, based on the experience with thediscrete set-up.

Page 170: 10.1007%2Fb117438

154 Chapter 8

For the initial specification, we decided to distinguish between hard andsoft constraints. Whenever reasonable and already known (the developmentstarted before the standards where fixed), we tried to comply with thestandard. Our own ideas on performance improvements are reflected inextended programmable parameter ranges or parameters instead of constants.Table 8.1 gives an overview of the most import parameters for the twoASICs that we realised, called Festival and Carnival.

Table 8.1 does only contain the most important hard design parameters.Maximum clock rate, power consumption, and area were not constrained;instead, the goal was to minimise them. First investigations during thearchitecture selection revealed reasonable estimates such that constraints

Page 171: 10.1007%2Fb117438

Putting it all together 155

could be defined and costs could be predicted. As a consequence, the initialspecification was not complete and was developed during the prototypeexploration.

To reach an optimal solution for the physical layer and parts of the datalink layer of a wireless transmission scheme, we must deal with keyproblems in three different fields (Figure 8.3): communications and signalprocessing, architecture design, and design methodology. Indeed, theprevious chapters show that many different design factors influence thesystem performance. An efficient design methodology is needed to supportthe exploration of this vast search space. In addition, a smooth path frommodel towards implementation saves time and allows iterations in theexploration process.

8.2 THE BASEDBAND SIGNAL PROCESSING ASIC

As explained in the previous section, we decided to focus on the digitalbaseband signal processing design first. Initial analysis [4] showed that anASIC solution is required to reach the desired throughput and to keep thepower consumption reasonably low at the same time. Consequently, we wentfor an ASIC design. So far, two ASICs were designed with differentspecifications and intentions.

The first ASIC, Festival, was already specified in 1998 prior to thefinalisation of the standardisation efforts in IEEE and ETSI. As aconsequence, the specifications differed in quite some points from the laterdefined standards. For instance, it only supported BPSK and QPSK and it

Page 172: 10.1007%2Fb117438

156 Chapter 8

used a different preamble. However, the main target of the design was toprove that a scalable and still flexible OFDM ASIC design could beachieved [5], which by programming its parameters could come in the closevicinity of the standard specifications. The design of this ASIC wascompleted in the spring of 1999.

A second version, Carnival, followed Festival in the spring of 2000 [8].With the IEEE standard being finalised only in the fall of 1999, the design ofthe ASIC was already partially completed. We chose not to delay the designfor full compliance but rather tried to include the major requirements, suchas 64-QAM operation and full compliance with the OFDM symbol structureincluding the pilots.

8.2.1 The ASIC architectures

Both ASICs implement the inner transmitter and receiver datapath(Figure 8.4) required for a high-speed, wireless OFDM system employing ahalf-duplex protocol suitable for standard-compliant time-division duplexoperation. Hardware resources such as the Fast Fourier transform are sharedbetween transmitter and receiver and various data reordering tasks aremerged into a centralised unit (symbol reordering).

A burst controller (BC) allows self-controlled processing of entiretransmission and reception bursts, reducing the load of an external mediumaccess control (MAC) or general-purpose processor. The transceiver onlyrequires initial programming of parameters and triggering of MAC requestsfor transmission and reception and delivers status information through adedicated BC interface.

In transmission mode, payload data enters the ASIC on request, through a6-bit parallel interface. The data enters the symbol mapper unit where bitsare mapped onto either BPSK, QPSK, 16-QAM, or 64-QAM subcarrierconstellations. A programmable number of zero carriers is introduced nearDC and/or the Nyquist frequency. The zero carriers around DC allow toaccommodate DC notch filtering, while the zero carriers around the Nyquistfrequency reduces the requirements on the low pass filters. A BPSK pilotsequence is inserted either on a fixed subset of 4 carriers (according to thestandards) or using a rotating pilot pattern with a period of 13 OFDMsymbols. A complex value weights each subcarrier, allowing for transmitterpre-emphasis and phase pre-distortion. The mapper provides a sequentialseries of 64 carriers, for Festival also 128 or 256 carriers, to the IFFT,termed an OFDM symbol. The mapper also adds an entire programmableBPSK OFDM symbol serving as a reference sequence prior to the payload orinserts it periodically into the stream of OFDM symbols.

The inverse FFT transforms the frequency domain constellation into atime-domain sequence. Scaling and digital hard clipping is performed at the

Page 173: 10.1007%2Fb117438

Putting it all together 157

IFFT output to select a suitable peak-to-average power ratio (PAPR) andsignal-to-quantization noise ratio.

OFDM symbols are then passed to the symbol reordering unit (SSR) thatinserts the acquisition preamble and the cyclic prefix. The SSR sends datasampled at the chip clock frequency through a 2x8-bit parallel I/Q interfaceto the external digital IF stage. Setting the ASIC clock frequency to 20 MHzresults in a standard-compliant stream of OFDM symbols.

In reception mode, data is provided from an external digital low-IFdown-conversion stage in 2x10-bit format to the gain control and timingsynchronisation stage. The preamble serves to estimate received signalstrength, frame start, and carrier frequency offset (CFO). Before entering theFFT, the CFO on incoming samples is reduced to about +/- 4 kHz resultingin negligible inter-carrier leakage effects. Also, the guard interval is strippedoff forming again plain OFDM symbols of 64, 128, or 256 subcarriers. TheFFT translates them into the frequency domain where the SSR removes zerocarriers, identifies pilot carriers and reference symbols.

Payload-carrying sub-carriers are passed to the equaliser along with thisextracted information. The equaliser performs an initial channel estimate,based on the BPSK reference symbol. In the Carnival ASIC, this estimate isimproved by interpolation. With this estimate being available, the acquisitionphase has finished and the data reception and tracking phase starts. Duringthe tracking phase, received data is still being compensated by the time-domain CFO. The FFT timing is controlled and updated by a clock offset

Page 174: 10.1007%2Fb117438

158 Chapter 8

estimation and compensation algorithm. Fine frequency offset compensationis performed in the equaliser in a decision-directed averaging phase loop,updating the channel. Also, time variations of the channel are traced bymeans of the pilot scheme, where rotating pilots outperform fixed pilots.

The equaliser divides the received constellation by its channel responseper sub-carrier and provides, through the demapper, either hard decision,2x3-bit soft decision, or 2x6-bit high resolution output to e.g. an externaldecoder or interleaver block.

Table 8.1 describes the major programming parameters for the twoASICs. An OFDM symbol structure compliant with IEEE and ETSIstandards can be achieved by choosing 64 carriers, 16 guard samples, 0 zerocarriers near DC, 5 zero carriers near Nyquist, fixed pilot scheme, and afrequency diversity factor of 1.

8.2.2 Joint Algorithm and Architecture Design

In this section, we will focus on the algorithms and architectures of themajor signal processing parts of the OFDM transceiver. We start with theFast Fourier Transform, move on to the centralised symbol reordering unit,address time-domain based burst acquisition and finally come to equalisationand tracking in the receiver.

8.2.2.1 Fast Fourier Transform

The complex Fast Fourier Transform (FFT) is the heart of an OFDMsystem, converting frequency domain constellations to time domain and viceversa. The high peak-to-average power ratio (PAPR) of multi-carrier signalsrequires careful fixed-point exploration to maximise the performance/costratio. Wireless burst operation requires an FFT with low latency and powerconsumption.

A pipelined complex FFT architecture (Figure 8.5) based on radix 2-2decomposition [3] has been chosen since it achieves both the simplicity ofbutterflies from a radix-2 scheme and the low number of complexmultipliers from a radix-4 scheme. Every other multiplier is replaced byrotator logic involving only multiplexing and sign inversion. Using simplebutterflies and less multipliers also simplifies control and allows astraightforward design of a variable-length (i.e. 64, 128 or 256 subcarriers)FFT. The IFFT operation is obtained by conjugation of input and outputsignals.

Page 175: 10.1007%2Fb117438

Putting it all together 159

The radix 2-2 scheme requires the minimum amount of memorylocations. Memory is implemented as feedback register banks or dual-portRAMs (128- and 256-word banks only) distributed along the pipeline,starting with the maximum word-count according to a decimation-in-frequency scheme. We benefit from the fact that the wordlength through theFFT increases towards the output starting with a small input wordlength,saving 25 % memory in 64-carrier mode compared to decimation in time.Compared to a fixed-wordlength implementation, we achieve a reduction of30 % in memory size from the fact that we start with 10 bits and end with 15bits. We introduce a fixed scaling by 2 at every butterfly stage, sowordlength increases only at every full multiplier. To derive the 2 unknownsper multiplier, i.e. the post-multiplier datapath wordlength and thecoefficient look-up-table (LUT) wordlength, we performed a parametricexhaustive search by simulation [17]. This search becomes feasible since wehave reduced the unknown wordlengths to only 4 in the 64/128-carrier and 6in the 256-carrier case for the entire FFT.

Scaling and saturation at the output stage facilitate the implementation ofdigital hard Cartesian amplitude clipping in the transmitter. In previouschapter we have seen that the optimum performance requires a trade-offbetween clipping noise and quantization noise. The choice between 5-bit and8-bit outputs offers dynamic ranges from 30 dB to 48 dB, while the choice ofthe clipping level results in different distortion and quantisation noise levels.

Page 176: 10.1007%2Fb117438

160 Chapter 8

Parameters are programmed according to the front-end, especially the poweramplifier non-linearity, and the QoS requirements.

There is a latency of one OFDM symbol between the input and theoutput. In addition, the final FFT implementation has a core delay of 10clock cycles resulting from 1 pipeline stage per butterfly and 2 per complexmultiplier. The FFT provides its output in bit-reversed order with post-compensation of that effect in the SSR.

8.2.2.2 Centralised symbol reordering unit

OFDM symbols are meta-symbols compared to samples in conventionalsingle-carrier systems. This inherent scalability makes OFDM powerful.However, to exploit this flexibility, architectures that support a discrete setof parameter choices are required. In a conventional distributed designprocess, the design would be first partitioned into modules and thenoptimised locally per module. This would result in a large number ofdistributed buffers that would be individually sized for worst case.

Page 177: 10.1007%2Fb117438

Putting it all together 161

Therefore, we investigated if a number of sample-reordering tasks couldbe efficiently implemented with a central dual-port memory. To this end, weanalysed, based on a high-level dataflow description, the data transfersbetween signal processing units, their intra-unit storage and the inter-unitbuffering requirements to handle the multi-rate issues. The flexibility in theOFDM symbol leads to a large set of different I/O rates, requiring theinsertion of buffers. More specifically, we encountered buffering issues dueto bit-reversed reordering of the FFT output, removal of pilots and zerocarriers, despreading, insertion of the programmable length cyclic prefix,and the preamble. We centralised the storage in a single unit (Figure 8.6)consisting of two single-port RAMs with memory arbiters and a set ofaddress generators. Two address generators run in parallel, producing readand write addresses respectively. The RAM access mode is toggled afterevery OFDM symbol. This approach results in the minimum amount ofmemory, i.e. twice the number of sub-carriers, without additional latency.

8.2.2.3 Time-domain burst acquisition

Wireless LAN systems are packet-based and minimise the preamble toincrease the efficiency of the physical layer. They can not tolerate loss ofinitial data and are hence critically dependent on fast and accurate burstacquisition. At the same time, the received signal is distorted by a number ofindoor channel and front-end effects. Receiver acquisition has to detect theincoming signal, adapt its signal power, achieve timing synchronisation, andcompensate for the carrier frequency offset (CFO) introduced by localoscillator mismatches in transmit and receive front-ends.

Fast acquisition prohibits the use of frequency domain signal processingfor timing synchronisation and CFO estimation, popular in wire-boundsystems with long acquisition preambles, like ADSL, or wirelessbroadcasting systems, like DAB and DVB.

We have implemented a timing acquisition (Figure 8.7 a) based on atwo-phase auto-correlation process (Figure 8.7 b) using a programmableBPSK time domain code sequence which is repeated according to a secondmeta-level sequence. ETSI and IEEE standardised a different preamblescheme, but the intrinsic signal processing concept can be maintained.

Since the sliding window correlator only requires a 2 x 1-bit input, it isvery robust against automatic gain control transients and results in a lowcost, low power implementation. A parallel sliding window signal powerestimation is used to validate the correlator results. Alternating bipolarcorrelation peaks during phase 1 determine the relative code sequence start,while the transition to phase 2 defines the absolute frame reference. Thereceiver only uses information on the codeword length and the meta-levelsequence; the codeword itself is not known. Probabilities of false alarm and

Page 178: 10.1007%2Fb117438

162 Chapter 8

missing detection depend on the programmed numbers of peaks-to-detect inphase 1 and phase 2, respectively. Phase 3 starts when phase 2 has obtainedenough confirmations and counts until the frame start.

Carrier offset is estimated by means of a repeated sequence of length 64,128, 256, or 512, which follows the frame start. For multipath immunityreasons the estimator is based on auto-correlation. A larger preamble sizetrades off higher noise suppression against a lower capture range. Carrieroffset must be reduced to a fraction, usually 1-2%, of the sub-carrier spacing,to achieve negligible inter-carrier interference in the FFT. A single-operatorsequential CORDIC converts the Cartesian estimate into a phase difference.A phase accumulator reproduces the evolution of the phase caused by thecarrier offset. This phase is translated in Cartesian co-ordinates by means ofa pipelined CORDIC stage (Figure 8.8). The CORDIC uses a constant inputreference 1+j0 to provide a Cartesian output with a conversion accuracyindependent of the highly amplitude-varying receive signal.

Page 179: 10.1007%2Fb117438

Putting it all together 163

8.2.2.4 Frequency-domain channel estimation and tracking

The received signal after the FFT is still affected by multipath fading andcontains a remaining low carrier frequency offset. However, by a properchoice of the sub-carrier spacing relative to the coherence bandwidth, theFFT produces a highly oversampled channel response.

The equaliser can exploit this in two ways. First, it requires only a singlecomplex channel coefficient per sub-carrier, as the channel response isindependent for the different sub-carriers. Secondly, the rank of the matrix isreduced, since high oversampling translates into correlated channelcoefficients. Thus, we can apply filtering to suppress noise and interpolate asmoothed channel vector from a smaller set of coefficients. This has beenimplemented in the Carnival ASIC, because the initial reference symbol-based estimate was poor for the 16-QAM and 64-QAM cases. The Festival

Page 180: 10.1007%2Fb117438

164 Chapter 8

ASIC contains a simpler equaliser whose architecture we describe before themore complex one of the Carnival ASIC.

The Festival equaliser (Figure 8.9) implements the basic one-tapfrequency domain equalisation, consisting of a single complex multiplierwith a coefficient memory to store the channel response [2]. The channel isestimated by multiplying received initial or periodic reference symbols witha known reference. A decision-directed loop estimates either individual sub-carrier phase error or average phase error based on QPSK slicing. Thechannel estimate is thus updated for phase only, tracking such effects as finecarrier frequency offset or, to a limited amount, clock offset. Gain control onI and Q parts, using a greatest common divider (GCD) algorithm [4],stabilises the loop and prevents amplitude drift.

The Carnival equaliser (Figure 8.10) also uses the concept of a singlecomplex operator with coefficient memory. 16-QAM and 64-QAMconstellation schemes however require accurate amplitude correction, whichis performed by a complex divider. In addition to initial and periodicreference symbols, to update part of the channel, a pilot pattern is sent withevery symbol. The channel estimate obtained from a single reference symbolstill contains a considerable MMSE error (Figure 8.11).

A channel interpolator (Figure 8.12), consisting of an initial “noisy”stage with the CFO phase error update, is followed by a cascade of 4 blocksimplementing the matrix operation:

Page 181: 10.1007%2Fb117438

Putting it all together 165

S is a 64x9 programmable complex coefficient matrix. The first twostages transform the noisy channel estimate into an impulse response vectorof length 9, effectively suppressing any noise beyond the tap. The lasttwo stages reconstruct the full 64-tap frequency response from this truncatedimpulse response vector [2]. The first three stages employ full parallelismsuch that an interpolated channel tap is available after one OFDM symbollatency.

Page 182: 10.1007%2Fb117438

166 Chapter 8

Coefficient sets are stored in 9 RAMs next to a pre-programmed set in alook-up-table (LUT). The interpolator is also used during tracking,improving the channel estimate by 2.5 to 3 dB. Together with the rotatingpilot scheme it is also able to suppress spurs, e.g. from the equaliserfeedback loop, reducing error propagation.

Clock offset between receiver and transmitter sampling clock does notonly have an impact on the sub-carrier phase. Indeed over the burst length of2 ms for HIPERLAN/2 or up to 5 ms for IEEE 802.11a, it can shift theactual OFDM symbol out of the FFT frame leading to a low signal-to-interference ratio. Maximum clock offset values according to the standardsare as high as +/- 40 ppm of the 20 MHz system reference oscillator.

The clock drift is estimated by correlating the cyclic prefix with itsoriginal counterpart in the same OFDM symbol (Figure 8.13 a). Thecorrelation peaks are determined and averaged over more than 32 OFDMsymbols to reduce the impact of noise. Compensation occurs by eitherdropping an entire sample from or adding one to the cyclic prefix (Figure8.13 b), resembling a sigma-delta architecture. The shifting events arecommunicated to the equaliser to adapt the stored sub-carrier phases to theinstantaneous sample shift.

Page 183: 10.1007%2Fb117438

Putting it all together 167

8.2.3 Integration

The previous section proposed a set of signal processing algorithms andarchitectures to solve individual problems. Next, we need to integrate theminto a complete system. Ease of integration is essential to guarantee that thesystem can be designed with reasonable effort assuming limited supportfrom electronic design automation (EDA) tools.

Easy of integration means that we need to pay special attention toreducing the data transfer and storage costs between design units [1], tosimplifying the system control and to ease the clocking strategy. Also the useof an EDA framework for system integration can be beneficial for thesystem design.

8.2.3.1 Communication and storage

Wireless LAN transceivers require both high throughput and low latency,leaving limited space for sequential processing. The FFT processes about 1Gops/s while the channel interpolating part of the equalizer needs 1.7 Gops/sand a memory bandwidth of 3.4 Gbps. Higher clock speeds could reduce theneeded parallelism, but introduce more data caches to adapt between thedifferent rates. However, this multi rate problem can be solved by eithersharing a common memory or by a distributed memory approach dependingon the local processing needs. Remark that the multi-rate problem is presentanyway because of the flexibility that was introduced in the ASICs.

For the FFT, a distributed memory architecture was found to be superiorto a single memory running at higher clock speed with respect to its datatransfer-related power consumption. On the other hand, a number of sample-reordering tasks were efficiently implemented with a central dual-portmemory of minimum length in the SSR. Both solutions efficiently use thememory transfer bandwidth while maintaining a regular access pattern. Thefinal on-chip datapath does not contain any caching beyond the minimumrequired by the signal format defined in the standards. This caching latencyis two OFDM symbols for both receive and transmit path evenly divided onFFT processing and bit-reverse reordering.

All design units contain their own local register banks to storeprogramming parameters. This supports the IP (intellectual property) blockconcept, which is important for later reuse. It also significantly cutsinterconnect dependencies between design units, a benefit for the layoutstage. Multiple instantiations in case of common parameters have negligiblecost. A single write address for the same parameter in all units andindividual read addresses guarantee correct programming and easyverification.

Page 184: 10.1007%2Fb117438

168 Chapter 8

8.2.3.2 Token-based distributed control

To stress the IP concept, a generic communication protocol is requiredbetween all design units. We implemented a scheme based on tokensemantics that follows the natural data flow through the transmit and thereceive path (Figure 8.14). A closed token-loop scheme is used between theburst controller and the datapath. Tokens contain three types of information:meta-symbol start, burst state information (BSI), and dynamic datapathinformation (DDI). Tokens are not sent at the sampling rate, but at the rate ofmeta-symbols, i.e. at OFDM symbol rate. The BSI indicates referencesymbols and the last symbol of a burst and is returned by the last unit in thedatapath to indicate that an entire burst has been completely processed. DDIcan be added to a token by any datapath block to transfer data-dependentinformation synchronously with the current symbol to another unit down theprocessing chain. For example, the clock offset estimation unit uses this toinform the equaliser in case of a FFT frame timing shift. The token schemescales with multi-rate and simplifies also the design task, since a tokenarrival time window is defined instead of a discrete point in time, keepingdetailed unit latency information locally.

8.2.3.3 Clocking strategy

Low power operation is crucial for portable operation. The clock treedominates the power consumption in synchronous systems. However,analysis of a typical receive scenario reveals that the receiver remains aconsiderable amount of time in listening mode searching for a receive signal.Reducing power dissipation on the average compared to the peak powerconsumption has been achieved by matching activation of units with the timewindows they are effectively required from the networking protocol and

Page 185: 10.1007%2Fb117438

Putting it all together 169

burst format point of view. Clock gating with a state-based activation wasused to implement this behaviour. The burst controller and decentralisedsmart senders (Figure 8.15) control the clock generation. We also use clockgating to implement multi-rate interfaces between units. Transitions betweenunits operating on different clocks are facilitated through retiming on acommon inverted core clock, reducing the potential skew complexity from

to O(n), with n being the number of clock signals.The ASICs are master for all datapath interfaces and provide on-chip

generated clock signals. These clocks are generated locally to the otherinterface I/O signals to allow joint skew optimisation.

8.2.3.4 Object-oriented design methodology

The demands of high-speed modems in terms of latency, area, and powerdissipation are approaching the technological limits. Therefore, a jointoptimisation of algorithm and architecture is required to come to a feasiblesolution. Many of the blocks in the OFDM architecture are flexible. Toinvestigate reasonable parameter ranges and their interdependencies a fasthigh-level simulation model is required. On the other hand, the architecturemust eventually be implemented in silicon, and, consequently, a smooth pathfrom the high-level simulation model towards a synthesizable registertransfer (RT) description is vital. In this process, architectural explorationmust be maximally supported.

We started with a high-level dataflow model in C++, using the OCAPI[15] hardware libraries. The OCAPI technology supports the gradualrefinement of an object-oriented C++ model starting from behavioural code.Its application to the design of the OFDM transceiver consists of severalphases:1.

2.

3.

4.

behavioural description of the algorithm using a set of class libraries toexpress data-flow semantics;design partitioning in which functionality is being grouped in largerentities to be mapped onto single hardware units;scheduling of the operations inside each entity to get a clock cycle-truedescription and formal mapping to finite-state machines (FSMs) andsignal flow graphs (SFGs) resulting in a register-transfer description;automatic generation of synthesizable RT-level HDL code.

Performance evaluation, algorithm selection, fixed-point refinement, andfunctional partitioning were performed on the C++ dataflow model. Object-oriented design gives the designer freedom to design generic classes thatconstruct hardware from given user constraints. Inheritance and fullyparametrizable, hierarchical instantiation are strong assets for a clean codedatabase. The transceiver, for example, is instantiated twice and configuredeither as transmitter or receiver just at the top level. Internally,

Page 186: 10.1007%2Fb117438

170 Chapter 8

interconnection and scheduling are optimised for simulation speed or forhardware operation matching. Also, on-the-fly reconfiguration is possibleduring simulation.

The C++ dataflow model was refined towards a C++ description basedon integrated finite-state-machines and datapath (FSMD) blocks. It isimportant to start exploration of data transfer and storage issues already atthe dataflow level [18], since this prevents frequent and time-consumingloop back between the FSMD and the dataflow design. Refinement includesmainly operator sharing and scheduling. VHDL RT-level code is generatedautomatically from the C++ FSMD description. An option for Verilog isavailable too. Both Festival and Carnival make use of existing native VHDLcode. These units, developped prior to the C++ design, were modelled asabstract dataflow blocks to obtain a complete dataflow end to end link.Carnival also used native Verilog code, showing that a C++ entry-levelapproach can be integrated into a heterogeneous design flow.

From RT on, a conventional standard cell design flow is followed withlogic synthesis, floorplanning, and layout steps. Clock tree routing wasperformed at layout level and included into the back-annotation.

During the design process, simulation-based verification is usedextensively to track correctness of the design refinements. C++ basedsimulation is used during the system-level design phases, HDL-basedsimulation is used during the synthesis and back-end flow. Generated HDL,gate-level and back-annotated gate-level netlists were all verified against thesame test vectors generated from the C++ dataflow model. Extraction of

Page 187: 10.1007%2Fb117438

Putting it all together 171

simulation results from RT and gate-level simulation only requiressynchronization of control token flow and dataflow at the top level of thedesign to match the different abstraction level. This was the only HDL codemodification required to execute all testbenches.

8.3 THE DISCRETE SYSTEM SET-UP

The first version of the demonstration set-up was implemented on a set ofprinted circuit boards (PCBs) (Figure 8.16). A PC platform was equippedwith a digital PCI-based multi-function data acquisition card (AMCC S5933board). Cables for data transfer and protocol connect to two custom designedboards: the baseband signal processing board and from there to the digital IFboard. The baseband signal processing boards hosts the custom designedOFDM ASICs. Commercially available analog-to-digital conversion anddigital-to-analog conversion evaluation boards were used to bridge to theradio front-end. The radio front-end itself was implemented in a stack ofthree boards: a synthesizer board, the IF board, and the RF board. The poweramplifier was implemented on a separate board including the coolingfacilities.

Page 188: 10.1007%2Fb117438

172 Chapter 8

8.3.1 Software and Protocols

For the webcam application, we have chosen a PC as platform. Incombination with different operating systems (MS Windows and Linux), thisguarantees a fast development for the driver software and enables us to useoff-the-shelf application software. An AMCC multi-function board connectsthe PC’s PCI bus to the digital baseband board. The kernel drivers use directmemory access (DMA) to access the digital board resources through theAMCC board in a transparent way.

The software protocol stack was implemented on two platforms (Figure8.17): Windows 95/98 and Linux. The Windows software protocol stackcould be developed faster due to available drivers, but it was also limited inits flexibility. The Windows version including a graphical user interface(GUI) was primarily used in the beginning phase when testing the interactionbetween the application and the FPGA-based hardware protocol stack. Toeliminate the limitations, a Linux-based protocol stack was implemented:first a simple testbench with automated self-tests and then the actual webcamdemo.

The protocol code is subdivided between the FPGA and the PC-basedkernel driver. The hardware MAC architecture on the FPGA (Figure 8.18) iscentred around a CPU with customised instructions. The remaining FPGAresources are mainly used for different interfaces:

short First-In-First-Out (FIFO) buffers for data traffic buffering betweenthe AMCC card and the FPGA,a microprocessor programming interface (MPI) for programming of theASIC and the convolutional encoder/decoder;a serial interface to control front-end resources (e.g. automatic gaincontrol, power-up/down, carrier frequency selection)

Page 189: 10.1007%2Fb117438

Putting it all together 173

The hardware MAC is responsible for the burst timing itself. Dataframing or the start-up sequence including programming of the ASIC isaccomplished by the hardware MAC without software MAC support.Instructions from the PC software MAC to the FPGA hardware MAC occuron basis of acknowledged messages and are available at different abstractionlevels:a) Burst level

Start communication: This command tells the FPGA to switch thesystem in transmit or receive mode. Whenever data arrives, the systemstarts processing it.

b) Resource levelRead from ASIC: This command tells the FPGA to read at a certainaddress in the baseband ASIC.Write to ASIC: This command tells the FPGA to write a certain valueat a certain address in the baseband ASIC.Start timer: This command starts a timer in the FPGA.Serial communication: This command lets the FPGA communicatewith the IF modulator/demodulator board.

Timing-critical sequences are pre-programmed. They are called when aburst level message arrives and use the facilities of the lower resource level.The software MAC can be informed of time-out situations through watchdogfunctionality based on the FPGA’s timers.

Page 190: 10.1007%2Fb117438

174 Chapter 8

From a networking point of view, the webcam application requires only apoint-to-point protocol. Apart from the physical layer implementation, alimited set of data link control (DLC) functions was implemented, such as anautomatic packet numbering. Provisions were taken to hook up the customkernel functions to TCP/IP.

The data to transmit is prepared in a buffer in the PC memory by thedriver. The driver then writes commands (e.g. program festival, enable front-end, start loading FIFOs) to the OFDM baseband board. The FPGAinterprets the commands and then reads the data from the PC memory andwrites it to the TX-FIFO on the baseband board. The Festival ASIC isswitched to TX-mode, reads the data from the TX-FIFO and sends out itsdigital I/Q signals to the IF modulator/demodulator board.

The PC software driver allocates a buffer, where the received data will beput, in memory. The driver then sets up the OFDM Baseband board for RXmode. When the Festival ASIC receives its data, it writes the data to the RXFIFO on the OFDM Baseband board. The FPGA detects that there is data inthe RX FIFO and writes it to the buffer in PC memory.

8.3.2 Baseband signal processing

The baseband signal processing part is implemented on a separate board(Figure 8.19). Around the central OFDM signal processing ASIC, a numberof support processors are grouped (Figure 8.20):

a convolutional encoder/decoder chip;the FPGA handling the data transfer between ASIC, FIFO, and PC andperforming the control of the baseband board (see section 8.3.1);a transmit and a receive FIFO for data buffering between the FPGA andthe ASIC.Once activated for transmit or receive mode, the ASIC communicates

through a FIFO-based transmit and receive interface as a master with the PCin a slave position. In transmit mode, the ASIC requests data fortransmission when ready. In receive mode, it passes the received data to thePC.

To implement the tasks of the outer transmitter (receiver), the FPGA canredirect data through the convolutional encoder (decoder) or it can scramble(descramble) the date. The configuration code for the FPGA can be eitherloaded from an on-board EEPROM at start-up or programmed through aserial interface from the same PC.

Towards the front-end, the ASIC provides digital I/Q interfaces. Either apair of ADCs/DACs or a digital IF stage can be connected. Additionalsignals are provided to support analogue automatic gain control in thereceiver and to control power-up and down in the front-end.

Page 191: 10.1007%2Fb117438

Putting it all together 175

Page 192: 10.1007%2Fb117438

176 Chapter 8

The chip features an asynchronous microprocessor interface forprogramming. An additional 5-pin direct control interface allows the MACto select one out of four operational modes (transmit, receive, programming,and sleep) and watch the status of those modes. Any intra-unit data bus canbe monitored parallel and at full clock speed through an external testinterface. For example, this bus can provide an adaptive loading extension ora decoder with the channel estimates.

8.3.3 Establishing the wireless connection

The radio front-end is required to translate the baseband signals to andfrom the carrier frequency in the bands allocated for the WLAN applicationin the 5 GHz. The OFDM ASIC provides an I/Q interface to the radio front-end. Samples are provided and expected at the minimum, i.e. 20 MHz,sampling rate. In chapter 7, we have already discussed the specification forthe design of the front-end.

Two front-ends with different implementation details are brieflydescribed. The first is a discrete superheterodyne front-end with anadditional digital intermediate frequency stage for the final conversion toand from baseband. The second one is also based on the superheterodyneprinciple but is far more integrated using chip-package co-design [19]. Itconverts to and from baseband by subsampling.

8.3.3.1 Discrete superheterodyne front-end

The discrete superheterodyne front-end (Figure 8.16) consists of threeboards: a synthesizer board providing the fixed 430 MHz intermediatefrequency and the tunable 5 GHz local oscillator signals for the mixers to the

Page 193: 10.1007%2Fb117438

Putting it all together 177

IF board and the RF board respectively. The analog baseband signal iscentered around 20 MHz and sampled at 80 MHz by a single DAC/ADC. Adigital intermediate frequency conversion stage, implemented in a separateFPGA (Figure 8.21), translates this IF to baseband including the low-pass filtering. A digital IF reduces the imbalance between I and Q pathscompared to an analog quadrature mixing stage. The power amplifier wasplaced on a separate board.

8.3.3.2 Towards an integrated front-end

A first step towards integration was the packaging of the RF section andthe IF band selection filter into an integrated RF module implemented in amix of active BiCMOS devices on an Multi Chip Module (MCM-D)substrate (Figure 8.22). The use of MCM-D allows different trade-offs forthe quality of passive components, especially for inductances, which led to adifferent frequency planning: the IF was chosen at 900 MHz, the IF at140 MHz. ADC and DAC still sample at 80 MHz, working now in asubsampling mode. The baseband signal appears now at 60 MHz.

An optional external RF local oscillator was foreseen. The IF section isnot yet integrated. For heat dissipation reasons, the power amplifier remains

Page 194: 10.1007%2Fb117438

178 Chapter 8

outside the package. All controllable elements in the front-end, TX/RXswitch, variable gain amplifier (VGA) gains, and LO frequencies arecontrolled by the FPGA on the baseband board (see section 8.3.1).

8.4 LEARNING FROM RESULTS

Now that we are familiar with the system architecture and the dedicatedbaseband ASIC that both have been implemented, we can move on toevaluate this design effort. Our focus will be on the custom baseband designwhere we have most insight.

We will have a look at its performance and the design process. The factthat two ASICs were developed gives an interesting opportunity to evaluatereuse questions, too. Of course, we have to ask what is missing to make thissystem fully compliant with the standard and discuss the relevance and theeffort for these modifications. Having solved the key problem in OFDMbaseband signal processing for wireless LANs, other issues become moreapparent. We will see that the sensitivity of the remaining problems to thearchitecture choice is even higher and almost always require a joint design offront-end and baseband signal processing. Automatic gain control andpower-efficient transmission will serve as examples.

8.4.1 Measurement results and performance comparison

Our demonstrator goal was the implementation of a complete wirelesswebcam. This goal was achieved by a heterogenous approach combining thedevelopment of software from the application to the machine/kernel level,FPGA design, ASIC design, standard PCB design, and RF design.

8.4.1.1 Application Tests

Both ASICs were tested in the experimental set-up. Tests started withwired connections at the digital level, followed by analogue baseband, IF,and finally RF connections. Then we moved on to wireless tests, employingcommercial dipole antennas at the 430 MHz IF and finally the 5 GHz range.Two identical platforms were built for this purpose (except for the poweramplifier). At all levels, full application tests with a webcam imagetransmission, video transmission, and file transfers were successfully runbetween two of these platforms over the air.

Page 195: 10.1007%2Fb117438

Putting it all together 179

8.4.1.2 ASIC performance

Both ASICs have been implemented in digital CMOS technologies:Festival in a 5LM Alcatel Microelectronics and Carnival in a

6LM National Semiconductor process (Figure 8.23). Both designs werepad-limited with 144 and 160 pads respectively. The nominal clock rate isspecified up to 50 MHz for Festival and up to 20 MHz for Carnival. BothICs use embedded SRAM for datapath and parameter storage, being 9 unitsin Festival and 19 in Carnival.

A fair comparison at the same data rate and overhead between Festivaland Carnival (Table 8.2) shows the superior spectral efficiency and energyefficiency of the latter at the cost of a moderate area increase of 30 %. Thehighly programmable equaliser occupies 63 % of the area in the 64-QAMchip compared to 10 % for the FFT. By fixing the coefficient set for theinterpolation, the area of the equaliser in the Carnival can be reduced tobelow 50 % of the total area.

Power consumption has been measured separately for 1.8V core and3.3V I/O supply for the Carnival ASIC in typical transmit, receive, andprogramming scenarios. During transmission, 156 mW I/O and 43 mW corepower consumption were observed. During reception, the much higher coreactivity dominates with 146 mW compared to a lower 66 mW I/Oconsumption due to less I/O switching. In programming mode, logicswitching is zero but all clocks are enabled, leading to 35 mW I/O and 81mW core consumption.

Page 196: 10.1007%2Fb117438

180 Chapter 8

8.4.1.3 Design experience

Design of transceivers involves more and more system design trade-offs.The design of a specific component such as the digital baseband processor,cannot be seen in isolation of the system. Indeed, the modelling of the entiretransceiver chain, including the front-end and parts of the higher protocollayers, becomes more and more important to optimise throughput and tominimise implementation loss.

We have also encountered the commonly faced code explosion (Table8.3) during model refinement, but we have not suffered from it during therefinement process. The scalability and the code generation capabilities ofour C++ design approach has saved us from costly iterations involving coderewriting. Also, the use of object-oriented techniques helped us to reduce theamount of code required for the C++ system testbench. The majority of the11,000 lines of system testbench code were reused for testing the transmitterwith the receiver by applying different abstraction levels to both of them, i.e.an ideal transmitter with a fixed-point receiver to evaluate the receiver'simplementation loss.

The fact that the Carnival design was based on the Festival design, allowsus to conclude on design reuse issues as well (Table 8.4). Except for design

Page 197: 10.1007%2Fb117438

Putting it all together 181

units that required an entirely new algorithmic approach, e.g. the equaliser,reuse was fairly high. The reasons for this were:

use of unified token flow for control purposes;consequent parameterised design;partitioning based on data transfer and storage costs, leading to lessdesign dependencies and simpler constraints.

The choice of a scalable multi-processor architecture with distributedcontrol using token semantics allows to maintain a high degree of flexibilityand programmability. A high code reuse percentage in the Carnival designproved the scalability. The object-oriented, FSMD-centric design approachusing C++ has shown its strength at higher abstraction levels for systemexploration and at FSMD level for HDL generation in a heterogeneousmixed-language flow.

8.4.2 Towards or beyond full standard compliance?

The realisation of two digital baseband signal processing ASICs,achieving bit rates up the 54 Mb/s with moderate technology constraints andarea costs, show the viability of cost-efficient deployment of broadbandwireless indoor systems both for the consumer market and businessapplications. Spectrally efficient 64-QAM constellation puts highrequirements on transceiver performance.

We have shown that novel digital signal processing techniques such as aninterpolating equaliser, rotating pilots, and guard-interval based clock offsetestimation can cope with the multipath channel and analogue front-end

Page 198: 10.1007%2Fb117438

182 Chapter 8

impairments. On the other hand, we have not developped a fullyHIPERLAN/2 or IEEE 802.11a compliant physical layer implementation.

8.4.2.1 Beyond the standard

Instead, we came up with a number of add-on's that can improve theperformance of a wireless LAN system:

a simple frequency diversion scheme using spreading in the frequencydomain was implemented; this scheme lends itself also to measuring thechannel correlation;a facility in the symbol mapper to adapt amplitude and phase per carrierat the transmitter; this can be used for predistortion and accurate gaincalibration in an adaptive loading scheme [11];flexible number of carriers; additional carriers can be nulled out ornormally unused outer carriers could be used to reduce the peak-to-average power ratio;as an option, entire OFDM symbols can be inserted as reference symbolsinto the burst instead of pilots. The insertion frequency can be chosen ande.g. result in the same overhead as a pilot-based approach;a rotating pilot scheme was added to improve the performance of thechannel estimation during tracking compared to fixed pilots with thesame overhead and negligible design cost. Similar schemes showed theirbenefits already for terrestrial OFDM-based DVB-T.

8.4.2.2 Towards the standard

All these add-on’s are implemented as options and can be disabled, too.If all special enhancements are disabled, we are quite close to the standardimplementation. The remaining differences are:

Preambles are different for ETSI and IEEE. Festival and Carnival ASICsare not compliant with any of them (Figure 8.24). This affectssynchronisation and the initial channel estimation algorithms. However,similar principles were used such that the proposed algorithms andarchitectures (section 8.2.2.3 and 8.2.2.4) remain largely applicable.Carnival and Festival implement the inner transmitter/receiver only.Fortunately, the development of the corresponding compliant outertransmitter/receivers is straightforward since common interleaving,scrambling, and encoding/decoding algorithms are used.automatic gain control (AGC) has not been integrated yet into thesynchronisation. Currently, AGC is implemented independently in thedigital IF FPGA. This leads to a suboptimal signal frame detection.

On the one hand, the preamble appears to be the only major modification.For the rest, only extensions are required. On the other hand, this means that

Page 199: 10.1007%2Fb117438

Putting it all together 183

our current solution may be suboptimal in general. A new optimisation,including the extensions, may be required.

8.4.3 Optimizing at the system level – an outlook

Assume we modify the previously described architecture such that it fullymeets the standard, how far are we away from the optimum? At least we cansay that it is unlikely that we are at the optimum already, since we still usedlocal optimisations in our architecture proposal. We tried to optimise thedigital baseband ASIC for certain goals (see section 8.1.2), but we never didthe same to the system architecture.

This means that there must be still room for improvement. Certainly, wecan improve individual components further, but losses will become clearlyvisible at the interfaces between the components of the system. Oneperformance issue is the latency and memory requirements of the packethandling between physical layer and MAC. Another is finding the optimumposition for the analogue/digital boundary between the analogue front-endand the digital signal processing.

Two examples from the physical layer, automatic receiver gain controland power-efficient transmission are discussed to illustrate the need for amore global system optimisation.

8.4.3.1 Example 1: Automatic Gain Control

The range of signal strength at the receive antenna over which acompliant WLAN receiver has to be able to detect the input signal anddecode it, is defined by the maximum input power and the sensitivity level.For the worst case of the standards, we end up with 56 dB of gain variation.Over this range, we have to accommodate any signal strength and produce asignal at the input of the digital receiver with sufficient signal-to-noise ratiofor our desired bit error probability. This is the task of the automatic gaincontrol.

A single analog or digital gain stage is not able to meet our requirements:

Page 200: 10.1007%2Fb117438

184 Chapter 8

no analogue gain control would require a very wide dynamic range andthus a large wordlength of the analog-to-digital converters. At samplingrates of 20 MHz or larger this translates into high power consumption.On the positive side we could achieve very good accuracy.no digital gain control would require very accurate and wide-rangeanalogue variable gain amplifiers. This again increases cost without need.An additional constraint is the amount of time available in the preamble

to estimate the actual signal strength and adapt the gain settings. Taking intoaccount the needs of the other estimation processes (coarse frequencyestimation and timing estimation), the part of the preamble that can be usedfor AGC and signal detection can be established. For HIPERLAN/2 this isequivalent of 4 short training sequences (STS), while the first 4 shortsymbols can be used in IEEE 802.11a. This gives us a budget of Non-linearities due to clipping or quantization at the ADC or, the limitedaccuracy of an analogue received signal strength indicator (RSSI), mayrequire more than one estimation and gain adaptation step.

Within these constraints, the gain distribution and the optimum boundarybetween analogue and digital functionality must be optimised.

A typical gain control architecture for a superheterodyne front-end(Figure 8.25) applies a mix of both digital and analogue gain control. Aswitchable low noise amplifier (LNA) allows both a high IP3 in case ofstrong input signals and a low noise figure in case of weak ones. The IFVGA helps arranging the analogue signal optimally within the dynamicrange of the ADC. Finally, the digital gain control allows us to fine-tunebefore the filtering and again after, when out-of-band interferers have beensuppressed.

The same mix exists for the estimation process. We could eitherimplement a purely analogue RSSI or a digital signal strength estimator.Again, we have a trade-off between accuracy and implementation cost.Figure 8.25 shows a digital signal strength estimate before and after theIF band-select filter; the difference between those measurements is anindicator for the amount of out-of-band interference (including an aliasingerror).

The optimisation process iterates on a cascade analysis. Criteria are thecascaded noise figure and linearity requirements. Clipping and quantizationin the ADC sampling process introduce noise and non-linearity. The gainsettings of each variable gain element are the tuning parameters. They arespecified through tuning ranges or discrete settings, e.g. for the switchableLNA. From the optimisation, we obtain the switching points, as a function ofthe input signal power, between different gain configurations. The process issuccessful if we can find a valid gain setting for the entire specified inputsignal strength range for a specific set of front-end constraints.

Page 201: 10.1007%2Fb117438

Putting it all together 185

If the gain estimation is digital, additional constraints come from theestimation error due to the clipping non-linearity (Figure 8.26). For signals

Page 202: 10.1007%2Fb117438

186 Chapter 8

in strong saturation the estimation error increases quickly. For the signal-to-noise ratio, there is an optimal gain setting.

8.4.3.2 Example 2: Power-efficient transmission

Did we encounter a problem with power-efficient transmission so far?Not in the digital baseband design since the core power consumption wasdominated by the receive mode. Unfortunately, we have seen that theproblem of power efficiency is linked to the power amplifier in the transmitfront-end. This is due to the high peak-to-average power ratio of the OFDMtransmission scheme.

Traditionally, wireless systems were defined for smaller user bandwidth.Spectral efficiency was much less important and was consequently traded-off for less amplitude modulation and thus a smaller PAPR. Frequencymodulation (FM) or GMSK (as used for the GSM mobile phone networks)have a more constant envelope. Wireless LANs however demand a largeamount of signal bandwidth. Thus, we have to live with a non-constantenvelope and improve architectures and circuits.

The power amplifier in the transmitter represents the power consumptionbottleneck in today’s systems. Power-efficient amplifiers of e.g. class C, D,E, ... exist, but they can not be used to transmit non-constant envelopesignals since their strong non-linearity introduces severe distortion of thewanted signal (constellation warping). Also, power leaks into adjacent bandsincreasing interference for other systems (spectrum regrowth).

Conventionally, a rather large back-off is used for power amplifiers tooperate them in their linear range, at the cost of a considerable reduction inpower efficiency. Linear amplifiers of class A match the linearityrequirements but fail concerning power efficiency.

Basically, an improvement of the amplifier linearity will not improve thesituation, especially if we also consider transmit power control. Theamplifier should maintain its efficiency over a large output power range.Therefore, linearization techniques try to transform the signal to transmitinto another or a set of other signals with different properties. Linearizationreduces constraints on the power amplification stage at the cost of increasedcomplexity, typically leading to architectures with multiple transmissionpaths.

Rather than focussing on the power amplifier alone, we should focus onthe entire transmitter. A generic architecture of a transmitter (Figure 8.27) isfed with data from an information source. The signal pre-processingperforms the processing steps at the physical layer, including outer (e.g.coding) and inner modem functionality, on the data. The pre-processedinformation is passed to the analogue chain that performs upconversion stepsand the main amplification (power amplifier).

Page 203: 10.1007%2Fb117438

Putting it all together 187

Transmitter control and calibration can be fairly simple for narrow-bandtransmission, constant-envelope signals, or short range communication.When it comes to OFDM, however, a wide bandwidth (3 bands of more than450 MHz), a power control range of 45 dB, and signals with a large PAPRmust be handled efficiently. In addition, distortion must be avoided. In thiscase, operating points must be tuned towards the current requirements:ranging from the average output power requirement during a burst down toeven an adaptation per sampling period.

Our design goals can be summarised as follows:1. power efficient amplification of non-constant envelope signals to

enable a bandwidth-efficient modulation scheme like OFDM;2. power efficient transmit power control to both reduce the interference

for other systems and to reduce the power consumption, thusincreasing the battery lifetime for wireless devices;

3. short response and transmit-receive TDD/TDMA turn-around time toreduce the protocol overhead in TCP/IP packet-based or ATM cell-based transmission. This has impact on power-up/down ramping andon calibration.

An optimisation without a cost function is impossible. Even a simplearchitecture may meet some of these three goals partially, i.e. for a limitedparameter range. This means that we do not need any improvement there.Thus, first, we have to define the cases (for example depending on outputpower and modulation scheme), where we need further improvement, howmuch, and what cost function to use.

At low output powers of –15 dBm to 0 dBm (1mW) for example, thepower dissipation of a class B power amplifier is negligible compared to thepower dissipation of the physical layer (which is in the orders of several 100mW). An improvement of the power efficiency of the PA alone in this casewill not lead to a significant drop of the total power dissipation.

Page 204: 10.1007%2Fb117438

188 Chapter 8

This example clearly shows that we end up with a set of operationregions that require a different kind of improvement. This process isdecision-driven and operates on a set of. tunable variables. Thus a mixed-signal architecture involving digital control elements seems the mostfeasible. The actual digital/analogue partitioning and the definition of theswitching rules are the goals of the optimisation.

REFERENCES

[1]

[2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

[10]

[11]

F. Catthoor, S. Wuytack, E. De Greef, F. Franssen, L. Nachtergaele, H. DeMan, “System-level Transformations for low data transfer and storage”, in LowPower CMOS Design, edited by A. Chandrakasan, R. Brodersen, IEEE Press,pp. 609-618, 1998.L. Deneire, P. Vandenameele, L. Van der Perre, M. Engels, B. Gyselinckx, “ALow Complexity ML Channel Estimator for OFDM”, in Proc. IEEE 2001 ICC,June 2001.A. M. Despain, “Very Fast Fourier Transform Algorithms for HardwareImplementation”, in IEEE Trans. Computers, vol. C-28, pp. 333-341, May1979.W. Eberle, M. Badaroglu, V. Derudder, S. Thoen, P. Vandenameele, L. Van derPerre, M. Vergara, B. Gyselinckx, M. Engels, I. Bolsens, “Flexible OFDMTransceiver for High-Speed WLANs”, in Proc. IEEE 1999 VTC Fall, vol. 5, pp.2677-2681, October 1999.W. Eberle, M. Badaroglu, V. Derudder, S. Thoen, P. Vandenameele, L. Van derPerre, M. Vergara, B. Gyselinckx, M. Engels, I. Bolsens, “A Digital 80 Mb/sOFDM Transceiver IC for Wireless LAN in the 5 GHz Band”, in Dig. Tech.Papers, IEEE 2000 SSCC, pp. 74-75, February 2000.W. Eberle, L. Deneire, H. De Man, B. Gyselinckx, M. Engels, “Automatic GainControl for OFDM-based Wireless Burst Receivers”, in Proc. InternationalOFDM Workshop, Hamburg, Germany, September 2000.W. Eberle, M. Badaroglu, V. Derudder, L. Van der Perre, M. Vergara, B.Gyselinckx, M. Engels, I. Bolsens, H. De Man, “A Flexible OFDM TransceiverASIC for High-Speed Wireless Local Networks”, in Proc. Int. Conf. onTelecommunications, pp. 1122-1128, Acapulco, Mexico, May 2000.W. Eberle, V. Derudder, L. Van der Perre, G. Vanwijnsberghe, M. Vergara, L.Deneire, B. Gyselinckx, M. Engels, I. Bolsens, H. De Man, “A Digital 72 Mb/s64-QAM OFDM Transceiver for 5 GHz Wireless LAN in 0.18 mm CMOS”, inDig. Tech. Papers, IEEE 2001 ISSCC, pp. 336-337, February 2001.W. Eberle, V. Derudder, G. Vanwijnsberghe, M. Vergara, L. Deneire, L. Vander Perre, M. Engels, I. Bolsens, H. De Man, “80 Mb/s QPSK and 72 Mb/s 64-QAM, flexible and scalable digital OFDM Transceiver ASICs for wireless localarea networks in the 5 GHz band”, accepted for Journal of Solid-State Circuits,November 2001.“ETSI TS 101 475 Technical Specification ‘Broadband Radio AccessNetworks; HIPERLAN Type 2; Physical (PHY) Layer”, ETSI, April 2000.R.F.H. Fischer, J.B. Huber, “A new loading algorithm for discrete multitonetransmission”, in Proc. of Globecom 1996, pp. 724-728, 1996.

Page 205: 10.1007%2Fb117438

Putting it all together 189

[12]

[13]

[14]

[15]

[16]

[17]

[18]

[19]

J. A. Huisken, et al., “A Power-Efficient Single-Chip OFDM Demodulator andChannel Decoder for Multimedia Broadcasting”, in IEEE Journal of Solid-StateCircuits, vol. 33, no. 11, pp. 1793-8, November 1998.“IEEE Std 802.11a Supplement to IEEE Std Part 11: WLAN MAC and PHYspecifications: High-speed Physical Layer in the 5 GHz Band”, IEEE,September 1999.C. Mandl, M. Bacher, G. Krampl, F. Kuttner, “0.35 mm COFDM Receiver Chipfor DVB-T”, in Dig. Tech. Papers, IEEE 2000 ISSCC, pp. 76-77, February2000.P. Schaumont, S. Vernalde, L. Rijnders, M. Engels, I. Bolsens, “A designenvironment for the design of complex high-speed ASICs”, in Proc. DesignAutomation Conference, pp. 315-320, June 1998.D. Veithen, et al., “A 70 Mb/s Variable-Rate DMT-based Modem for VDSL”,in Dig. Tech. Papers, IEEE 1999 ISSCC, pp. 248-249, February 1999.M. Vergara, M. Strum, W. Eberle, B. Gyselinckx, “A 195 kFFT/s 256-pointsHigh Performance FFT/IFFT Processor for OFDM Applications”, in Proc. ofSBT/IEEE Int. Telecommunications Symposium, vol. 1, pp. 273-278, 1998.D. Verkest, W. Eberle, P. Schaumont, “C++ based System Design of a 72 Mb/sOFDM transceiver for wireless LAN”, in Proc. IEEE 2001 CICC, pp. 433-439,May 2001.P. Wambacq, S. Donnay, P. Pieters, W. Diels, K. Vaesen, W. De Raedt, E.Beyne, M. Engels, I. Bolsens, “Chip-package co-design of a 5 GHz RF Front-End for WLAN”, in Dig. Tech. Papers, IEEE 2000 ISSCC, pp. 318-319,February 2000.

Page 206: 10.1007%2Fb117438

Abbreviations

ACHADCADSLAGCAMAPARIBARQASICASKATMAWGNBCBCHBERBPSKBRANBSICCCDMACFOCLCMCORDICCPCPECPFSKCPUCRCC-SAP

Access feedback ChannelAnalog to Digital ConverterAsymmetrical Digital Subscriber LineAutomatic Gain ControlAmplitude ModulationAccess PointAssociation of Radio Industries and Businesses (Japan)Automatic Repeat reQuestApplication Specific Integrated CircuitAmplitude Shift KeyingAsynchronous Transfer ModeAdditive White Gaussian NoiseBurst ControllerBroadcast ChannelBit Error RateBinary Phase Shift KeyingBroadband Radio Access NetworksBurst State InformationCentral ControllerCode Division MultipleCarrier Frequency OffsetConvergence LayerCentralised ModeCoordinate Rotation Digital ComputerCyclic PrefixCommon Phase ErrorContinuous Phase Frequency Shift KeyingCentral Processing UnitCyclic Redundancy CheckControl Service Access Point

Page 207: 10.1007%2Fb117438

192 OFDM Systems

DABDACDCDCCHDDIDECTDESDFSDFTDiLDLCDLCCDMDMADSSSDUCDUCCDVB

ECEDAEEPROMESPRIT

ETSIFCCFCHFFTFHFHSSFIFOFMFNCFSMFSMDGCDGMSKGSMGUIICIIDFTIEEEIFIFFTILIPIP

Digital Audio BroadcastingDigital to Analog ConverterDirect CurrentDedicated Control ChannelDynamic Datapath InformationDigital European Cordless TelephonyData Encryption StandardDynamic Frequency SelectionDiscrete Fourier TransformDirect LinkData Link ControlDLC ConnectionDirect ModeDirect Memory AccessDirect Sequence Spread SpectrumDLC User ConnectionDLC User Connection ControlDigital Video BroadcastingSignal to interference ratio per bitSignal-to-noise-ratio per bitError ControlElectronic Design AutomationElectrically Erasable Programmable Read Only MemoryEstimation of Signal Parameters by Rotational InvarianceTechniquesEuropean Telecommunication Standards InstituteFederal Commission on CommunicationsFrame CHannelFast Fourier TransformFrequency HoppingFrequency Hopping Spread SpectrumFirst-In-First-Out (buffers)Frequency ModulationForeign Noise ContributionFinite State MachineFinite State Machine with DatapathGreatest Common DividerGaussian Minimum Shift KeyingGroupe Special MobileGraphical User InterfaceInter Carrier InterferenceInverse Discrete Fourier TransformInstitute of Electrical and Electronics EngineersIntermediate FrequencyInverse Fast Fourier TransformImplementation LossIntellectual PropertyInternet Protocol

Page 208: 10.1007%2Fb117438

How to make them work? 193

I/QISDNISIISMLANLCHLFSRLMMSELNALOLOSLSLSBLTILTSLUTMACMAC IDMCMMPEGMSBMLMPMPIMTNFROBSOFDMONCPAPANPAPRPCPCBPCIPDFPDPPDUPMPNppmPSAMPSKQAMQoSQPSKRCHRF

In-Phase versus QuadratureIntegrated Services Digital NetworkInter Symbol InterferenceIndustrial, Scientific and Medical BandLocal Area NetworkLong transport CHannelLinear Feedback Shift RegisterLinear Minimum Mean-Squared ErrorLow Noise AmplifierLocal OscillatorLine of SightLeast SquaresLeast Significant BitLinear Time InvariantLong Training SymbolLook-Up TableMedium Access ControlMAC IdentifierMulti Chip ModuleMotion Picture Expert GroupMost Significant BitMaximum LikelyhoodMulti-Path (propagation).Microprocessor Programming InterfaceMobile TerminalNegative Frequency RejectionOBstructed line of SightOrthogonal Frequency Division MultiplexingOwn Noise ContributionPower AmplifierPersonal Area NetworkPeak to Average Power RatioPersonal ComputerPrinted Circuit BoardPeripheral Component InterconnectPower Density FunctionPower Delay ProfileProtocol Data UnitPhase ModulationPseudo Noisepart per millionPilot Symbol Assisted ModulationPhase Shift KeyingQuadrature Amplitude ModulationQuality of ServiceQuadrature Phase Shift KeyingRandom CHannelRadio Frequency

Page 209: 10.1007%2Fb117438

194 OFDM Systems

RGRLCRMSRRRSSRSSIRTRXSAPSCHScFOSDUSERSFGSINRSIRSNRSSRSTSSVDTCPTDDTDMATXU-SAPVCOVDSLVGAWANWLANWLL

Resource GrantRadio Link Control ProtocolRoute Mean SquareResource RequestReceived Signal StrengthReceived Signal Strength IndicatorRegister TransferReceiveService Access PointShort transport ChannelSample Clock Frequency OffsetService Data UnitSymbol Error RateSignal Flow GraphSignal-to-Interference-plus-Noise RatioSignal-to-interference RatioSignal-to-Noise RatioSymbol Reordening UnitShort Training SequencesSingular Value DecompositionTransmission Control ProtocolTime Division DuplexTime Division Multiple AccessTransmitUser Service Access PointVoltage Controlled OscillatorVery high speed Digital Subscriber LineVariable Gain AmplifierWide Area NetworkWireless Local Area NetworkWireless Local Loop

Page 210: 10.1007%2Fb117438

How to make them work?

Variables

aa

A(t)bbB

c

d

fF

G

h(t)

hH(f)H

exponent of decay of the received power with the distancefraction of time-invariant pathsgain of the pathamplitude in function of timewordlengthcurve-fitting parameterbandwidthinformation bandwidthcoherence bandwidthspeed of lightcovariance matrix of vector ndistance between transmitter and receiverfrequencyFFT matrixcarrier frequencyDoppler frequencyfrequency of the subcarrierphase noise bandwidthreceived energy per bitgainreceiving antenna gaintransmitting antenna gaincomplex channel impulse responseq-th derivative of the channel response at the k-th subcarrier attimechannel taps (vector)channel frequency responsechannel frequency coefficients (vector)least squares estimation of channellinear minimum mean squared error channel estimator

Page 211: 10.1007%2Fb117438

196 OFDM Systems

i(t)IIP3

kkkkmnN

P(t)

RR

S

s(t)S(d)TT

WX

identity matrix of sizeinterferenceinput related order intercept point1dB compression pointcarrier indexpath indexoverhead correction factorBoltzmann constantOFDM symbol indexdiscrete time indexnoise matrixnoise spectral densitynumber of carrierslength of the cyclic prefix (in samples)number of channel tapsestimated number of channel tapsnumber of pathsnoise vector for OFDM symbolpower delay profilebit error probabilityDoppler spectrumaverage input power (of power amplifier)received powertransmitted powerdata symbol ratedata bit rateautocorrelation of the channel frequency response overautocorrelation of the amplitudes of the channel frequencyresponse overautocorrelation of the channel response overcorrelation matrix of the channelinterpolation matrixdiscrete time transmitted signaldiscrete time transmitted signal for the OFDM symboltransmitted signalpath loss for a distance d.temperaturetransmitted symbol durationcoherence timeduration of the cyclic prefixsample periodsymbol durationreceiver velocitymaximum speed of scattererstime variant amplitude of channelbandwidthtransmitted data symbol matrix (frequency domain)

Page 212: 10.1007%2Fb117438

How to make them work? 197

Y

transmitted data symbol vector for the OFDM symbol(frequency domain)transmitted data symbol vector for the OFDM symbol (timedomain)transmitted data symbol (frequency domain) on the carrierin the OFDM symboltransmitted data symbol (time domain) on the carrier in the

OFDM symbolsaturation voltagereceived data symbol matrix (frequency domain)

received data symbol (frequency domain) on the carrier inthe OFDM symbolreceived data symbol (time domain) on the carrier in theOFDM symbolnon-linearity factor of a PA devicedelta functionsubcarrier spacingcarrier frequency offsetphase mismatch (between I and Q)length of channel impulse responsegain mismatch (between I and Q)phase noisephase in function of timefrequency domain representation of phase noisesymbol-to-noise power per bitsymbol-to-noise powerwavelength

singular valuenormalized clipping level

subchannel waveformphase shift of the pathpower efficiencyvariance of the signalaverage delay of a channeldelay of the pathmaximum excess delay of a channelRMS delay spread of a channelICI leakage constantq-th order ICI crosstalk matrix

received data symbol vector for the OFDM symbol(frequency domain)received data symbol vector for the OFDM symbol (timedomain)

Page 213: 10.1007%2Fb117438

How to make them work?

Notation

DIAG(x)

CONJ(x)

q-th derivative of Xtranspose of matrix Xconjugate transpose of matrix XMoore-Penrose pseudo-inverse of matrix Xorthogonal projection onto the space spanned by the columnsof matrix Xdiagonal matrix with the elements of vector xHadamard (i.e. element-wise) product of X with Yconjugate of vector x

Page 214: 10.1007%2Fb117438

How to make them work?

Index

access feedback channel 58access point 54acquisition 76adaptive loading 48ADC 130ADSL 2AM-AM conversion 140AM-PM conversion 140ARIB 6, 54association control function 58Association of Radio Industries and Businesses 6, 54auto-correlation 101, 106automatic gain control 118, 130, 183AWGN 45

back-off 140baud rate 33block codes 48bluetooth 3Boltzmann constant 16BRAN 6, 7, 54Broadband Radio Access Networks 6, 54broadcast channel 58

cable modem 2carrier frequency 70carrier frequency offset 98carrier offset 162carrier sense multiple access with collision avoidance 54, 73CDMA 2

Page 215: 10.1007%2Fb117438

202 OFDM Systems

channel estimation 164channel frequency response 20channel model 11clipping 116, 128, 159clock frequency offset 98clock jitter 142clock offset 166co-channel interference 4code division multiple access 2, 4coded OFDM 48coherence bandwidth 21coherence time 27coherent detection 75common phase error 132complementary code keying 53convergence layer 55convolutional codes 48crest factor 116crest factor regrowth 130cross-correlation 103cyclic prefix 37, 104, 109

DAB 5, 6data link control 174data link control layer 54DECT 3delay locked loop 89deterministic path loss 15DFT 36, 39digital audio broadcasting 5digital video broadcasting 5direct mode 54direct sequence spread spectrum 53DLC user connection control 60Doppler bandwidth 28Doppler effect 12Doppler shift 42Doppler spectrum 27DVB 5, 6dynamic frequency selection 59dynamic TDMA 57

equaliser 164error control 54, 57ESPRIT 88estimation of signal parameters by rotational invariance techniques 88ETSI 6, 7, 54European Telecommunication Standards Institute 6, 54excess delay 20

Page 216: 10.1007%2Fb117438

How to make them work? 203

fading 19fast fading 27Fast Fourier Transform 158flat fading 22foreign noise contribution 118, 132frame channel 58frame synchronisation 79free space loss 13frequency hopped spread spectrum 17, 53frequency selective channel response 4frequency selective fading 22frequency synchronisation 106front-end 176

gain mismatch 131Gaussian minimum shift keying 4GMSK 4, 186GSM 2, 3

HIPERACCESS 7HIPERLAN/2 2, 4, 6, 54HIPERMAN 3, 7HiSWANa 6, 54

I/Q imbalance 117, 131ICI crosstalk matrix 43ICI leakage constants 43IDFT 36, 39IEEE 802.11 2, 53IEEE 802.1la 4, 6, 54, 73IEEE 802.l1b 53IEEE 802.16a 3, 4, 7implementation loss 100, 116, 120impulse response 19inter carrier interference 37, 132inter symbol interference 19, 33interference 16interleaver 49, 66IS-136 2IS-95 2, 4ISDN 1

Jakes’ spectrum 28

least squares estimator 81line of sight 19linear minimum mean squared error estimator 81link adaptation 64link budget 12

Page 217: 10.1007%2Fb117438

204 OFDM Systems

MAC 57maximum likelihood estimator 82medium access control 53, 57, 172microwave oven 17minimum description length criterion 88MMAC 6, 54, 73mobile terminal 54multi chip module 177Multimedia Mobile Access Communication Systems 6, 54multipath propagation 12, 18

negative frequency rejection 117, 131noise 15non line-of-sight 7non-sample-spaced channel 78

obstructed line of sight 14, 19OFDM 4, 35, 114OFDM symbol 39orthogonal frequency division multiplexing 4, 35own noise contribution 118, 132

PAN 3path delay 18path loss 12peak-to-average power ratio 116, 186personal area networks 3phase noise 98, 118, 132Phase Shift Keying 4physical layer 54pico-cellular networks 15pilot symbol assisted modulation 77PLL 135power amplifier 122, 138, 186power delay profile 20preamble 69probability of bit error 46propagation loss 12protocol data unit 56PSK 4

QAM 4Quadrature Amplitude Modulation 4quadrature mismatch 131quantization 128

radio link control 54radio resources control 59

Page 218: 10.1007%2Fb117438

How to make them work? 205

random access channel 58Rayleigh channel 47ray-tracing 22received signal strength indicator 184Reed-Solomon codes 48RMS delay spread 20

sample spaced channels 78sample timing jitter 98sample timing offset 98scrambler 64segmentation and reassembly 55service data unit 55signal-to-noise ratio 3, 15single frequency networks 6singular value decomposition 81slow fading 27SNR 3spectral shaping 77statistical path loss 14subcarriers 34symbol duration 34symbol timimg drift 99symbol timing 96

TDMA 2, 3thermal noise 16time-division multiple access 2timing acquisition 161timing synchronisation 100training sequence 105, 109transmission power control 60trellis coded modulation 48Turbo codes 48

VDSL 2Viterbi decoder 48

WAN 2W-CDMA 4wide area network 2Wiener filtering 91wireless local area networks 2, 4, 5wireless local loop 6WLAN 2, 4, 5, 6, 53WLL 3, 4, 5, 6


Recommended