+ All Categories
Home > Documents > 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

Date post: 05-Dec-2014
Category:
Upload: tim55ehrler
View: 372 times
Download: 1 times
Share this document with a friend
Description:
This presentation describes the unique, ground-breaking development of persistent SDC-equivalent timing constraints within industry-standard OpenAccess design database.
16
Into the Depths of OpenAccess: Timing Constraints Implementation Into the Depths of OpenAccess: Into the Depths of OpenAccess: Timing Constraints Implementation Timing Constraints Implementation Timothy J. Ehrler Timothy J. Ehrler Senior Principal Engineer Senior Principal Engineer Design Technology Group Design Technology Group Philips Semiconductors Philips Semiconductors [email protected] [email protected] 6 6 th th OpenAccess Conference OpenAccess Conference April 2005 April 2005
Transcript
Page 1: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

Into the Depths of OpenAccess:Timing Constraints Implementation

Into the Depths of OpenAccess:Into the Depths of OpenAccess:Timing Constraints ImplementationTiming Constraints Implementation

Timothy J. EhrlerTimothy J. EhrlerSenior Principal EngineerSenior Principal EngineerDesign Technology GroupDesign Technology GroupPhilips SemiconductorsPhilips [email protected]@philips.com

66thth OpenAccess Conference OpenAccess Conference –– April 2005April 2005

Page 2: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 2

Introduction

• OpenAccess coalition requested timing constraints– Change Team categorized as high priority– Constraints considered crucial

• User design flow & environment migration• EDA and proprietary application development

– Working group formed to address• Functional requirements specification created• Presented to and approved by Change Team

– System integrator had insufficient resources to implement• Resources committed to 2.2 release completion

tje–O

penA

cces

s 20

05

• Philips Semiconductors volunteered resources– Essential requirement for migration within Philips

• Enables 3rd party tool development in critical areas– Development investment accelerates migration

Page 3: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 3

Overall System Realization Process

SchedPMP

CRS

FRS

PIF

PS

S/W

PIA PC

UTR

UsM

OpenAccessChangeTeam

Implementers

TimingConstraintsWork group

CSSRACA

Integration

tje–O

penA

cces

s 20

05

Page 4: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 4

Simple Development Plan …… NOT!schedule timeline

tje–O

penA

cces

s 20

05

oaConstraint schema (2.2)

oaRule schema (2.1)

Page 5: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 5

Organization & Relationships

base tech design

oaValue oaTechValue

oaObject oaTechObject

oaConstraint oaTechConstraint

tje–O

penA

cces

s 20

05

oaDesignConstraint

oaDesignValue

oaDesignObject

Page 6: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 6

Private Subtypes & Public Types …

• Defined private subtypes identify internal data– Sequential within class of data

0x2stS+2

0x1stS+1

0x0stS

ValueSubtype

T+2tT +2

T+1tT +1

TtT

ValueTypeoffsetT

sequential

sequential

• Offset added yields enumerated public types– Sequential within class of data– Offset is the value of first type

• Public types visible to applicationsMust be consistent among releases for compatibility

tje–O

penA

cces

s 20

05

Page 7: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 7

… and Enforced Compatibility

u

i

tje–O

penA

cces

s 20

05

0x01stS+1

0x00stS

ValueSubtypesequential

T+1tT+1

TtS

ValueType non-seq

ent

al

0x03stS+3

0x02stS+2

T+3tT+3

T+2tT+2

0x05stS+5

0x04stS+4

T+13tT+5

T+12tT+4

0x07stS+7

0x06stS+6

T+16tT+7

T+15tT+6

0x09stS+9

0x08stS+8

T+19tt+9

T+18tT+8

Page 8: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 8

Virtual Persistence

visible to application

manipulates resident

data

object data persistent data

databasestorage

databasestorage

data tableAPI object table object

oaValue oaValueTbl

oaTimingValue oaTimingValueTbl

oaTechValue oaTechValueTbl

oaDesignValue oaDesignValueTbl

databasestorage

databasestorage

pubic class data tablesprivate class data storage

tje–O

penA

cces

s 20

05

Page 9: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 9

Constraint Object Implementation

• Prerequisite related element creation– Constraint related value objects– Constraint parameter definition objects– Constraint definition objects– Constraint objects– Design logic related objects– Clock related objects

• Classified constraint categories– general - simple value applied to a logic point– edge – general constraint with state transition– clock related – edge constraint relative to clock with state transition– path - constraint applied to logic path with state transitions– driver - driving signal applied to design object

tje–O

penA

cces

s 20

05

Page 10: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 10

Design Logic Paths

Q

QSET

CLR

D

otA,( )

,( )

onC( )

oitD( )

oitB

• Path points - unordered array of points on a logic path• Logic points - path points with state transitions• Logic path - ordered array of logic points

tje–O

penA

cces

s 20

05

Page 11: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 11

Clocks & Waveforms

oaWaveform

designlogic

oaClock(created)

oaClock(derived)

• “conventional” clock waveform– logical - only ‘0’ & ‘1’ transitions– ideal - ‘0.0’ edge transition time– circular - 1st edge start is last edge

end• Complex waveforms for future use

• divided – divided source frequency• multiplied – multiplied source frequency• edge – subset of source edges• shifted edges – shifted subset of source

edges

tje–O

penA

cces

s 20

05

1

0divided

1

0multiplied

1

0shifted edges

1

0edges

1

0

HiZ ?

ideal & logical complex

Page 12: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 12

• Test approach to reuse source distributed test cases– Modify, enhance, adapt, copy existing test benches– Avoid development “from scratch” – consistent testing– Provides regression test capability

• Test objectives:– Ensure no errors introduced into otherwise stable areas– Verify new elements don’t impact similar existing ones– Verify expected functionality of new elements– Confirm suspected existing issues

• Testing scope covers:– Data value classes– Clock and waveform classes– Logic path and related classes– Timing constraint and definition classes

tje–O

penA

cces

s 20

05

Unit Testing

Page 13: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 13

Test Case Coverage

Confirm other test case failureModifiedoaSimpleConstraint

New constraintsNewoaDesignConstraint

New logic path objectsNewoaLogicPath

New clock and waveform objectsNewoaClock

No regressionNew value types

ModifiedoaValue

No regressionNew constraint parameter definitions

UnchangedoaConstraintParamDef

No regressionUnchangedoaConstraintParam

No regressionNew constraint definitions

UnchangedoaConstraintDef

No regressionUnchangedoaConstraint

Verification UsageTest Case State

Test Case

tje–O

penA

cces

s 20

05

Page 14: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 14

Delivery

• Deliverables catagorized:– project documentation - documents used to provide visibility into

planning and development– development aids - applications and test cases used to assist in

the development of the product– product documentation - documents that define, and otherwise

specify, the interface, use model, and other application relatedinformation of the developed product

– product source code - source code employed to implement the reference implementation of the developed product

• Specific delivery on 1 March 2005– Test cases– Unit test report– Development source code

tje–O

penA

cces

s 20

05

Page 15: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

6th OpenAccess Conference, Timothy J. Ehrler, Philips Semiconductors, April 2005 15

Summary & Recommendations

• Extensive preparation mandatory– Change in philosophy from rules to constraints

• Significant impact on task priorities & resources• Ensure resource availability

– Expect less availability, effectiveness than assumed• Heavy impact on development and delivery

– Provide contingency for alternate resources• Stay closely involved with integrator (Cadence)

– Any questions or concerns – ask them!– Review implementation with them as it develops– Code reviews work – consult early and often

tje–O

penA

cces

s 20

05

Page 16: 6th OA Conference - Apr 2005 - Into the Depths of OpenAccess - Timing Constraints Implementation

Recommended