+ All Categories
Home > Documents > A Dead-Zone Free and Linearized Digital PLLtcc/Samarah-ICECS2012.pdf · A Dead-Zone Free and...

A Dead-Zone Free and Linearized Digital PLLtcc/Samarah-ICECS2012.pdf · A Dead-Zone Free and...

Date post: 05-Feb-2018
Category:
Upload: truongthien
View: 218 times
Download: 0 times
Share this document with a friend
4
A Dead-Zone Free and Linearized Digital PLL Amer Samarah, Anthony Chan Carusone Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto, Toronto, CANADA Abstract-This paper implements a novel digital solution to avoid the problem of dead-zone behavior in digital phase locked loop (DPLL) caused by the quantization effect of time-to-digital converter (TDC). The dead-zone behavior results in chaotic limit cycle behavior causing higher than expected in-band phase noise and strong spurious tones. This behavior is dependent on the initial phase difference between the output and reference clock which makes the DPLL performance inconsistent and unpredictable. To alleviate this problem, a noise shaped offset is added to the phase error, in the digital domain to keep the TDC active and away from the dead-zone. The proposed solution is verified by extensive simulation and using a DPLL prototype in a 0.13 m CMOS process. I. INTRODUCTION Digital phase locked loops (DPLLs) have shown several advantages over analog PLLs in terms of noise immunity, small area, testability, and programmability [1]. A simplified diagram of DPLL architecture is shown in Figure 1, where the time-to-digital converter (TDC) and the digitally-controlled oscillator (DCO) introduce unwanted quantization noise. The TDC works as a fractional counter that measures the phase difference between the output clock, Fout. and the reference clock, Fre!. The phase difference is quantized with a limited resolution of �tres, as shown in Figure 2. The estimated phase difference is averaged and normalized to the instantaneous Fout period and expressed as fixed-point number. In the presentence of enough phase noise at the TDC inputs, the quantization noise can be scrambled which will effectively linearize the TDC transfer function. The scrambling of the quantization noise lowers the chance of chaotic limit cycle behavior due to TDC nonlinearities and makes linear analysis of DPLL valid. If the DPLL is operating as a actional-N synthesizer, the phase relationship between DCO output and reference input is scrambled over time, the quantization error introduced by the TDC, �tQ in Figure 2, may be approximated as white noise [2]. However, if the DPLL is locked in an integer-N mode (or with a simple actional component, for example 1/2), the phase relationship between the TDC inputs is fixed (or periodic). In this case, the limited resolution of the TDC has an effect similar to the classic dead-zone behavior observed in analog phase detectors. The dead-zone has the effect of periodically opening the loop and letting the phase drift which creates a substantial amount of deterministic jitter [3]. Recently published work [4] demonstrated an analog ap- proach to avoid the dead-zone behavior for low bandwidth DPLLs by randomizing the phase of the reference clock, Fre!. In this work, the reference buffer is modified by adding 16 bias 978-1-4673-1260-8112/$31.00 ©2012 IEEE 801 Qo Digital coarse Loop Filter - ' fine Variable output phase + Fig. 1. Digital PLL Architecture D o 0 1 O2 03 D4 Ds Dc D7 Ql DCO Fref(t) Qln] 0 I I 1 1 0 0 0 Fig. 2. TDC: simplified schematic view (leſt); timing diagram(right). The raw Q [i] is pseudo-thermal code to be converted into a normalized biny word representing the fractional phase error. elements controlled by short dithering sequence. This requires custom modification of the reference buffer and accurate sizing of the bias elements. Furthermore, due to its analog nature, the effectiveness of this approach is affected by the PVT variations and so calibration is needed. Moreover, this approach allows Fout to lock to Fre! with an arbitrary phase offset. The GRO- TDC in [2] intrinsically scrambles the quantization noise with first-order noise sapping. However, the TDC design is complex and it consumes high power and a small dead-zone was still measured for some special cases. In this paper, we elaborate on the dead-zone behavior of a DPLL caused by TDC finite resolution, focusing on integer-N operation. Also, we present a pure simple programable digital solution to the dead-zone problem that achieves a consistently low in-band phase noise operation regardless of the initial condition while maintaining high loop bandwidth. This so- lution is not affected by PVT variations and ensures phase locking with minimal phase offset. The paper is structured as follows. In Section II, an overview of TDC operation is given along with a discussion of the inconsistent performance of DPLLs caused by dead-zone behavior. Dithering algorithm and comprehensive simulation results are presented in Section
Transcript
Page 1: A Dead-Zone Free and Linearized Digital PLLtcc/Samarah-ICECS2012.pdf · A Dead-Zone Free and Linearized Digital PLL Amer Samarah, Anthony Chan Carusone Edward S. Rogers Sr. Department

A Dead-Zone Free and Linearized Digital PLL

Amer Samarah, Anthony Chan Carusone Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto, Toronto, CANADA

Abstract-This paper implements a novel digital solution to avoid the problem of dead-zone behavior in digital phase locked loop (DPLL) caused by the quantization effect of time-to-digital converter (TDC). The dead-zone behavior results in chaotic limit cycle behavior causing higher than expected in-band phase noise and strong spurious tones. This behavior is dependent on the initial phase difference between the output and reference clock which makes the DPLL performance inconsistent and unpredictable. To alleviate this problem, a noise shaped offset is added to the phase error, in the digital domain to keep the TDC active and away from the dead-zone. The proposed solution is verified by extensive simulation and using a DPLL prototype in a 0.13 !Lm CMOS process.

I. INTRODUCTION

Digital phase locked loops (DPLLs) have shown several

advantages over analog PLLs in terms of noise immunity,

small area, testability, and programmability [1]. A simplified

diagram of DPLL architecture is shown in Figure 1, where

the time-to-digital converter (TDC) and the digitally-controlled

oscillator (DCO) introduce unwanted quantization noise.

The TDC works as a fractional counter that measures the

phase difference between the output clock, Fout. and the

reference clock, Fre!. The phase difference is quantized with

a limited resolution of �tres, as shown in Figure 2. The

estimated phase difference is averaged and normalized to

the instantaneous Fout period and expressed as fixed-point

number. In the presentence of enough phase noise at the TDC

inputs, the quantization noise can be scrambled which will

effectively linearize the TDC transfer function. The scrambling

of the quantization noise lowers the chance of chaotic limit

cycle behavior due to TDC nonlinearities and makes linear

analysis of DPLL valid.

If the DPLL is operating as a fractional-N synthesizer, the

phase relationship between DCO output and reference input

is scrambled over time, the quantization error introduced by

the TDC, �tQ in Figure 2, may be approximated as white

noise [2]. However, if the DPLL is locked in an integer-N

mode (or with a simple fractional component, for example

1/2), the phase relationship between the TDC inputs is fixed (or

periodic). In this case, the limited resolution of the TDC has

an effect similar to the classic dead-zone behavior observed

in analog phase detectors. The dead-zone has the effect of

periodically opening the loop and letting the phase drift which

creates a substantial amount of deterministic jitter [3].

Recently published work [4] demonstrated an analog ap­

proach to avoid the dead-zone behavior for low bandwidth

DPLLs by randomizing the phase of the reference clock, Fre!. In this work, the reference buffer is modified by adding 16 bias

978-1-4673-1260-8112/$31.00 ©20 12 IEEE 801

Qo

Digital coarse Loop Filter 1--' -"fi""ne,,-�

Variable output phase +

Fig. 1. Digital PLL Architecture

Do 01 O2 03 D4 Ds Dc. D7

Ql Q,

DCO

Fref(t)

Qln] 0 I I 1 1 0 0 0

Fig. 2. TDC: simplified schematic view (left); timing diagram(right). The raw Q [i] is pseudo-thermal code to be converted into a normalized binary word representing the fractional phase error.

elements controlled by short dithering sequence. This requires

custom modification of the reference buffer and accurate sizing

of the bias elements. Furthermore, due to its analog nature, the

effectiveness of this approach is affected by the PVT variations

and so calibration is needed. Moreover, this approach allows

Fout to lock to Fre! with an arbitrary phase offset. The GRO­

TDC in [2] intrinsically scrambles the quantization noise with

first-order noise sapping. However, the TDC design is complex

and it consumes high power and a small dead-zone was still

measured for some special cases.

In this paper, we elaborate on the dead-zone behavior of a

DPLL caused by TDC finite resolution, focusing on integer-N

operation. Also, we present a pure simple programable digital

solution to the dead-zone problem that achieves a consistently

low in-band phase noise operation regardless of the initial

condition while maintaining high loop bandwidth. This so­

lution is not affected by PVT variations and ensures phase

locking with minimal phase offset. The paper is structured

as follows. In Section II, an overview of TDC operation is

given along with a discussion of the inconsistent performance

of DPLLs caused by dead-zone behavior. Dithering algorithm

and comprehensive simulation results are presented in Section

Page 2: A Dead-Zone Free and Linearized Digital PLLtcc/Samarah-ICECS2012.pdf · A Dead-Zone Free and Linearized Digital PLL Amer Samarah, Anthony Chan Carusone Edward S. Rogers Sr. Department

Dead-zone Drifting of �.: · . .. � 5 DCO edge • _ --l.�.-+�

DCO edge sampled @

Frel edge

O[i] O[i+ 1J · ., ..... t···· ., ..

TDC output

Frel Initial position Frel of DCO phase

(a) Explanation diagram.

-20 -15 -10 -5 0 5 Phase Jitter (ps)

(b) PDF of the phase jitter from a behavioral simulation.

IDe output

Fig. 3. Dead-zone behavior of Tnteger-N DPLL

Reference phase

Output phase here ---> bang-bang behavior

Fig. 4. The DPLL nonlinearity.

III. Finally, measurement results of the DPLL prototype are

shown Section IV.

II. TDC DEAD-ZONE BEHAVIOR

The TDC compromises a chain of buffers with a resolution

that ranges from approximately 32 ps in a O.13-J.Lm CMOS

process to 8 ps in a 28-nm process. The output clock, Fout, propagates through this chain such that many delayed versions

of Fout are sampled at the rising edge of the reference clock,

Frej. The TDC reads out the normalized time difference,

D..tr / D..tres, between the rising edge of Frej and the previous

rising edge of Fout. The DPLL reacts to the time-varying

values of the TDC readout to keep the DPLL locked [4].

Due to the TDC's staircase nonlinearity, different types

of nonlinear behavior are observable depending upon the

relationship between the reference phase and DCO output

phase in lock, as illustrated in Figure 4. The DPLL will try to

enforce the TDC output to track the reference phase provided

by the digital phase accumulator on the left side of Figure 1.

In integer-N mode, the fractional part of the frequency control

word (FCW) is zero while the accumulated reference phase,

0.2 is � 0.15 .� � 0.1 .� c 0.05

Drifting of DCO edge

Dead-zone

DCO edges O[i] O[i+ 1 J sampled@ -,.H •• II*.-i.r--------III-­

Frel edge t i t

TDC output

-8

O[i+ 1J

Frel I nitial position Frel of DCO phase

O[i] JUUlJlJlJl.JUlJ (a) Explanation diagram.

(b) PDF of the phase jitter from a behavioral simulation.

Fig. 5. Bang-Bang behavior of Tnteger-N DPLL

Brej, might have arbitrary fractional value depending upon the

accumulator's initial condition.

When that fractional part of the reference phase coincides

with a flat-part of the TDC staircase, the DPLL will try to lock

to a phase where the TDC has low effective gain and, hence,

the DPLL has low loop bandwidth. In this case, Fout edge

initially lies in the middle of TDC step anywhere within the

gray dead-zone region, as shown in Figure 3(a). It takes long

time for Fout edges to drift toward Frej edges such that the

DPLL appears as an open loop during the phase drift within

the dead-zone. Moreover, dead-zone behavior results in large

spurs, similar to analog PLLs with a dead-zone.

On the other hand, if the fractional part of the reference

phase is constant at a value coinciding with a transition in the

TDC staircase, the TDC will operate similar to a bang-bang

phase detector as illustrated in Figure 5(a), where a TDC bin

keeps toggling between 0 and 1 and produces late or early

phase difference without being able to quantify the value of

that phase difference. This happens when the initial phase

difference between Fout and Frej is small compared to the

DCO time resolution and jitter such that the Fout edges drift

over time can be quickly detected and corrected as shown in

Figure 5(a). The TDC will stay active bouncing back and forth

at high frequency and so the TDC output will be filtered by the

loop dynamics. In this case, the probability density function

(PDF) of the phase jitter follows a Gaussian distribution as

shown in Figure 5(b).

During the bang-bang mode of operation, the DPLL will

exhibit a loop bandwidth that depends upon the instantaneous

phase error as well as other noise sources in the loop [5]. It

also has the potential for limit-cycle behavior, again resulting

in spurs. Based on non-linear analysis of bang-bang PLL, the

smaller the phase difference between Frej and Fout, the higher

802

Page 3: A Dead-Zone Free and Linearized Digital PLLtcc/Samarah-ICECS2012.pdf · A Dead-Zone Free and Linearized Digital PLL Amer Samarah, Anthony Chan Carusone Edward S. Rogers Sr. Department

106 107 Offset Frequency (Hz)

(a) Uncompensated Integer-mode DPLL.

I :E. -100 ' .............. .IT •• :li • � -110 � -120

-15�OL·���� --'--'-�,O'-o·---'--��,'"-O�� ����--' Offset Frequency (Hz)

(b) After applying random offset with noise shaping and disabling ZPR.

Fig. 6. Phase noise of the same output clock for 60 different initial conditions

the loop gain and bandwidth [4].

The more serious of these problems observed is the dead­

zone behavior. The dead-zone behavior increases the spread

of phase jitter and degrades the loop bandwidth due to the

degradation of the loop gain. The spread of phase jitter

is determined by the DCO jitter performance as well as

its frequency resolution and more importantly by the TDC

resolution. In extreme cases, Fout might need to span one

whole TDC step before phase and frequency error is detected

and correction is applied. Figure 3(b) shows the PDF of the

DPLL phase jitter while exhibiting dead-zone operation where

the simulated phase error is concentrated around -14 ps and

18 ps with a large separation of 32 ps. This ensembles a

deterministic jitter at low frequency offset that is equals to

the TDC resolution.

Many DPLLs have coarse control loop to set the DCO close

to the desired frequency range and fine control loop to achieve

accurate frequency and phase lock. To avoid any disconti­

nuities in the DCO control word during gear shifting from

coarse to fine operation, a zero-phase restart (ZPR) mechanism

proposed in [1] is used to zero-out the phase detector output.

The ZPR resets the reference phase accumulator in Figure 1 to

an arbitrary value depending on the initial condition. In this

work the fractional part of the ZPR is disabled so that the

fractional part of the reference phase can be set to ensure the

TDC's dead-zones are avoided.

Figure 6(a) shows the phase noise, based on simulation

results, for the same integer-mode DPLL for 60 different initial

conditions with the ZPR enabled illustrating how very differ­

ent loop bandwidths can result. The simulation environment

employs high level model of DCO phase noise as well as the

reference noise as shown in [6]. The in-band phase noise varies

from -60 dBc/Hz to -J 00 dBc/Hz and the loop bandwidth

iD -50 "" E

i -60

(a) During dead-zone operation.

10 10<'

Offset Frequency (Hz)

(b) After applying random offset with noise shaping.

Fig. 7. Spectrum of TDC normalized output

changes by an orders of magnitude.

III. NorSE-SHAPED DI THERING

Even with the TDC dead-zones avoided, bang-bang-like

operation can still result in inconsistent loop bandwidth and

potentially spurs due to limit cycle behavior. To alleviate the

inconsistent behavior of integer-mode DPLL, Fre! edges can

be randomized with respect to Fout edges to ensures that TDC

is kept busy enough as demonstrated in [4]. This solution needs

custom modification of the reference buffer and careful choice

of delay circuits. Furthermore, mismatches between the delay

elements could reduce the usefulness of this approach.

Alternatively, we propose to dither the phase difference, f, estimated by TDC and represented as fixed-point number by

using purely digital techniques. By observing the transient

behavior of phase difference, f, as well as its spectrum, we

found that f changes slowly and continually from 0 to 1

during dead-zone operation. The spectrum of f exhibiting such

behavior is shown in Figure 7(a) where the large spur of -

33 dB at 30 kHz offset is evident. Once a random digital

offset, generated by 20-bit LFSR, is added to f, the spurs

disappeared and the in-band spectrum drops to -60 dB. This

random offset solution ensures consistent but sub-optimal

DPLL performance.

The proposed optimal solution dithers the phase difference,

f, using a lO-bit third-order delta-sigma modulator. The dither­

ing algorithm is sampled by Fre! and it only requires 230

digital gates for implementation. The dithering scrambles the

quantization noise of the TDC and linearizes its response. The

offset value, as shown in Figure 8, is chosen to be 0.5 to

ensure that the falling edge of Fout is always locked to the

rising edge of Fre! at a phase difference around a step in the

TDC response. A small random offset, generated by LFSR,

is introduced to ensure acceptable noise shaping as well as to

803

Page 4: A Dead-Zone Free and Linearized Digital PLLtcc/Samarah-ICECS2012.pdf · A Dead-Zone Free and Linearized Digital PLL Amer Samarah, Anthony Chan Carusone Edward S. Rogers Sr. Department

Fig. 8. The proposed circuit to estimate and dither the phase error

.,

10 9 B

� 7 :=!. 6 ., � 5 � 4 � 3 a:

Simulation Number

Fig. 9. Time Interval Error (TIE); 6 No dithering, D Random dithering, * Noise-shaped dithering

get rid of unwanted reference spurs.

Finally, the phase noise spectrums after applying the noise­

shaped offset for 60 different initial conditions are shown in

Figure 6(b) where the loop bandwidth is high and consistent.

Plot of the time-interval error (TIE) for 60 different initial

conditions is presented in Figure 9. The average RMS TIE of

the 60 different initial conditions after applying the proposed

noise-shaping offset is 0.92 degree with only 0.04 degree

standard deviation. Without dithering, the average RMS TIE

is 1.59 degree with 0.67 degree deviation.

IV. MEASUREMENT RESULTS

A prototype DPLL in O.l3-lLm technology is used to demon­

strate the TDC dithering linearization technique. Complete de­

tails on the DPLL are available in [7], except for this dithering

linearization which was not reported on there. Figure II shows

phase noise measurement results when the carrier is 2 GHz

while the reference is 20 MHz, using a HP8565C spectrum

analyzer. For the same frequency and same loop settings,

we captured different loop responses by simply resting the

DPLL many times. Dead-zone operation is drawn in blue while

the medium activity TDC response is shown in green. Large

in-band spurs at 40 kHz and 80 kHz offset frequency are

readily seen. The optimal performance of the integer-mode

DPLL after applying noise shaped offset is drawn in red. The

average integrated RMS jitter is 1.25 ps for 10 different initial

......... • • • • • • • Dlglt.ILoglc • • 000

145000um'

• • lS7S00um'

• • • • • • •

.........

Fig. 10. Die photo of the DPLL [7] (active area is 0.36 mm2).

'0 z -100

� -110

-130

_140 �������������������cJ 103 105 106 Offset Frequency

Fig. 11. Phase noise measurement using HP8565C analyzer showing different behaviors of integer-mode DPLL

condition after applying the proposed dithering algorithm with

a consistent DPLL loop bandwidth of 700 kHz.

V. CONCLUSION

This paper presents a detailed explantation of dead-zone

behavior in DPLL's operated in integer mode. Based on that

understanding, a simple purely-digital dithering solution is

also demonstrated to ensure the DPLL avoids its dead-zones.

The solution employs a third-order noise-shaping phase offset

to linearize the bang-bang behavior. The proposed solution

ensures phase lock with minimum offset. Extensive simulation

results as well as a prototype of DPLL achieve a consistent

low in-band noise operation regardless of the initial condition

while maintaining high bandwidth loop.

REFERENCES

[1] R. B. Staszewski and P. T. Balsara, All-Digital Frequency Synthesizer in

Deep-Submicron CMOS. Wiley-Interscience, August 2006. [2] M. Straayer and M. Perrott, "A Multi-Path Gated Ring Oscillator TDC

With First -Order Noise Shaping," Solid-State Circuits, IEEE Journal of;

vol. 44, no. 4, pp. 1089 -1098, april 2009. [3] J. A. Crawford, Advanced Phase-Lock Techniques. Artech House, 2007. [4] R. Staszewski, K. Waheed, F. Dulger, and O. Eliezer, "Spur-Free Multirate

All-Digital PLL for Mobile Phones in 65 nm CMOS," Solid-State

Circuits, IEEE Journal oj; vol. 46, no. 12, pp. 2904 -2919, Dec. 2011. [5] M. Zanuso, D. Tasca, S. Levantino, A. Donadel, C. Samori, and A. La­

caita, "Noise Analysis and Minimization in Bang-Bang Digital PLLs," Circuits and Systems II: Express Briej:I', IEEE Transactions on, vol. 56, no. II, pp. 835 -839, nov. 2009.

[6] K. Kundert, "Predicting the Phase Noise and Jitter of PLL-based Fre­quency Synthesizers," in Phase-Locking in High PeljiJrmance Systems,

B. Razavi, Ed. IEEE Press, 2003, pp. 46-69. [7] A. Samarah and A. Chan Carusone, "A Digital Phase-Locked Loop

with Calibrated Coarse and Stochastic Fine TDC," in Custom Integ rated

Circuits Conference (CICC)" 2012.

804


Recommended