+ All Categories
Home > Documents > Acer-Aspire-7336-7336G-7336GZ-7336Z-7736-7736G-7736GZ-7736Z-JV71-MV

Acer-Aspire-7336-7336G-7336GZ-7336Z-7736-7736G-7736GZ-7736Z-JV71-MV

Date post: 22-Nov-2015
Category:
Upload: claudiu-popescu
View: 43 times
Download: 21 times
Share this document with a friend
Description:
acer aspire schematic diagram
Popular Tags:
60
5 5 4 4 3 3 2 2 1 1 D D C C B B A A Title Size Document Number Rev Date: Sheet of Wistron Corporation 21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih, Taipei Hsien 221, Taiwan, R.O.C. JV71 -2 BLOCK DIAGRAM A2 1 60 Thursday, July 02, 2009 UMA Title Size Document Number Rev Date: Sheet of Wistron Corporation 21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih, Taipei Hsien 221, Taiwan, R.O.C. JV71 -2 BLOCK DIAGRAM A2 1 60 Thursday, July 02, 2009 UMA Title Size Document Number Rev Date: Sheet of Wistron Corporation 21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih, Taipei Hsien 221, Taiwan, R.O.C. JV71 -2 BLOCK DIAGRAM A2 1 60 Thursday, July 02, 2009 UMA Mobile CPU Cantiga HOST BUS 667/800/[email protected] DDR2 800 MHz DDR2 ICH9M X4 DMI 400MHz C-Link0 ODD SATA SATA HDD SATA SATA Mini USB Blue Tooth Camera USB 4 Port USB KBC WPCE773 Winbond INT. KB Touch Pad BIOS (2MB) DEBUG CONN. LPC LPC BUS Mini 1 Card Wire LAN PCIe BCM5784 LAN Giga LAN MS/MS Pro/xD /MMC/SD VGA CLK GEN. ICS9LPRS365B SMSC Codec ALC888S MIC In MDC Card MAX9789A OP AMP AZALIA MODEM INT.SPKR RJ11 AGTL+ CPU I/F DDR Memory I/F INTEGRATED GRAHPICS LVDS, CRT I/F 6 PCIe ports PCI/PCI BRIDGE ACPI 2.0 4 SATA 12 USB 2.0/1.1 ports PCIex16 800 MHz CardBus USB ETHERNET (10/100/1000MbE) High Definition Audio LPC I/F Serial Peripheral I/F Matrix Storage Technology(DO) Active Managemnet Technology(DO) Penryn 3 4, 5 6,7,8,9,10,11 16,17 16,17 12,13,14,15 34 22 21 23 30 24 25 52~57 33 27 30 29 29 29 35 37 35 36 36 20 JV71- M V Block Diagram Project code: 91.4FX01.001 PCB P/N : 48.4FX01.0SA REVISION : 09242 -1 TOP GND S S GND BOTTOM PCB STACKUP RTS5159 Int MIC 18 1.5W N10M-GS-B-A2-128 HDMI 19 CRT 18 LCD TXFM RJ45 26 26 SPI EMC2102 LINE IN 29 Finger Printer 37 MEDIA KEY 38 L1 L2 L3 L4 L5 L6 31 LINE OUT 64MbX16X4 512M VRAM VGA_CORE 13A VCC_GFXCORE (7A) 46 INPUTS DCBATOUT GFXCORE ISL6263A OUTPUTS DCBATOUT SYSTEM DC/DC 1D5V_S3 INPUTS SYSTEM DC/DC ISL62392 5V_S5(6A) 42 OUTPUTS RT9026 DDR_VREF_S3 (1.2A) 44 3D3V_S5(7A) 1D5V_S3(12A) 43 DCBATOUT 1D05V_S0(9A) INPUTS OUTPUTS TPS51124 RT9018 1D5V_S3 1D1V_S0(2A) TPS51117 DCBATOUT FBVDD(4A) 44 47 41 VCC_CORE 38A OUTPUTS CPU DC/DC INPUTS DCBATOUT CHARGER OUTPUTS INPUTS BT+ DCBATOUT ISL88731A ISL6266A 45 3D3V_AUX_S5 5V_AUX_S5 47 DCBATOUT INPUTS VGA_CORE OUTPUTS RT8202A
Transcript
  • 55

    4

    4

    3

    3

    2

    2

    1

    1

    D D

    C C

    B B

    A A

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    JV71 -2BLOCK DIAGRAM

    A2

    1 60Thursday, July 02, 2009

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    JV71 -2BLOCK DIAGRAM

    A2

    1 60Thursday, July 02, 2009

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    JV71 -2BLOCK DIAGRAM

    A2

    1 60Thursday, July 02, 2009

    UMA

    Mobile CPU

    CantigaHOST BUS 667/800/[email protected]

    DDR2800 MHzDDR2

    ICH9M

    X4 DMI400MHz C-Link0

    ODD SATA

    SATA

    HDD SATA

    SATA Mini USBBlue Tooth Camera

    USB4 Port

    USB KBCWPCE773Winbond

    INT.KB

    TouchPad

    BIOS(2MB) DEBUGCONN.

    LPC

    LPC BUS

    Mini 1 CardWire LAN

    PCIe

    BCM5784LANGiga LAN

    MS/MS Pro/xD/MMC/SD

    VGA

    CLK GEN.ICS9LPRS365B

    SMSC

    CodecALC888S

    MIC In

    MDC Card

    MAX9789AOP AMP

    AZALIA

    MODEM

    INT.SPKR

    RJ11

    AGTL+ CPU I/FDDR Memory I/F

    INTEGRATED GRAHPICSLVDS, CRT I/F

    6 PCIe portsPCI/PCI BRIDGE

    ACPI 2.04 SATA

    12 USB 2.0/1.1 ports

    PCIex16

    800 MHz

    CardBusUSB

    ETHERNET (10/100/1000MbE)High Definition Audio

    LPC I/FSerial Peripheral I/F

    Matrix Storage Technology(DO)Active Managemnet Technology(DO)

    Penryn3

    4, 5

    6,7,8,9,10,11

    16,17

    16,17

    12,13,14,15

    34

    22

    21 23

    30

    24

    25

    52~57

    33

    27

    30

    29

    29

    29

    35

    37 35

    3636

    20

    JV71- M V Block DiagramProject code: 91.4FX01.001PCB P/N : 48.4FX01.0SAREVISION : 09242 -1

    TOPGNDSS

    GNDBOTTOM

    PCB STACKUP

    RTS5159

    Int MIC18

    1.5W

    N10M-GS-B-A2-128HDMI

    19CRT

    18LCD

    TXFM RJ4526 26

    SPI

    EMC2102

    LINE IN29

    Finger Printer 37

    MEDIAKEY

    38

    L1L2L3L4L5L6

    31

    LINE OUT

    64MbX16X4 512MVRAM

    VGA_CORE13A

    VCC_GFXCORE(7A)

    46

    INPUTSDCBATOUT

    GFXCOREISL6263A

    OUTPUTS

    DCBATOUT

    SYSTEM DC/DC

    1D5V_S3

    INPUTS

    SYSTEM DC/DCISL62392

    5V_S5(6A)

    42

    OUTPUTS

    RT9026DDR_VREF_S3(1.2A)

    44

    3D3V_S5(7A)

    1D5V_S3(12A)

    43

    DCBATOUT 1D05V_S0(9A)INPUTS OUTPUTSTPS51124

    RT90181D5V_S3 1D1V_S0(2A)

    TPS51117DCBATOUT FBVDD(4A)

    44

    47

    41

    VCC_CORE38A

    OUTPUTS

    CPU DC/DC

    INPUTS

    DCBATOUT

    CHARGEROUTPUTSINPUTS

    BT+DCBATOUT

    ISL88731A

    ISL6266A

    45

    3D3V_AUX_S55V_AUX_S5

    47

    DCBATOUT

    INPUTS

    VGA_CORE

    OUTPUTS

    RT8202A

  • AA

    B

    B

    C

    C

    D

    D

    E

    E

    4 4

    3 3

    2 2

    1 1

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    JV71 -2Reference

    A3

    2 60Thursday, July 02, 2009

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    JV71 -2Reference

    A3

    2 60Thursday, July 02, 2009

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    JV71 -2Reference

    A3

    2 60Thursday, July 02, 2009

    UMA

    1 = TLS cipher suite with confidentiality (default)

    0 = Transport Layer Security (TLS) cipher suite with no confidentiality

    Cantiga chipset and ICH9M I/O controllerHub strapping configuration

    page 218

    Intel Management engine Crypto strap

    CFG6

    Reserved This signal should not be pulled high.

    GPIO49

    SPI_MOSI

    GPIO33/HDA_DOCK_EN#

    SATALED#

    SPKR

    TP3

    CFG9

    00 = Reserve

    (Default)CFG16

    0 = LFP Disabled (Default)Local Flat Panel(LFP) Present

    CFG19

    CFG20

    SDVO_CTRLDATA

    11 = Disabled (default)

    1 = Dynamic ODT Enabled0 = Dynamic ODT Disabled

    1= LFP Card Present; PCIE disabledL_DDC_DATA

    FSB Dynamic ODT

    DMI Lane Reversal

    NOTE:

    PCIE config2 bit2,Rising Edge of PWROK.

    GNT2#/GPIO53

    Sets bit0 of RPC.PC(Config Registers:Offset 224h)

    Top-Block Swap Override.Rising Edge of PWROK.

    GNT0#:SPI_CS1#/GPIO58

    0 = Reverse Lanes,15->0,14->1 ect..

    Boot BIOS Destination Selection 0:1.Rising Edge of PWROK.

    ESI compatible mode is for server platforms only.This signal should not be pulled low for desttopand mobile.

    HDA_SDOUT

    HDA_SYNC

    GNT3#/GPIO55

    Signal

    Sampled low:Top-Block Swap mode(inverts A16 forall cycles targeting FWH BIOS space).Note: Software will not be able to clear the Top-Swap bit until the system is rebooted without GNT3# being pulled down.

    This signal has a weak internal pull-up.

    XOR Chain Entrance/PCIE Port Config1 bit1,Rising Edge of PWROK

    1= Normal operation(Default):Lane Numbered in order

    Allows entrance to XOR Chain testing when TP3pulled low.When TP3 not pulled low at rising edgeof PWROK,sets bit1 of RPC.PC(Config Registers:offset 224h). This signal has weak internal pull-down

    PCIE config1 bit0,Rising Edge of PWROK.

    GPIO20

    Usage/When Sampled

    ESI Strap (Server Only)Rising Edge of PWROK

    Comment

    CFG[13:12]

    0 = Only Digital Display Port or PCIE is operational (Default)1 =Digital display Port and PCIe are operting simulataneously via the PEG port0 =No SDVO Card Present (Default)1 = SDVO Card Present

    DMI Termination Voltage,Rising Edge of PWROK.

    The signal is required to be low for desktopapplications and required to be high formobile applications.

    Signal has weak internal pull-up. Sets bit 27 of MPC.LR(Device 28:Function 0:Offset D8)

    CFG[2:0]

    CFG[4:3]CFG8CFG[15:14]CFG[18:17]

    CFG5

    Pin Name

    011 = FSB667FSB Frequency Select

    0 = DMI x2

    others = ReservedReserved

    (Default)1 = DMI x4

    Strap Description

    DMI x2 SelectiTPM Host Interface

    Configuration

    Sets bit2 of RPC.PC2(Config Registers:Offset 0224h)

    This signal has a weak internal pull-down.

    GNT1#/GPIO51

    Integrated TPM Enable,Rising Edge of CLPWROK

    Sample low: the Integrated TPM will be disabled.Sample high: the MCH TPM enable strap is sampledlow and the TPM Disable bit is clear, theIntegrated TPM will be enable.

    Flash Descriptor Security Override StrapRising Edge of PWROK

    PCI Express Lane Reversal. Rising Edgeof PWROK.No Reboot.Rising Edge of PWROK.

    XOR Chain Entrance.Rising Edge of PWROK.

    This signal should not be pull low unless using XOR Chain testing.

    If sampled high, the system is strapped to the "No Reboot" mode(ICH9 will disable the TCO Timersystem reboot feature). The status is readable via the NO REBOOT bit.

    ICH9M Functional Strap Definitions

    Controllable via Boot BIOS Destination bit(Config Registers:Offset 3410h:bit 11:10).GNT0# is MSB, 01-SPI, 10-PCI, 11-LPC.

    page 92

    Sampled low:the Flash Descriptor Security will beoverridden. If high,the security measures will be in effect.This should only be enabled in manufacturingenvironments using an external pull-up resister.

    SDVO Present

    Montevina Platform Design guide 22339 0.5

    010 = FSB800000 = FSB1067

    ICH9 EDS 642879 Rev.1.5

    CFG7

    ICH9 EDS 642879 Rev.1.5

    ICH9M Integrated Pull-upand Pull-down Resistors

    SIGNAL Resistor Type/Value

    HDA_BIT_CLK

    HDA_RST#HDA_SDIN[3:0]HDA_SDOUTHDA_SYNC

    GNT[3:0]#/GPIO[55,53,51]GPIO[20]

    LDA[3:0]#/FHW[3:0]#

    LDRQ[0]

    PME#PWRBTN#SATALED#

    LAN_RXD[2:0]

    LDRQ[1]/GPIO23

    TP[3]

    SPKR

    GLAN_DOCK#

    SPI_CS1#/GPIO58/CLGPIO6

    USB[11:0][P,N]

    CL_RST0#

    SPI_MOSISPI_MISO

    TACH_[3:0]

    PULL-DOWN 20K

    PULL-DOWN 20K

    PULL-DOWN 15K

    The pull-up or pull-down active when configured for nativeGLAN_DOCK# functionality and determined by LAN controller

    PULL-UP 20K

    PULL-UP 20K

    PULL-UP 20K

    PULL-UP 20K

    PULL-UP 20KPULL-UP 20KPULL-UP 20KPULL-UP 15K

    PULL-UP 20K

    PULL-UP 20K

    PULL-UP 20K

    PULL-UP 20K

    PULL-UP 20KPULL-UP 20K

    PULL-DOWN 20KPULL-DOWN 20KPULL-DOWN 20K

    PULL-DOWN 20K

    PULL-DOWN 20K

    PULL-DOWN 20K

    GPIO[49]

    HDA_DOCK_EN#/GPIO33

    CL_DATA[1:0]CL_CLK[1:0]

    DPRSLPVR/GPIO16ENERGY_DETECT

    PULL-DOWN 20KPULL-UP 20K

    PULL-UP 20KPULL-UP 20KPULL-UP 20K

    0= The iTPM Host Interface is enabled(Note2)1=The iTPM Host Interface is disalbed(default)

    PCIE Graphics Lane

    CFG10 PCIE Loopback enable0 = Enable (Note 3)1= Disabled (default)

    XOR/ALL

    1 = Reverse LanesDMI x4 mode[MCH -> ICH]:(3->0,2->1,1->2and0->3)DMI x2 mode[MCH -> ICH]:(3->0,2->1)

    0 = Normal operation(Default): Lane Numbered in Order

    Digital Display Port(SDVO/DP/iHDMI)Concurrent with PCIe

    1. All strap signals are sampled with respect to the leading edge ofthe (G)MCH Power OK (PWROK) signal.2. iTPM can be disabled by a 'Soft-Strap' option in theFlash-decriptor section of the Firmware. This 'Soft-Strap' isactivated only after enabling iTPM via CFG6.Only one of the CFG10/CFG/12/CFG13 straps can be enabled at any time.

    10 = XOR mode Enabled01 = ALLZ mode Enabled (Note 3)

  • AA

    B

    B

    C

    C

    D

    D

    E

    E

    4 4

    3 3

    2 2

    1 1

    3D3V_VDD48_S0

    PCLKCLK5

    PCLKCLK4

    PCLKCLK2

    PCLKCLK5

    CPU_SEL2_R

    GEN_XTAL_IN

    GEN_XTAL_OUT

    PCLKCLK5PCLKCLK4

    PCLKCLK1

    CLK48_ICH

    PCLK_KBC

    PCLK_ICH

    PCLK_FWH

    CLK_ICH14

    CLK48

    PCLKCLK3

    DREFCLK_1DREFCLK_1#

    PCLKCLK0PCLKCLK1

    PCLKCLK0

    PCLKCLK2

    CPU_SEL2_R

    CPU_SEL2_RCLK48

    CR#_GCR#_H

    DREFSSCLK_1DREFSSCLK_1#

    CR#_HCR#_G

    PCLKCLK4

    CLK48_5158ECLK48

    3D3V_VDD48_S0

    VGA_XIN1

    OSC_SPREAD

    CR#_E

    CLK48_5158E

    3D3V_S0

    3D3V_S0

    3D3V_S0

    3D3V_S0

    3D3V_S01D05V_S0

    3D3V_S0 1D05V_S0

    3D3V_S0

    3D3V_S0

    CLK_PCIE_SATA 12CLK_PCIE_SATA# 12

    PCLK_ICH13

    CPU_SEL14,7

    PCLK_KBC35

    CLK_CPU_BCLK 4CLK_CPU_BCLK# 4

    SMBD_ICH15,16,17SMBC_ICH15,16,17

    CLK_PWRGD13

    CLK_MCH_BCLK 6CLK_MCH_BCLK# 6

    PM_STPCPU#13PM_STPPCI#13

    CPU_SEL04,7

    CLK_MCH_3GPLL 7CLK_MCH_3GPLL# 7

    CLK_PCIE_LAN 26CLK_PCIE_LAN# 26

    CLK_PCIE_ICH 13CLK_PCIE_ICH# 13

    PCLK_FWH36

    CLK_MCH_OE#7SATACLKREQ#13

    DREFSSCLK# 7DREFSSCLK 7

    VGA_XIN1 52OSC_SPREAD 52

    CPU_SEL24,7

    CLK_ICH1413CLK48_ICH13

    DREFCLK# 7DREFCLK 7

    LAN_CLKREQ#26WLAN_CLKREQ#33

    CLK_PCIE_PEG 52CLK_PCIE_PEG# 52

    CLK_PCIE_MINI1 33CLK_PCIE_MINI1# 33

    CLK48_5158E32

    PEX_CLKREQ 52

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Clock Generator

    3 60Thursday, July 02, 2009JV71 -2

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Clock Generator

    3 60Thursday, July 02, 2009JV71 -2

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Clock Generator

    3 60Thursday, July 02, 2009JV71 -2

    UMA

    SEL1FSB

    SEL0FSA

    133M100M

    166M800M

    0 101 X

    667M200M

    0 1

    CPUSEL2FSC FSB

    0 10

    101

    1067M266M0 0 0

    533M

    CL=20pF0.2pF

    Byte 6, bit 60 = SRC7 enabled (default)1= CR#_F controls SRC8

    Byte 6, bit 40 = SRC11 enabled (default)1= CR#_H controls SRC10

    Byte 6, bit 50 = SRC11# enabled (default)1= CR#_G controls SRC9

    SRCC7/CR#_ESRCT7/CR#_F

    PIN NAME DESCRIPTIONByte 5, bit 70 = PCI0 enabled (default)1= CR#_A enabled. Byte 5, bit 6 controls whether CR#_A controls SRC0 or SRC2 pairByte 5, bit 60 = CR#_A controls SRC0 pair (default),1= CR#_A controls SRC2 pairByte 5, bit 50 = PCI1 enabled (default)1= CR#_B enabled. Byte 5, bit 6 controls whether CR#_B controls SRC1 or SRC4 pairByte 5, bit 40 = CR#_B controls SRC1 pair (default)1= CR#_B controls SRC4 pair

    PCI1/CR#_B0 = Overclocking of CPU and SRC Allowed1 = Overclocking of CPU and SRC NOT allowedPCI2/TME

    0 = Pin17 as SRC-1, Pin18 as SRC-1#, Pin13 as DOT96, Pin14 as DOT96#1 = Pin17 as 27MHz, Pin 18 as 27MHz_SS, Pin13 as SRC-0, Pin14 as SRC-0#PCI4/27M_SEL

    ICS9LPRS365YGLFT setting table

    0 =SRC8/SRC8#1 = ITP/ITP#PCI_F5/ITP_EN

    PCI3

    PCI0/CR#_A

    EMI capacitor for Antenna team suggestion

    Byte 6, bit 70 = SRC7# enabled (default)1= CR#_F controls SRC6

    PIN NAME DESCRIPTION

    Byte 5, bit 30 = SRC3 enabled (default)1= CR#_C enabled. Byte 5, bit 2 controls whether CR#_C controls SRC0 or SRC2 pairByte 5, bit 20 = CR#_C controls SRC0 pair (default),1= CR#_C controls SRC2 pair

    Byte 5, bit 10 = SRC3 enabled (default)1= CR#_D enabled. Byte 5, bit 0 controls whether CR#_D controls SRC1 or SRC4 pairByte 5, bit 00 = CR#_D controls SRC1 pair (default)1= CR#_D controls SRC4 pair

    SRCC3/CR#_D

    SRCC11/CR#_GSRCT11/CR#_HSRCT3/CR#_C

    CPUNBSB DMI

    NEWCARD

    LAN

    NB

    NB CLK

    SB SATA

    GPUNB

    GPU

    WLAN

    modify by RF

    SA 4.16

    R5540R0603-PADR5540R0603-PAD

    1 2

    C445

    SC

    D1U

    16V2ZY

    -2GP

    C445

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    C430

    SC

    4D7U

    6D3V

    3KX

    -GP

    DYC430

    SC

    4D7U

    6D3V

    3KX

    -GP

    DY

    1

    2

    EC69 Do Not StuffDYEC69 Do Not StuffDY1 2

    EC25 Do Not Stuff

    DYEC25 Do Not Stuff

    DY

    1 2

    RN76SRN0J-6-GP

    UMA

    RN76SRN0J-6-GP

    UMA

    12 3

    4

    R260Do Not StuffDIS

    R260Do Not StuffDIS

    1

    2

    C436

    SC

    D1U

    16V2ZY

    -2GP

    C436

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    C418

    Do N

    ot Stuff

    DYC418

    Do N

    ot Stuff

    DY

    1

    2

    U24

    ICS9LPRS365BKLFT-GP-U71.09365.A03

    2nd = 71.09365.A03 71.08513.003

    U24

    ICS9LPRS365BKLFT-GP-U71.09365.A03

    2nd = 71.09365.A03 71.08513.003

    G

    N

    D

    R

    E

    F

    1

    X22X13

    V

    D

    D

    R

    E

    F

    4

    REF0/FSLC/TEST_SEL5

    SDATA6SCLK7

    PCI0/CR#_A8

    V

    D

    D

    P

    C

    I

    9

    PCI1/CR#_B10PCI2/TME11PCI312PCI4/27_SELECT13PCI_F5/ITP_EN14

    G

    N

    D

    P

    C

    I

    1

    5

    V

    D

    D

    4

    8

    1

    6

    USB_48MHZ/FSLA17

    G

    N

    D

    4

    8

    1

    8

    V

    D

    D

    9

    6

    _

    I

    O

    1

    9

    SRCT0/DOTT_96 20SRCC0/DOTC_96 21

    G

    N

    D

    2

    2

    V

    D

    D

    P

    L

    L

    3

    2

    3

    27MHZ_NONSS/SRCT1/SE1 2427MHZ_SS/SRCC1/SE2 25

    G

    N

    D

    2

    6

    V

    D

    D

    P

    L

    L

    3

    _

    I

    O

    2

    7

    SRCT2/SATAT 28SRCC2/SATAC 29

    G

    N

    D

    S

    R

    C

    3

    0

    SRCT3/CR#_C 31SRCC3/CR#_D 32

    V

    D

    D

    S

    R

    C

    _

    I

    O

    3

    3

    SRCT4 34SRCC4 35

    G

    N

    D

    S

    R

    C

    3

    6

    SRCT9 37SRCC9 38

    SRCC11/CR#_G 39SRCT11/CR#_H 40

    SRCT10 41SRCC10 42

    V

    D

    D

    S

    R

    C

    _

    I

    O

    4

    3

    CPU_STOP#44PCI_STOP#45

    V

    D

    D

    S

    R

    C

    4

    6

    SRCC6 47SRCT6 48

    G

    N

    D

    S

    R

    C

    4

    9

    SRCC7/CR#_E 50SRCT7/CR#_F 51

    V

    D

    D

    S

    R

    C

    _

    I

    O

    5

    2

    CPUC2_ITP/SRCC8 53CPUT2_ITP/SRCT8 54

    NC#5555

    V

    D

    D

    C

    P

    U

    _

    I

    O

    5

    6

    CPUC1_F 57CPUT1_F 58

    G

    N

    D

    C

    P

    U

    5

    9

    CPUC0 60CPUT0 61V

    D

    D

    C

    P

    U

    6

    2

    CK_PWRGD/PD#63

    FSLB/TEST_MODE64

    G

    N

    D

    6

    5

    C454

    SC

    D1U

    16V2ZY

    -2GP

    C454

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    RN44SRN0J-6-GP

    UMA

    RN44SRN0J-6-GP

    UMA

    123

    4

    EC24 Do Not Stuff

    DYEC24 Do Not Stuff

    DY

    1 2

    C444

    SC

    D1U

    16V2ZY

    -2GP

    C444

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    X5X-14D31818M-35GP82.30005.891

    2nd = 82.30005.891 82.30005.951

    X5X-14D31818M-35GP82.30005.891

    2nd = 82.30005.891 82.30005.951

    1

    2

    RN46

    SRN33J-7-GP

    RN46

    SRN33J-7-GP

    1234 5

    678

    C417

    Do N

    ot StuffDY

    C417

    Do N

    ot StuffDY

    1

    2

    EC39 Do Not Stuff

    DYEC39 Do Not Stuff

    DY

    1 2

    C453SC33P50V2JN-3GPC453SC33P50V2JN-3GP

    1 2

    R253 33R2J-2-GPR253 33R2J-2-GP12

    C416

    SC

    D1U

    16V2ZY

    -2GP

    C416

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    C451

    Do Not Stuff

    DYC451

    Do Not Stuff

    DY12

    C419

    SC

    D1U

    16V2ZY

    -2GP

    C419

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    RN42Do Not Stuff

    DISRN42Do Not Stuff

    DIS123

    4

    C448

    SC

    D1U

    16V2ZY

    -2GP

    C448

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    R747Do Not Stuff

    DY

    R747Do Not Stuff

    DY

    1 2

    RN48

    SRN10KJ-6-GP

    RN48

    SRN10KJ-6-GP

    12345

    678

    RN47Do Not StuffDY

    RN47Do Not StuffDY

    1234

    5 6 7 8

    EC46 Do Not Stuff

    DYEC46 Do Not Stuff

    DY

    1 2

    R249Do Not Stuff

    DY

    R249Do Not Stuff

    DY

    12

    C455

    Do N

    ot Stuff

    DYC455

    Do N

    ot Stuff

    DY

    1

    2

    TP158TPAD14-GP TP158TPAD14-GP1

    R694

    Do Not StuffDY

    R694

    Do Not StuffDY

    12

    RN45

    Do Not StuffDY

    RN45

    Do Not StuffDY

    1234 5

    678

    C457Do N

    ot Stuff

    DYC457D

    o Not S

    tuff

    DY

    1

    2

    C452

    SC27P50V2JN-2-GP

    C452

    SC27P50V2JN-2-GP

    1 2

    R251 2K2R2J-2-GPR251 2K2R2J-2-GP12

    C450

    SC

    D1U

    16V2ZY

    -2GP

    C450

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    R25410KR2J-3-GPUMA

    R25410KR2J-3-GPUMA

    1

    2

    EC23 SC33P50V2JN-3GP

    DYEC23 SC33P50V2JN-3GP

    DY

    1 2

    C456SC

    4D7U

    6D3V

    3KX

    -GP

    C456SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    EC68 Do Not StuffDYEC68 Do Not StuffDY1 2

    C435

    SC

    D1U

    16V2ZY

    -2GP

    C435

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    EC48 Do Not Stuff

    DYEC48 Do Not Stuff

    DY

    1 2

    R255 33R2J-2-GPR255 33R2J-2-GP12

  • AA

    B

    B

    C

    C

    D

    D

    E

    E

    4 4

    3 3

    2 2

    1 1

    H_A#18

    H_A#20H_A#21H_A#22

    H_A#17

    H_A#19

    H_A#23H_A#24H_A#25H_A#26H_A#27H_A#28H_A#29H_A#30H_A#31

    H_A#3H_A#4H_A#5H_A#6H_A#7H_A#8H_A#9H_A#10H_A#11H_A#12H_A#13H_A#14H_A#15H_A#16

    H_REQ#0H_REQ#1H_REQ#2H_REQ#3H_REQ#4

    H_A#[35..3]

    H_RS#1H_RS#0

    H_RS#2

    H_IERR#

    H_D#32H_D#33H_D#34H_D#35H_D#36H_D#37H_D#38H_D#39

    H_D#41H_D#40

    H_D#42H_D#43

    H_D#[63..0]

    H_D#44H_D#45H_D#46H_D#47

    H_D#0H_D#1H_D#2H_D#3H_D#4H_D#5H_D#6H_D#7H_D#8H_D#9

    H_D#10H_D#11H_D#12H_D#13H_D#14H_D#15

    H_D#16H_D#17H_D#18H_D#19H_D#20H_D#21H_D#22H_D#23H_D#24H_D#25H_D#26H_D#27H_D#28H_D#29H_D#30H_D#31

    H_D#48H_D#49

    H_D#51H_D#50

    H_D#52H_D#53H_D#54H_D#55

    H_D#57H_D#56

    H_D#58H_D#59H_D#60H_D#61

    H_D#63H_D#62

    COMP0COMP1COMP2COMP3

    TEST1

    TEST2

    H_DSTBN#[3..0]

    H_DSTBP#[3..0]

    H_DINV#[3..0]

    RSVD_CPU_12

    RSVD_CPU_13RSVD_CPU_14RSVD_CPU_11

    XDP_TDI

    XDP_TMS

    XDP_TRST#

    XDP_TCK

    H_THERMDC

    H_THERMDA

    CPU_GTLREF0

    CPU_PROCHOT#_1

    TEST4

    TEST1TEST2

    TEST4

    H_A#32H_A#33H_A#34H_A#35

    XDP_BPM#5

    H_DPSLP#H_DPWR#

    H_DPRSTP#

    H_PWRGDH_CPUSLP#H_INIT#H_CPURST#

    XDP_BPM#1XDP_BPM#0

    XDP_BPM#2XDP_BPM#3XDP_BPM#4

    XDP_TCKXDP_TDI

    XDP_TMSXDP_TRST#

    XDP_TDO

    XDP_DBRESET#

    XDP_BPM#5

    XDP_TDO

    XDP_DBRESET#

    H_CPURST#

    H_CPURST#

    1D05V_S0

    1D05V_S0

    1D05V_S0

    1D05V_S0

    3D3V_S0

    H_ADS# 6H_BNR# 6

    H_DRDY# 6H_DBSY# 6

    H_BREQ#0 6

    H_HIT# 6H_HITM# 6

    H_LOCK# 6

    H_DSTBN#2 6H_DSTBP#2 6H_DINV#2 6

    H_D#[63..0] 6

    H_DSTBN#3 6H_DSTBP#3 6H_DINV#3 6

    H_ADSTB#16

    H_A#[35..3]6

    H_ADSTB#06H_REQ#[4..0]6

    H_DSTBN#06H_DSTBP#06H_DINV#06

    H_DSTBN#16H_DSTBP#16H_DINV#16

    H_BPRI# 6

    H_DEFER# 6

    H_INIT# 12

    H_CPURST# 6,51H_RS#[2..0] 6

    H_TRDY# 6

    H_THERMDA 34

    CLK_CPU_BCLK 3CLK_CPU_BCLK# 3

    H_DPRSTP# 7,12,41H_DPSLP# 12H_DPWR# 6

    H_PWRGD 12,39,51H_CPUSLP# 6

    H_FERR#12

    H_THERMDC 34

    PM_THRMTRIP-A# 7,12,39

    H_INTR12H_NMI12H_SMI#12

    H_IGNNE#12

    H_A20M#12

    H_DINV#[3..0] 6

    H_DSTBN#[3..0] 6

    H_DSTBP#[3..0] 6

    CPU_SEL23,7

    CPU_SEL03,7CPU_SEL13,7

    H_STPCLK#12

    H_PSI# 41

    CPU_PROCHOT#_R 41

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    CPU (1 of 2)

    4 60Thursday, July 02, 2009JV71 -2

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    CPU (1 of 2)

    4 60Thursday, July 02, 2009JV71 -2

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    CPU (1 of 2)

    4 60Thursday, July 02, 2009JV71 -2

    UMA

    H_IERR# with a GND0.1" away

    Place testpoint on

    Layout Note:Comp0, 2 connect with Zo=27.4 ohm, make

    Comp1, 3 connect with Zo=55 ohm, maketrace length shorter than 0.5" .

    trace length shorter than 0.5" .

    Layout Note:"CPU_GTLREF0"0.5" max length.

    should connect toPM_THRMTRIP#without T-ingICH9 and MCH

    All place within 2" to CPU

    Net "TEST4" as short as possible,make sure "TEST4" routing isreference to GND and away othernoisy signals

    PH @ page48

    Place these TP on button-side, easy to measure.

    Close to CPU

    modify by RF

    modify by RF

    EMI capacitor

    TP114 TPAD14-GPTP114 TPAD14-GP1

    R3121KR2F-3-GPR3121KR2F-3-GP

    1

    2

    TP34 TPAD14-GPTP34 TPAD14-GP1

    R32 54D9R2F-L1-GPR32 54D9R2F-L1-GP1 2

    TP25TPAD14-GP TP25TPAD14-GP 1

    TP81 TPAD14-GPTP81 TPAD14-GP1

    R97Do Not Stuff

    DYR97Do Not Stuff

    DY1 2

    TP50 TPAD14-GPTP50 TPAD14-GP1

    R3092KR2F-3-GP

    R3092KR2F-3-GP

    1

    2

    C90 Do Not Stuff

    DY

    C90 Do Not Stuff

    DY1 2

    TP33 TPAD14-GPTP33 TPAD14-GP1

    TP180TPAD14-GP TP180TPAD14-GP 1

    TP78 TPAD14-GPTP78 TPAD14-GP1

    TP31 TPAD14-GPTP31 TPAD14-GP1

    TP88 TPAD14-GPTP88 TPAD14-GP1

    TP92 TPAD14-GPTP92 TPAD14-GP1

    R57 27D4R2F-L1-GPR57 27D4R2F-L1-GP1 2

    TP97TPAD14-GP TP97TPAD14-GP 1

    TP49 TPAD14-GPTP49 TPAD14-GP1

    C104

    Do Not Stuff

    DYC104

    Do Not Stuff

    DY1 2

    TP86 TPAD14-GPTP86 TPAD14-GP1

    R119 Do Not StuffDY

    R119 Do Not StuffDY1 2

    R114 Do Not StuffDY

    R114 Do Not StuffDY1 2

    R105 Do Not StuffDY

    R105 Do Not StuffDY

    1 2

    R46 54D9R2F-L1-GPR46 54D9R2F-L1-GP1 2

    R55 54D9R2F-L1-GPR55 54D9R2F-L1-GP1 2

    C525

    Do Not StuffDY

    C525

    Do Not StuffDY 12

    R8968R2-GPR8968R2-GP

    1

    2

    R47 Do Not StuffDY

    R47 Do Not StuffDY

    1 2

    TP74 TPAD14-GPTP74 TPAD14-GP1

    EC75 Do Not Stuff

    DYEC75 Do Not Stuff

    DY

    1 2

    R113 51R2F-2-GPDY

    R113 51R2F-2-GPDY

    1 2

    R60 54D9R2F-L1-GPR60 54D9R2F-L1-GP1 2

    C102

    D

    o

    N

    o

    t

    S

    t

    u

    f

    f

    DY

    C102

    D

    o

    N

    o

    t

    S

    t

    u

    f

    f

    DY

    1

    2

    R54 54D9R2F-L1-GPR54 54D9R2F-L1-GP1 2

    C116SC2200P50V2KX-2GPDY

    C116SC2200P50V2KX-2GPDY

    1

    2

    C526

    D

    o

    N

    o

    t

    S

    t

    u

    f

    f DYC526

    D

    o

    N

    o

    t

    S

    t

    u

    f

    f DY12

    R71 27D4R2F-L1-GPR71 27D4R2F-L1-GP1 2

    TP28 TPAD14-GPTP28 TPAD14-GP1TP27 TPAD14-GPTP27 TPAD14-GP1

    1 OF 4

    R

    E

    S

    E

    R

    V

    E

    D

    HCLK

    THERMAL

    ADDR GROUP 1

    X

    D

    P

    /

    I

    T

    P

    S

    I

    G

    N

    A

    L

    S

    C

    O

    N

    T

    R

    O

    L

    ADDR GROUP 0ICH

    CPU1A

    BGA479-SKT6-GPU762.10079.0012nd = 62.10053.401

    1 OF 4

    R

    E

    S

    E

    R

    V

    E

    D

    HCLK

    THERMAL

    ADDR GROUP 1

    X

    D

    P

    /

    I

    T

    P

    S

    I

    G

    N

    A

    L

    S

    C

    O

    N

    T

    R

    O

    L

    ADDR GROUP 0ICH

    CPU1A

    BGA479-SKT6-GPU762.10079.0012nd = 62.10053.401

    A3#J4A4#L5A5#L4A6#K5A7#M3A8#N2A9#J1A10#N3A11#P5A12#P2A13#L2A14#P4A15#P1A16#R1

    A20M#A6

    ADS# H1

    ADSTB0#M1

    REQ0#K3REQ1#H2REQ2#K2REQ3#J3REQ4#L1

    A17#Y2A18#U5A19#R3A20#W6A21#U4A22#Y5

    A30#U2

    A24#R4A25#T5A26#T3

    A32#W3

    A28#W5A29#Y4

    A27#W2

    A31#V4

    A33#AA4A34#AB2A35#AA3

    FERR#A5IGNNE#C4

    RSVD#M4M4RSVD#N5N5RSVD#T2T2RSVD#V3V3RSVD#B2B2RSVD#C3C3

    BNR# E2BPRI# G5

    DEFER# H5

    DBSY# E1DRDY# F21

    BR0# F1

    IERR# D20INIT# B3

    LOCK# H4

    RS0# F3RS1# F4RS2# G3

    TRDY# G2

    HIT# G6HITM# E4

    BPM0# AD4BPM1# AD3BPM2# AD1BPM3# AC4PRDY# AC2PREQ# AC1

    TCK AC5TDI AA6

    TDO AB3TMS AB5

    TRST# AB6DBR# C20

    PROCHOT# D21THRMDA A24

    THERMTRIP# C7

    BCLK0 A22BCLK1 A21

    RSVD#D2D2

    RSVD#F6F6RSVD#D3D3RSVD#D22D22

    STPCLK#D5LINT0C6LINT1B4SMI#A3

    A23#U1

    ADSTB1#V1

    RESET# C1

    KEY_NCB1

    THRMDC B25

    TP26 TPAD14-GPTP26 TPAD14-GP1

    R67 54D9R2F-L1-GPR67 54D9R2F-L1-GP1 2

    2 OF 4

    DATA GRP0DATA GRP1

    D

    A

    T

    A

    G

    R

    P

    2

    D

    A

    T

    A

    G

    R

    P

    3

    MISC

    CPU1B

    BGA479-SKT6-GPU7

    2 OF 4

    DATA GRP0DATA GRP1

    D

    A

    T

    A

    G

    R

    P

    2

    D

    A

    T

    A

    G

    R

    P

    3

    MISC

    CPU1B

    BGA479-SKT6-GPU7

    D16#N22D17#K25D18#P26D19#R23D20#L23D21#M24D22#L22D23#M23D24#P25D25#P23D26#P22D27#T24D28#R24D29#L25D30#T25D31#N25

    DINV0#H25

    DINV1#N24

    DSTBN0#J26

    DSTBN1#L26

    DSTBP0#H26

    DSTBP1#M26

    D0#E22D1#F24D2#E26D3#G22D4#F23D5#G25D6#E25D7#E23D8#K24D9#G24D10#J24D11#J23D12#H22D13#F26D14#K22D15#H23

    D53# AC26

    D60# AC22

    D63# AC23

    GTLREFAD26

    TEST2D25

    BSEL0B22BSEL1B23BSEL2C21

    DINV2# U22

    D32# Y22D33# AB24D34# V24D35# V26D36# V23

    D38# U25D39# U23D40# Y25D41# W22D42# Y23D43# W24D44# W25D45# AA23D46# AA24D47# AB25

    DSTBP2# AA26DSTBN2# Y26

    D48# AE24D49# AD24

    D52# AB21

    D54# AD20D55# AE22D56# AF23D57# AC25D58# AE21D59# AD21

    D61# AD23

    DINV3# AC20

    DSTBN3# AE25

    D51# AB22D50# AA21

    D62# AF22

    COMP0 R26COMP1 U26

    DPRSTP# E5DPSLP# B5DPWR# D24

    PWRGOOD D6SLP# D7PSI# AE6

    TEST1C23

    TEST6A26

    TEST3C24

    TEST5AF1TEST4AF26

    D37# T22

    DSTBP3# AF24

    COMP2 AA1COMP3 Y1

    R8856R2J-4-GPR8856R2J-4-GP

    1

    2

    TP32 TPAD14-GPTP32 TPAD14-GP1TP29 TPAD14-GPTP29 TPAD14-GP1

    R33 54D9R2F-L1-GPR33 54D9R2F-L1-GP1 2

    TP30 TPAD14-GPTP30 TPAD14-GP1

    TP95 TPAD14-GPTP95 TPAD14-GP1

    TP87TPAD14-GP TP87TPAD14-GP 1

    TP76 TPAD14-GPTP76 TPAD14-GP1

  • AA

    B

    B

    C

    C

    D

    D

    E

    E

    4 4

    3 3

    2 2

    1 1

    H_VID0H_VID1H_VID2H_VID3H_VID4H_VID5H_VID6

    TP_AF2_CPU

    TP_AE26_CPUTP_A2_CPU

    TP_A25_CPU

    VCC_CORE

    VCC_CORE

    1D5V_LDO_S0

    1D05V_S0

    1D5V_VCCA_S0

    1D05V_S0

    VCC_CORE

    VCC_CORE

    VCC_CORE

    1D05V_S0_CPU

    VCC_SENSE 41

    VSS_SENSE 41

    H_VID[6..0] 41

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    CPU (2 of 2)

    5 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    CPU (2 of 2)

    5 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    CPU (2 of 2)

    5 60Thursday, July 02, 2009JV71 -2

    Layout Note:

    should be of equal length.VCCSENSE and VSSSENSE lines

    Layout Note:Provide a test point (withno stub) to connect a differential probe between VCCSENSE andVSSSENSE at the locationwhere the two 54.9ohmresistors terminate the55 ohm transmission line.

    layout note: "1D5V_VCCA_S0"as short as possible

    C86SC

    D1U

    10V2K

    X-4G

    P

    C86SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C51

    Do N

    ot Stuff

    DY

    C51

    Do N

    ot Stuff

    DY

    1

    2

    C57SC

    D1U

    10V2K

    X-4G

    P

    C57SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C553

    SC

    10U6D

    3V5M

    X-3G

    P

    C553

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C55SC

    D1U

    10V2K

    X-4G

    P

    C55SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C606

    S

    C

    1

    0

    U

    6

    D

    3

    V

    5

    M

    X

    -

    3

    G

    P

    C606

    S

    C

    1

    0

    U

    6

    D

    3

    V

    5

    M

    X

    -

    3

    G

    P

    1

    2

    C50

    SC

    10U6D

    3V5M

    X-3G

    P

    C50

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    TP181 TPAD14-GPTP181 TPAD14-GP1

    G2

    GAP-CLOSE-PWR

    G2

    GAP-CLOSE-PWR

    1 2

    C89

    Do N

    ot Stuff

    DY

    C89

    Do N

    ot Stuff

    DY

    1

    2

    C548

    SC

    10U6D

    3V5M

    X-3G

    P

    C548

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C75SC

    D1U

    10V2K

    X-4G

    P

    C75SC

    D1U

    10V2K

    X-4G

    P1

    2

    C539

    SC

    10U6D

    3V5M

    X-3G

    P

    C539

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C79SC

    D1U

    10V2K

    X-4G

    P

    C79SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    R24

    1

    0

    0

    R

    2

    F

    -

    L

    1

    -

    G

    P

    -

    U

    R24

    1

    0

    0

    R

    2

    F

    -

    L

    1

    -

    G

    P

    -

    U

    1

    2

    L18

    FCM1608KF-1-GP

    2nd = 68.00248.06168.00217.161L18

    FCM1608KF-1-GP

    2nd = 68.00248.06168.00217.161

    1 2

    3 OF 4CPU1C

    BGA479-SKT6-GPU7

    3 OF 4CPU1C

    BGA479-SKT6-GPU7

    VCCA7VCCA9

    VCCAC10

    VCCA10VCCA12VCCA13VCCA15VCCA17VCCA18VCCA20VCCB7VCCB9VCCB10VCCB12VCCB14VCCB15VCCB17VCCB18VCCB20VCCC9VCCC10VCCC12VCCC13VCCC15VCCC17VCCC18VCCD9VCCD10VCCD12VCCD14VCCD15VCCD17VCCD18VCCE7VCCE9VCCE10VCCE12VCCE13VCCE15

    VCCAA7VCCAA9VCCAA10VCCAA12VCCAA13VCCAA15VCCAA17VCCAA18VCCAA20VCCAB9

    VCCAB12VCCAB14VCCAB15VCCAB17VCCAB18

    VCCE17VCCE18VCCE20VCCF7VCCF9VCCF10VCCF12VCCF14VCCF15VCCF17VCCF18VCCF20

    VCC AB20VCC AB7VCC AC7VCC AC9VCC AC12VCC AC13VCC AC15VCC AC17VCC AC18VCC AD7VCC AD9VCC AD10VCC AD12VCC AD14VCC AD15VCC AD17VCC AD18VCC AE9VCC AE10VCC AE12VCC AE13VCC AE15VCC AE17VCC AE18VCC AE20VCC AF9VCC AF10VCC AF12VCC AF14VCC AF15VCC AF17VCC AF18VCC AF20

    VCCP G21

    VCCP J6

    VCCP J21

    VCCP K6

    VCCP K21

    VCCP M6

    VCCP M21

    VCCP N6VCCP N21

    VCCP R6VCCP R21

    VCCP T6VCCP T21

    VCCP V6

    VCCP V21VCCP W21

    VCCA B26VCCA C26

    VID0 AD6

    VID6 AE2

    VID4 AE3

    VID2 AE5

    VID5 AF3

    VID3 AF4

    VID1 AF5

    VSSSENSE AE7

    VCCSENSE AF7

    VCCAB10

    4 OF 4CPU1D

    BGA479-SKT6-GPU7

    4 OF 4CPU1D

    BGA479-SKT6-GPU7

    VSSAF2

    VSSA4VSSA8VSSA11VSSA14VSSA16VSSA19VSSA23

    VSSB6VSSB8VSSB11VSSB13VSSB16VSSB19VSSB21VSSB24VSSC5VSSC8VSSC11VSSC14VSSC16VSSC19VSSC2VSSC22VSSC25VSSD1VSSD4VSSD8VSSD11VSSD13VSSD16VSSD19VSSD23VSSD26VSSE3VSSE6VSSE8VSSE11VSSE14VSSE16VSSE19VSSE21VSSE24VSSF5VSSF8VSSF11VSSF13VSSF16VSSF19VSSF2VSSF22VSSF25VSSG4VSSG1VSSG23VSSG26VSSH3VSSH6VSSH21VSSH24VSSJ2VSSJ5VSSJ22VSSJ25VSSK1VSSK4VSSK23VSSK26VSSL3VSSL6VSSL21VSSL24VSSM2VSSM5VSSM22VSSM25VSSN1VSSN4VSSN23VSSN26VSSP3

    VSS P6VSS P21VSS P24VSS R2VSS R5VSS R22VSS R25VSS T1VSS T4VSS T23VSS T26VSS U3VSS U6VSS U21VSS U24VSS V2VSS V5VSS V22VSS V25VSS W1VSS W4VSS W23VSS W26VSS Y3VSS Y6VSS Y21VSS Y24VSS AA2VSS AA5VSS AA8VSS AA11VSS AA14VSS AA16VSS AA19VSS AA22VSS AA25VSS AB1VSS AB4VSS AB8VSS AB11VSS AB13VSS AB16VSS AB19VSS AB23VSS AB26VSS AC3VSS AC6VSS AC8VSS AC11VSS AC14VSS AC16VSS AC19VSS AC21VSS AC24VSS AD2VSS AD5VSS AD8VSS AD11VSS AD13VSS AD16VSS AD19VSS AD22VSS AD25VSS AE1VSS AE4VSS AE8VSS AE11VSS AE14VSS AE16VSS AE19VSS AE23VSS AE26VSS A2VSS AF6VSS AF8VSS AF11VSS AF13VSS AF16VSS AF19VSS AF21VSS A25VSS AF25

    C83Do N

    ot StuffDY

    C83Do N

    ot StuffDY

    1

    2

    C67SC

    D1U

    10V2K

    X-4G

    P

    C67SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C58SC

    D1U

    10V2K

    X-4G

    P

    C58SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C84

    S

    C

    D

    1

    U

    5

    0

    V

    3

    K

    X

    -

    G

    P

    C84

    S

    C

    D

    1

    U

    5

    0

    V

    3

    K

    X

    -

    G

    P

    1

    2

    R25

    1

    0

    0

    R

    2

    F

    -

    L

    1

    -

    G

    P

    -

    U

    R25

    1

    0

    0

    R

    2

    F

    -

    L

    1

    -

    G

    P

    -

    U

    1

    2

    C56SC

    D1U

    10V2K

    X-4G

    P

    C56SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C88

    SC

    10U6D

    3V5M

    X-3G

    P

    C88

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C537

    Do N

    ot Stuff

    DY

    C537

    Do N

    ot Stuff

    DY

    1

    2

    TP174 TPAD14-GPTP174 TPAD14-GP1

    C87

    SC

    10U6D

    3V5M

    X-3G

    P

    C87

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C603

    S

    C

    D

    0

    1

    U

    1

    6

    V

    2

    K

    X

    -

    3

    G

    P

    C603

    S

    C

    D

    0

    1

    U

    1

    6

    V

    2

    K

    X

    -

    3

    G

    P

    1

    2

    C547

    Do N

    ot Stuff

    DY

    C547

    Do N

    ot Stuff

    DY

    1

    2

    C536

    SC

    10U6D

    3V5M

    X-3G

    P

    C536

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C80SC

    D1U

    10V2K

    X-4G

    P

    C80SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C52

    Do N

    ot Stuff

    DY

    C52

    Do N

    ot Stuff

    DY

    1

    2

    C552

    Do N

    ot Stuff

    DY

    C552

    Do N

    ot Stuff

    DY

    1

    2

    TP98 TPAD14-GPTP98 TPAD14-GP1

    C538

    Do N

    ot Stuff

    DY

    C538

    Do N

    ot Stuff

    DY

    1

    2

    C53

    SC

    10U6D

    3V5M

    X-3G

    P

    C53

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C85SC

    D1U

    10V2K

    X-4G

    P

    C85SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    TP23TPAD14-GP TP23TPAD14-GP 1

  • 55

    4

    4

    3

    3

    2

    2

    1

    1

    D D

    C C

    B B

    A A

    H_D#[63..0]H_D#0H_D#1H_D#2H_D#3H_D#4H_D#5H_D#6H_D#7H_D#8H_D#9H_D#10H_D#11H_D#12H_D#13H_D#14H_D#15H_D#16H_D#17H_D#18H_D#19H_D#20H_D#21H_D#22H_D#23H_D#24H_D#25H_D#26H_D#27H_D#28H_D#29H_D#30H_D#31H_D#32H_D#33H_D#34H_D#35H_D#36H_D#37H_D#38H_D#39H_D#40H_D#41H_D#42H_D#43H_D#44H_D#45H_D#46H_D#47H_D#48H_D#49H_D#50H_D#51H_D#52H_D#53H_D#54H_D#55H_D#56H_D#57H_D#58H_D#59H_D#60H_D#61H_D#62H_D#63

    H_A#35

    H_A#29H_A#30H_A#31

    H_A#26H_A#27H_A#28

    H_A#23H_A#24H_A#25

    H_A#20H_A#21H_A#22

    H_A#17H_A#18H_A#19

    H_A#14H_A#15H_A#16

    H_A#11H_A#12H_A#13

    H_A#8H_A#9H_A#10

    H_A#5H_A#6H_A#7

    H_A#3H_A#4

    H_A#[35..3]

    H_A#33H_A#34

    H_A#32

    H_RS#1H_RS#2

    H_RS#0

    H_REQ#1

    H_REQ#3H_REQ#2

    H_REQ#0

    H_REQ#4

    H_DSTBN#[3..0]

    H_DSTBN#3H_DSTBN#2H_DSTBN#1H_DSTBN#0

    H_DSTBP#[3..0]

    H_DSTBP#2

    H_DSTBP#0

    H_DSTBP#3

    H_DSTBP#1

    H_DINV#0H_DINV#1H_DINV#2H_DINV#3

    H_DINV#[3..0]

    H_RCOMP

    H_SWING

    H_AVREF

    H_SWINGH_RCOMP

    H_CPURST#

    1D05V_S0

    1D05V_S0

    H_D#[63..0]4

    H_CPURST#4,51H_CPUSLP#4

    H_A#[35..3] 4

    H_BNR# 4

    H_BREQ#0 4

    H_ADS# 4H_ADSTB#0 4H_ADSTB#1 4

    H_DBSY# 4

    H_DRDY# 4H_HIT# 4H_HITM# 4

    CLK_MCH_BCLK 3CLK_MCH_BCLK# 3

    H_LOCK# 4

    H_BPRI# 4

    H_DEFER# 4

    H_DPWR# 4

    H_TRDY# 4

    H_DINV#[3..0] 4

    H_DSTBN#[3..0] 4

    H_DSTBP#[3..0] 4

    H_REQ#[4..0] 4

    H_RS#[2..0] 4

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (1 of 6)

    6 60Thursday, July 02, 2009JV71 -2

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (1 of 6)

    6 60Thursday, July 02, 2009JV71 -2

    UMA

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (1 of 6)

    6 60Thursday, July 02, 2009JV71 -2

    UMA

    Place them near to the chip ( < 0.5")

    H_RCOMP routing Trace width andSpacing use 10 / 20 mil

    H_SWING routing Trace width andSpacing use 10 / 20 mil

    H_SWING Resistors andCapacitors close MCH500 mil ( MAX )

    EMI capacitor

    R381221R2F-2-GPR381221R2F-2-GP

    1

    2

    R3892KR2F-3-GPR3892KR2F-3-GP

    1

    2

    EC76 Do Not Stuff

    DYEC76 Do Not Stuff

    DY

    1 2

    R3701KR2F-3-GPR3701KR2F-3-GP

    1

    2

    C614

    SC

    D1U

    16V2ZY

    -2GP

    C614

    SC

    D1U

    16V2ZY

    -2GP

    1

    2

    R380 24D9R2F-L-GPR380 24D9R2F-L-GP1 2

    C

    6

    1

    9

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    C

    6

    1

    9

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    1

    2

    R382100R2F-L1-GP-UR382100R2F-L1-GP-U

    1

    2

    H

    O

    S

    T

    1 OF 10NB1A

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    H

    O

    S

    T

    1 OF 10NB1A

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    H_A#_10 P16H_A#_11 R16H_A#_12 N17H_A#_13 M13H_A#_14 E17H_A#_15 P17H_A#_16 F17H_A#_17 G20H_A#_18 B19H_A#_19 J16H_A#_20 E20H_A#_21 H16H_A#_22 J20H_A#_23 L17H_A#_24 A17H_A#_25 B17H_A#_26 L16H_A#_27 C21H_A#_28 J17H_A#_29 H20

    H_A#_3 A14

    H_A#_30 B18H_A#_31 K17

    H_A#_4 C15H_A#_5 F16H_A#_6 H13H_A#_7 C18H_A#_8 M16H_A#_9 J13

    H_ADS# H12H_ADSTB#_0 B16H_ADSTB#_1 G17

    H_BNR# A9H_BPRI# F11

    H_BREQ# G12

    HPLL_CLK# AH6

    H_CPURST#C12

    HPLL_CLK AH7

    H_D#_0F2

    H_REQ#_2 F13H_REQ#_3 B13

    H_D#_1G8

    H_D#_10M9

    H_D#_20L6

    H_D#_30N10

    H_D#_40AA8

    H_D#_50AA2

    H_D#_60AE11

    H_D#_8D4H_D#_9H3

    H_DBSY# B10

    H_D#_11M11H_D#_12J1H_D#_13J2H_D#_14N12H_D#_15J6H_D#_16P2H_D#_17L2H_D#_18R2H_D#_19N9

    H_D#_2F8

    H_D#_21M5H_D#_22J3H_D#_23N2H_D#_24R1H_D#_25N5H_D#_26N6H_D#_27P13H_D#_28N8H_D#_29L7

    H_D#_3E6

    H_D#_31M3H_D#_32Y3H_D#_33AD14H_D#_34Y6H_D#_35Y10H_D#_36Y12H_D#_37Y14H_D#_38Y7H_D#_39W2

    H_D#_4G2

    H_D#_41Y9H_D#_42AA13H_D#_43AA9H_D#_44AA11H_D#_45AD11H_D#_46AD10H_D#_47AD13H_D#_48AE12H_D#_49AE9

    H_D#_5H6

    H_D#_51AD8H_D#_52AA3H_D#_53AD3H_D#_54AD7H_D#_55AE14H_D#_56AF3H_D#_57AC1H_D#_58AE3H_D#_59AC3

    H_D#_6H2

    H_D#_61AE8H_D#_62AG2H_D#_63AD6

    H_D#_7F6

    H_DEFER# E9

    H_DINV#_0 J8H_DINV#_1 L3H_DINV#_2 Y13H_DINV#_3 Y1

    H_DPWR# J11H_DRDY# F9

    H_DSTBN#_0 L10H_DSTBN#_1 M7H_DSTBN#_2 AA5H_DSTBN#_3 AE6

    H_DSTBP#_0 L9H_DSTBP#_1 M8H_DSTBP#_2 AA6H_DSTBP#_3 AE5

    H_AVREFA11H_DVREFB11

    H_TRDY# C9

    H_HIT# H9H_HITM# E12H_LOCK# H11

    H_REQ#_0 B15H_REQ#_1 K13

    H_REQ#_4 B14

    H_A#_32 B20H_A#_33 F21H_A#_34 K21H_A#_35 L20

    H_SWINGC5

    H_CPUSLP#E11

    H_RCOMPE3

    H_RS#_0 B6H_RS#_1 F12H_RS#_2 C8

  • 55

    4

    4

    3

    3

    2

    2

    1

    1

    D D

    C C

    B B

    A A

    CFG9

    CFG20

    PM_EXTTS#1PM_EXTTS#0

    RSTIN#NB_THERMTRIP#

    M_RCOMPPM_RCOMPN

    SM_RCOMP_VOHSM_RCOMP_VOL

    MCH_CLVREF

    M_RCOMPP

    M_RCOMPN

    CFG9

    CFG20

    PEG_RXN5

    PEG_RXN11PEG_RXN10PEG_RXN9PEG_RXN8

    PEG_RXN15PEG_RXN14PEG_RXN13

    PEG_RXN2

    PEG_RXN7

    PEG_RXN4

    PEG_RXN1

    PEG_RXN6

    PEG_RXN3

    PEG_RXN0

    PEG_CMP

    PEG_RXP5

    PEG_RXP7

    PEG_RXP15

    PEG_RXP4

    PEG_RXP1

    PEG_RXP14

    PEG_RXP3

    PEG_RXP8

    SM_RCOMP_VOH

    SM_RCOMP_VOL

    SM_REXT

    MCH_TSATN#

    PM_DPRSLPVR_MCH

    PM_DPRSLPVR_MCH

    GMCH_HS

    TV_DACA

    TV_DACC

    GMCH_BLUE

    GMCH_GREEN

    GMCH_RED

    L_LVBGLIBG

    LVDS_VREF

    GMCH_LCDVDD_ON

    CLK_DDC_EDIDLCTLB_DATA

    LCTLA_CLK

    DAT_DDC_EDID

    GMCH_DDCDATAGMCH_DDCCLK

    CRT_IREF

    GMCH_VS

    TV_DACB

    CRT_IREF

    TV_DACATV_DACBTV_DACC

    LIBG

    PEG_TXN3_L

    PEG_TXN1_L

    PEG_TXN2_L

    PEG_TXN0_L

    PEG_TXP1_L

    PEG_TXP3_L

    PEG_TXP0_L

    PEG_TXP2_L

    GMCH_HSGMCH_VS

    GFX_VID1GFX_VID2GFX_VID3

    GFX_VID0

    GFX_VID4

    GFXVR_EN

    CLK_MCH_OE#

    HDA_BCLKHDA_RST#HDA_SDIHDA_SDOHDA_SYNC

    MCH_TSATN#

    PM_EXTTS#0PM_EXTTS#1

    GFXVR_EN

    LCTLB_DATALCTLA_CLK

    GMCH_GREEN

    GMCH_BL_ONGMCH_LCDVDD_ON

    PEG_TXN0

    PEG_TXP10

    PEG_TXP7

    PEG_TXP15

    PEG_TXP12

    PEG_TXP1

    PEG_TXP9

    PEG_TXP6

    PEG_TXP14

    PEG_TXP3

    PEG_TXP11

    PEG_TXP0

    PEG_TXP8

    PEG_TXP5

    PEG_TXP13

    PEG_TXP2

    PEG_TXN14

    PEG_TXN3

    PEG_TXN11

    PEG_TXN8

    PEG_TXN5

    PEG_TXN13

    PEG_TXN2

    PEG_TXN10

    PEG_TXN7

    PEG_TXN15

    PEG_TXN4

    PEG_TXN12

    PEG_TXN1

    PEG_TXN9

    PEG_TXN6

    PEG_TXP4

    PEG_TXN0_L

    PEG_TXN14_L

    PEG_TXN3_L

    PEG_TXN11_L

    PEG_TXN8_L

    PEG_TXN5_L

    PEG_TXN13_L

    PEG_TXN2_L

    PEG_TXN10_L

    PEG_TXN7_L

    PEG_TXN15_L

    PEG_TXN4_L

    PEG_TXN12_L

    PEG_TXN1_L

    PEG_TXN9_L

    PEG_TXN6_L

    PEG_RXN12

    PEG_RXP2

    PEG_RXP13

    PEG_RXP10

    PEG_RXP12

    PEG_RXP6

    PEG_RXP9

    PEG_RXP11

    PEG_RXP0

    PEG_TXP0_L

    PEG_TXP14_L

    PEG_TXP3_L

    PEG_TXP11_L

    PEG_TXP8_L

    PEG_TXP5_L

    PEG_TXP13_L

    PEG_TXP2_L

    PEG_TXP10_L

    PEG_TXP7_L

    PEG_TXP15_L

    PEG_TXP4_L

    PEG_TXP12_L

    PEG_TXP9_L

    PEG_TXP1_L

    PEG_TXP6_L

    ACZ_SYNC_RACZ_RST#_RACZ_SDATAOUT_R

    ACZ_BIT_CLKHDA_BCLKHDA_SYNCHDA_RST#HDA_SDO

    HDA_BCLK

    ACZ_SDIN3

    GMCH_RED

    GMCH_BLUE

    PEG_RXP3 HDMI_DETECT#_L

    PEG_TXN0_L_1PEG_TXP0_L_1

    PEG_TXN1_L_1PEG_TXP1_L_1

    PEG_TXN2_L_1PEG_TXP2_L_1

    PEG_TXN3_L_1PEG_TXP3_L_1

    CFG16

    CFG16

    DREFSSCLK#

    DREFCLKDREFCLK#

    DREFSSCLK

    PWROK

    ACZ_BIT_CLK

    ACZ_RST#_R

    1D05V_S0

    3D3V_S0

    1D05V_S0

    1D8V_S3

    1D8V_S3

    DDR_VREF_S3_1

    1D05V_S0

    3D3V_S0

    CPU_SEL13,4CPU_SEL23,4

    CPU_SEL03,4

    PM_SYNC#13

    PLT_RST1#13,26,32,33,35,36,52

    PM_DPRSLPVR13,41

    PM_THRMTRIP-A#4,12,39

    M_CLK_DDR#2 17M_CLK_DDR#3 17

    M_CLK_DDR0 16M_CLK_DDR1 16

    M_CKE0 16,18M_CKE1 16,18M_CKE2 17,18M_CKE3 17,18

    M_CLK_DDR2 17M_CLK_DDR3 17

    M_CS1# 16,18M_CS2# 17,18M_CS3# 17,18

    M_CS0# 16,18

    M_CLK_DDR#0 16M_CLK_DDR#1 16

    M_ODT0 16,18M_ODT1 16,18M_ODT2 17,18M_ODT3 17,18

    CLK_MCH_3GPLL 3CLK_MCH_3GPLL# 3

    DMI_RXN0 13

    DMI_TXN0 13DMI_TXN1 13

    DMI_TXP1 13

    DMI_TXN2 13DMI_TXN3 13

    DMI_TXP0 13

    DMI_TXP2 13DMI_TXP3 13

    DMI_RXN1 13DMI_RXN2 13DMI_RXN3 13

    DMI_RXP0 13

    DMI_RXP2 13DMI_RXP3 13

    DMI_RXP1 13

    CL_RST#0 13

    CL_CLK0 13CL_DATA0 13

    PWROK 13,34

    PEG_RXN[15..0] 52

    PEG_RXP[15..0] 52

    PWROK13,34

    GMCH_TXBOUT0+19GMCH_TXBOUT1+19GMCH_TXBOUT2+19

    GMCH_TXBOUT0-19GMCH_TXBOUT1-19GMCH_TXBOUT2-19

    GMCH_TXBCLK-19GMCH_TXBCLK+19

    L_BKLTCTL19

    GMCH_LCDVDD_ON19

    CLK_DDC_EDID19DAT_DDC_EDID19

    GMCH_BL_ON35

    GMCH_TXAOUT0+19GMCH_TXAOUT1+19GMCH_TXAOUT2+19

    GMCH_TXAOUT0-19GMCH_TXAOUT1-19GMCH_TXAOUT2-19

    GMCH_TXACLK-19GMCH_TXACLK+19

    GMCH_DDCCLK20GMCH_DDCDATA20

    GMCH_HSYNC20

    GMCH_VSYNC20

    GMCH_GREEN20

    GMCH_BLUE20

    GMCH_RED20

    HDMI_DETECT# 21

    DREFCLK# 3DREFCLK 3

    DREFSSCLK# 3DREFSSCLK 3

    GFX_VID[4..0] 46

    CLK_MCH_OE# 3

    GMCH_HDMI_CLK 21GMCH_HDMI_DATA 21

    MCH_ICH_SYNC# 13

    GFXVR_EN 46

    PEG_TXP[15..0] 52

    PEG_TXN[15..0] 52

    ACZ_SDIN3 12

    ACZ_BIT_CLK 12ACZ_SYNC_R 12ACZ_RST#_R 12ACZ_SDATAOUT_R 12

    HDMI_CLK+ 21,55

    HDMI_DATA2+ 21,55

    HDMI_DATA1+ 21,55

    HDMI_DATA0+ 21,55

    HDMI_CLK- 21,55

    HDMI_DATA2- 21,55

    HDMI_DATA1- 21,55

    HDMI_DATA0- 21,55

    H_DPRSTP#4,12,41

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (2 of 6)

    7 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (2 of 6)

    7 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (2 of 6)

    7 60Thursday, July 02, 2009JV71 -2

    FOR Cantiga:500 ohm Teenah: 392 ohm

    Close to GMCH as 500 mils.

    layout take note

    0.9VDDR2 : connect to GND

    FOR Cantiga: 1.02k_1% ohm Teenah: 1.3k ohmCRT_IREF routing Tracewidth use 20 mil

    FOR Discrete change RN to 0 ohm(66.R0036.A8L)

    FOR Discrete,change to 0 ohm(66.R0036.A8L)

    for HDMI port C

    modify by RF

    SA 4.8

    EMI capacitor

    C667 Do Not StuffDIS C667 Do Not StuffDIS 1 2

    RN33

    Do Not StuffDIS

    RN33

    Do Not StuffDIS

    12 3

    4

    R384 2K37R2F-GP

    UMAR384 2K37R2F-GP

    UMA1 2

    C647 Do Not StuffDIS C647 Do Not StuffDIS 1 2

    C269 Do Not StuffDIS C269 Do Not StuffDIS 1 2

    R444 499R2F-2-GPR444 499R2F-2-GP1 2

    R385 Do Not StuffDYR385 Do Not StuffDY1 2

    R445 1KR2F-3-GPR445 1KR2F-3-GP12

    EC79 SC12P50V2JN-3GPDYEC79 SC12P50V2JN-3GPDY1 2

    R1890R0402-PAD

    R1890R0402-PAD1 2

    RN89

    Do Not Stuff

    DISRN89

    Do Not Stuff

    DIS

    12 3

    4

    R193 Do Not StuffDYR193 Do Not StuffDY1 2

    C664 Do Not StuffDIS C664 Do Not StuffDIS 1 2

    C651 Do Not StuffDIS C651 Do Not StuffDIS 1 2

    C660 Do Not StuffDIS C660 Do Not StuffDIS 1 2

    C648 Do Not StuffDIS C648 Do Not StuffDIS 1 2

    R1830R0402-PAD

    R1830R0402-PAD

    12

    RN85SRN0J-10-GP-U

    UMA RN85SRN0J-10-GP-U

    UMA12 3

    4

    R1950R0402-PAD

    R1950R0402-PAD1 2

    C760

    SC2D2U6D3V3MX-1-GP

    C760

    SC2D2U6D3V3MX-1-GP

    1

    2

    C672 Do Not StuffDIS C672 Do Not StuffDIS 1 2

    R162 Do Not Stuff

    DISR162 Do Not Stuff

    DIS1 2

    C288

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    C288

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    1

    2

    C222 Do Not StuffDIS C222 Do Not StuffDIS 1 2

    C671 Do Not StuffDIS C671 Do Not StuffDIS 1 2

    C324Do Not Stuff

    DY

    C324Do Not Stuff

    DY

    1

    2

    R4461KR2F-3-GPR4461KR2F-3-GP

    1

    2

    RN88

    Do Not StuffDIS

    RN88

    Do Not StuffDIS

    12 3

    4

    R44280D6R2F-L-GP

    R44280D6R2F-L-GP

    1

    2

    C686 Do Not StuffDIS C686 Do Not StuffDIS 1 2

    C757

    SCD01U16V2KX-3GP

    C757

    SCD01U16V2KX-3GP

    1

    2

    C229 Do Not StuffDIS C229 Do Not StuffDIS 1 2

    C666 Do Not StuffDIS C666 Do Not StuffDIS 1 2

    C756

    SCD01U16V2KX-3GP

    C756

    SCD01U16V2KX-3GP

    1

    2

    R556 Do Not StuffDYR556 Do Not StuffDY1 2

    C598 SCD1U10V2KX-5GPUMA C598 SCD1U10V2KX-5GPUMA 1 2

    R196 49D9R2F-GPR196 49D9R2F-GP12

    C680 Do Not StuffDIS C680 Do Not StuffDIS 1 2

    C592 SCD1U10V2KX-5GPUMA C592 SCD1U10V2KX-5GPUMA 1 2

    C663 Do Not StuffDIS C663 Do Not StuffDIS 1 2

    RN31

    SRN75J-1-GPUMA

    RN31

    SRN75J-1-GPUMA

    12345

    678

    TP189TPAD14-GP TP189TPAD14-GP 1

    C234 Do Not StuffDIS C234 Do Not StuffDIS 1 2

    R419

    33R2J-2-GP

    UMAR419

    33R2J-2-GP

    UMA1 2

    RN35

    SRN10KJ-5-GP

    RN35

    SRN10KJ-5-GP

    123

    4

    C679 Do Not StuffDIS C679 Do Not StuffDIS 1 2

    R61

    0R2J-2-GP

    UMAR61

    0R2J-2-GP

    UMA1 2

    R161 1K02R2F-1-GPUMA

    R161 1K02R2F-1-GPUMA1 2

    PM

    M

    I

    S

    C

    NC

    D

    D

    R

    C

    L

    K

    /

    C

    O

    N

    T

    R

    O

    L

    /

    C

    O

    M

    P

    E

    N

    S

    A

    T

    I

    O

    N

    C

    L

    K

    D

    M

    I

    CFGRSVD

    G

    R

    A

    P

    H

    I

    C

    S

    V

    I

    D

    M

    E

    H

    D

    A

    2 OF 10NB1B

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    PM

    M

    I

    S

    C

    NC

    D

    D

    R

    C

    L

    K

    /

    C

    O

    N

    T

    R

    O

    L

    /

    C

    O

    M

    P

    E

    N

    S

    A

    T

    I

    O

    N

    C

    L

    K

    D

    M

    I

    CFGRSVD

    G

    R

    A

    P

    H

    I

    C

    S

    V

    I

    D

    M

    E

    H

    D

    A

    2 OF 10NB1B

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    SA_CK_0 AP24SA_CK_1 AT21SB_CK_0 AV24

    SA_CK#_0 AR24SA_CK#_1 AR21SB_CK#_0 AU24

    SA_CKE_0 BC28SA_CKE_1 AY28SB_CKE_0 AY36SB_CKE_1 BB36

    SA_CS#_0 BA17SA_CS#_1 AY16SB_CS#_0 AV16SB_CS#_1 AR13

    SM_DRAMRST# BC36

    SA_ODT_0 BD17SA_ODT_1 AY17SB_ODT_0 BF15SB_ODT_1 AY13

    SM_RCOMP BG22SM_RCOMP# BH21

    CFG_18P29CFG_19R28

    CFG_2P25

    CFG_0T25CFG_1R25

    CFG_20T28

    CFG_3P20CFG_4P24CFG_5C25CFG_6N24CFG_7M24CFG_8E21CFG_9C23CFG_10C24CFG_11N21CFG_12P21CFG_13T21CFG_14R20CFG_15M20CFG_16L21CFG_17H21

    PM_SYNC#R29

    PM_EXT_TS#_0N33PM_EXT_TS#_1P32PWROKAT40RSTIN#AT11

    DPLL_REF_CLK B38DPLL_REF_CLK# A38

    DPLL_REF_SSCLK E41DPLL_REF_SSCLK# F41

    DMI_RXN_0 AE41DMI_RXN_1 AE37DMI_RXN_2 AE47DMI_RXN_3 AH39

    DMI_RXP_0 AE40DMI_RXP_1 AE38DMI_RXP_2 AE48DMI_RXP_3 AH40

    DMI_TXN_0 AE35DMI_TXN_1 AE43DMI_TXN_2 AE46DMI_TXN_3 AH42

    DMI_TXP_0 AD35DMI_TXP_1 AE44DMI_TXP_2 AF46DMI_TXP_3 AH43

    RESERVED#AL34AL34

    RESERVED#AN35AN35RESERVED#AK34AK34

    RESERVED#AM35AM35

    RESERVED#BG23BG23RESERVED#BF23BF23RESERVED#BH18BH18RESERVED#BF18BF18

    PM_DPRSTP#B7

    SB_CK_1 AU20

    SB_CK#_1 AV20

    RESERVED#AY21AY21

    RESERVED#AH9AH9RESERVED#AH10AH10RESERVED#AH12AH12RESERVED#AH13AH13

    RESERVED#M36M36RESERVED#N36N36RESERVED#R33R33RESERVED#T33T33

    GFX_VID_0 B33GFX_VID_1 B32GFX_VID_2 G33GFX_VID_3 F33

    GFX_VR_EN C34

    SM_RCOMP_VOH BF28SM_RCOMP_VOL BH28

    THERMTRIP#T20DPRSLPVRR32

    RESERVED#K12K12

    CL_CLK AH37CL_DATA AH36

    CL_PWROK AN36CL_RST# AJ35CL_VREF AH34

    NC#A47A47

    NC#BG48BG48NC#BF48BF48NC#BD48BD48NC#BC48BC48NC#BH47BH47NC#BG47BG47NC#BE47BE47NC#BH46BH46NC#BF46BF46NC#BG45BG45NC#BH44BH44NC#BH43BH43NC#BH6BH6NC#BH5BH5NC#BG4BG4

    SDVO_CTRLCLK G36SDVO_CTRLDATA E36

    CLKREQ# K36

    RESERVED#T24T24

    ICH_SYNC# H36

    TSATN# B12

    PEG_CLK# E43PEG_CLK F43

    NC#BH3BH3

    GFX_VID_4 E33

    RESERVED#B31B31

    DDPC_CTRLCLK N28

    NC#BF3BF3NC#BH2BH2NC#BG2BG2NC#BE2BE2NC#BG1BG1NC#BF1BF1NC#BD1BD1NC#BC1BC1NC#F1F1

    SM_VREF AV42SM_PWROK AR36

    SM_REXT BF17

    RESERVED#M1M1

    HDA_BCLK B28HDA_RST# B30

    HDA_SDI B29HDA_SDO C29

    HDA_SYNC A28

    DDPC_CTRLDATA M28

    RESERVED#B2B2

    RN30

    SRN150F-1-GPUMA

    RN30

    SRN150F-1-GPUMA

    1234 5

    678

    C600 SCD1U10V2KX-5GPUMA C600 SCD1U10V2KX-5GPUMA 1 2

    EC77 Do Not Stuff

    DYEC77 Do Not Stuff

    DY

    1 2

    RN83SRN0J-10-GP-U

    UMA RN83SRN0J-10-GP-U

    UMA 12 3

    4

    C589 SCD1U10V2KX-5GPUMA C589 SCD1U10V2KX-5GPUMA 1 2

    C245 Do Not StuffDIS C245 Do Not StuffDIS 1 2

    R178Do Not StuffDYR178Do Not StuffDY

    1

    2

    C220 Do Not StuffDIS C220 Do Not StuffDIS 1 2

    R555

    0R2J-2-GP

    UMAR555

    0R2J-2-GP

    UMA1 2

    C233 Do Not StuffDIS C233 Do Not StuffDIS 1 2

    R203100R2J-2-GP R203100R2J-2-GP12

    RN84SRN0J-10-GP-U

    UMA RN84SRN0J-10-GP-U

    UMA 12 3

    4

    R200499R2F-2-GPR200499R2F-2-GP

    1

    2

    C270 Do Not Stuff

    DY

    C270 Do Not Stuff

    DY12

    RN34

    SRN10KJ-5-GP

    UMARN34

    SRN10KJ-5-GP

    UMA123

    4

    RN82SRN0J-10-GP-U

    UMA RN82SRN0J-10-GP-U

    UMA 12 3

    4

    C259 Do Not StuffDIS C259 Do Not StuffDIS 1 2R44380D6R2F-L-GPR44380D6R2F-L-GP

    1

    2

    C658 Do Not StuffDIS C658 Do Not StuffDIS 1 2

    RN36

    SRN33J-4-GPUMA

    RN36

    SRN33J-4-GPUMA

    1234 5

    678

    R1920R0402-PAD

    R1920R0402-PAD1 2

    C335SC

    D1U

    10V2K

    X-4G

    P

    C335SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C253 Do Not StuffDIS C253 Do Not StuffDIS 1 2

    C654 Do Not StuffDIS C654 Do Not StuffDIS 1 2

    C561 SCD1U10V2KX-5GPUMA C561 SCD1U10V2KX-5GPUMA 1 2

    EC21 Do Not StuffDYEC21 Do Not StuffDY1 2

    C237 Do Not StuffDIS C237 Do Not StuffDIS 1 2

    EC78 Do Not StuffDYEC78 Do Not StuffDY1 2

    RN32

    SRN100KJ-6-GPUMA

    RN32

    SRN100KJ-6-GPUMA

    12 3

    4

    C605 SCD1U10V2KX-5GPUMA C605 SCD1U10V2KX-5GPUMA 1 2

    C266 Do Not StuffDIS C266 Do Not StuffDIS 1 2

    C228 Do Not StuffDIS C228 Do Not StuffDIS 1 2

    C265 Do Not StuffDIS C265 Do Not StuffDIS 1 2C239 Do Not StuffDIS C239 Do Not StuffDIS 1 2

    C568 SCD1U10V2KX-5GPUMA C568 SCD1U10V2KX-5GPUMA 1 2

    R38756R2J-4-GPR38756R2J-4-GP

    1

    2

    R1880R0402-PAD

    R1880R0402-PAD1 2

    C596 SCD1U10V2KX-5GPUMA C596 SCD1U10V2KX-5GPUMA 1 2

    LVDS

    P

    C

    I

    -

    E

    X

    P

    R

    E

    S

    S

    G

    R

    A

    P

    H

    I

    C

    S

    TVVGA

    3 OF 10NB1C

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    LVDS

    P

    C

    I

    -

    E

    X

    P

    R

    E

    S

    S

    G

    R

    A

    P

    H

    I

    C

    S

    TVVGA

    3 OF 10NB1C

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    PEG_COMPI T37PEG_COMPO T36

    PEG_RX#_0 H44PEG_RX#_1 J46PEG_RX#_2 L44PEG_RX#_3 L40PEG_RX#_4 N41PEG_RX#_5 P48PEG_RX#_6 N44PEG_RX#_7 T43PEG_RX#_8 U43PEG_RX#_9 Y43

    PEG_RX#_10 Y48PEG_RX#_11 Y36PEG_RX#_12 AA43PEG_RX#_13 AD37PEG_RX#_14 AC47PEG_RX#_15 AD39

    PEG_RX_0 H43PEG_RX_1 J44PEG_RX_2 L43PEG_RX_3 L41PEG_RX_4 N40PEG_RX_5 P47PEG_RX_6 N43PEG_RX_7 T42PEG_RX_8 U42PEG_RX_9 Y42

    PEG_RX_10 W47PEG_RX_11 Y37PEG_RX_12 AA42PEG_RX_13 AD36PEG_RX_14 AC48PEG_RX_15 AD40

    PEG_TX#_0 J41

    PEG_TX#_10 Y40

    PEG_TX#_3 M40PEG_TX#_4 M42PEG_TX#_5 R48PEG_TX#_6 N38PEG_TX#_7 T40PEG_TX#_8 U37PEG_TX#_9 U40

    PEG_TX#_1 M46

    PEG_TX#_11 AA46PEG_TX#_12 AA37PEG_TX#_13 AA40PEG_TX#_14 AD43PEG_TX#_15 AC46

    PEG_TX#_2 M47

    PEG_TX_0 J42PEG_TX_1 L46PEG_TX_2 M48PEG_TX_3 M39PEG_TX_4 M43PEG_TX_5 R47PEG_TX_6 N37PEG_TX_7 T39PEG_TX_8 U36PEG_TX_9 U39

    PEG_TX_10 Y39PEG_TX_11 Y46PEG_TX_12 AA36PEG_TX_13 AA39PEG_TX_14 AD42PEG_TX_15 AD46

    L_CTRL_CLKM32

    L_CTRL_DATAM33L_DDC_CLKK33L_DDC_DATAJ33

    L_VDD_ENM29LVDS_IBGC44LVDS_VBGB43LVDS_VREFHE37LVDS_VREFLE38LVDSA_CLK#C41LVDSA_CLKC40

    LVDSA_DATA#_0H47LVDSA_DATA#_1E46LVDSA_DATA#_2G40

    LVDSA_DATA_1D45LVDSA_DATA_2F40

    LVDSB_CLK#B37LVDSB_CLKA37

    LVDSB_DATA#_0A41LVDSB_DATA#_1H38LVDSB_DATA#_2G37

    LVDSB_DATA_1G38LVDSB_DATA_2F37

    L_BKLT_ENG32

    TVA_DACF25TVB_DACH25TVC_DACK25

    TV_RTNH24

    CRT_BLUEE28

    CRT_DDC_CLKH32CRT_DDC_DATAJ32

    CRT_GREENG28

    CRT_HSYNCJ29CRT_TVO_IREFE29

    CRT_REDJ28

    CRT_IRTNG29

    CRT_VSYNCL29

    LVDSA_DATA_0H48

    LVDSB_DATA_0B42

    L_BKLT_CTRLL32

    TV_DCONSEL_0C31TV_DCONSEL_1E32

    LVDSA_DATA#_3A40

    LVDSA_DATA_3B40

    LVDSB_DATA#_3J37

    LVDSB_DATA_3K37

    C657 Do Not StuffDIS C657 Do Not StuffDIS 1 2

    C759

    SC2D2U6D3V3MX-1-GP

    C759

    SC2D2U6D3V3MX-1-GP

    1

    2

    R2011KR2F-3-GPR2011KR2F-3-GP

    1

    2

    C264 Do Not StuffDIS C264 Do Not StuffDIS 1 2

    C213 Do Not StuffDIS C213 Do Not StuffDIS 1 2

    R4413K01R2F-3-GPR4413K01R2F-3-GP

    1

    2

  • 55

    4

    4

    3

    3

    2

    2

    1

    1

    D D

    C C

    B B

    A A

    M_A_DQ44

    M_A_DQ36

    M_A_DQ47

    M_A_DQ40M_A_DQ39

    M_A_DQ37

    M_A_DQ35M_A_DQ34

    M_A_DQ59

    M_A_DQ54M_A_DQ53

    M_A_DQ63

    M_A_DQ60M_A_DQ61

    M_A_DQ58

    M_A_DQ51

    M_A_DQ48

    M_A_DQ57

    M_A_DQ55

    M_A_DQ49M_A_DQ50

    M_A_DQ62

    M_A_DQ52

    M_A_DQ56

    M_A_DQ[63..0]M_A_DQ0M_A_DQ1M_A_DQ2M_A_DQ3

    M_A_DQ7

    M_A_DQ5M_A_DQ4

    M_A_DQ6

    M_A_DQ12

    M_A_DQ10

    M_A_DQ13

    M_A_DQ9M_A_DQ8

    M_A_DQ11

    M_A_DQ15M_A_DQ14

    M_A_DQ27

    M_A_DQ25

    M_A_DQ20M_A_DQ19

    M_A_DQ30

    M_A_DQ18

    M_A_DQ16

    M_A_DQ28

    M_A_DQ17

    M_A_DQ26

    M_A_DQ31

    M_A_DQ29

    M_A_DQ22M_A_DQ23M_A_DQ24

    M_A_DQ21

    M_A_DQ46

    M_A_DQ42

    M_A_DQ38

    M_A_DQ32

    M_A_DQ45

    M_A_DQ33

    M_A_DQ43

    M_A_DQ41

    M_A_DQS#3

    M_A_DQS#0

    M_A_DQS#6

    M_A_DQS#4

    M_A_DQS#1M_A_DQS#2

    M_A_DQS#5

    M_A_DQS#7

    M_A_A0

    M_A_A6

    M_A_A3

    M_A_A5

    M_A_A7

    M_A_A1M_A_A2

    M_A_A4

    M_A_A10

    M_A_A8

    M_A_A13

    M_A_A11

    M_A_A9

    M_A_A12

    M_A_DQS#[7..0]

    M_A_A[14..0]

    M_A_DM[7..0]M_A_DM0M_A_DM1M_A_DM2M_A_DM3M_A_DM4M_A_DM5M_A_DM6M_A_DM7

    M_A_DQS[7..0]

    M_A_DQS5

    M_A_DQS7

    M_A_DQS2M_A_DQS3M_A_DQS4

    M_A_DQS0M_A_DQS1

    M_A_DQS6

    M_A_A14

    M_B_DQ0M_B_DQ1M_B_DQ2M_B_DQ3M_B_DQ4M_B_DQ5M_B_DQ6M_B_DQ7M_B_DQ8M_B_DQ9M_B_DQ10M_B_DQ11

    M_B_DQ15

    M_B_DQ13M_B_DQ12

    M_B_DQ14

    M_B_DQ16M_B_DQ17M_B_DQ18M_B_DQ19

    M_B_DQ23

    M_B_DQ21M_B_DQ20

    M_B_DQ22

    M_B_DQ28

    M_B_DQ26

    M_B_DQ29

    M_B_DQ25

    M_B_DQ31

    M_B_DQ24

    M_B_DQ27

    M_B_DQ30

    M_B_DQ32M_B_DQ33M_B_DQ34M_B_DQ35

    M_B_DQ39

    M_B_DQ37M_B_DQ36

    M_B_DQ38

    M_B_DQ44

    M_B_DQ42

    M_B_DQ45

    M_B_DQ41

    M_B_DQ47

    M_B_DQ40

    M_B_DQ43

    M_B_DQ46

    M_B_DQ48M_B_DQ49M_B_DQ50M_B_DQ51

    M_B_DQ55

    M_B_DQ53M_B_DQ52

    M_B_DQ54

    M_B_DQ60

    M_B_DQ58

    M_B_DQ61

    M_B_DQ57

    M_B_DQ63

    M_B_DQ56

    M_B_DQ59

    M_B_DQ62

    M_B_DQ[63..0]

    M_B_DQS#[7..0]M_B_DQS#0M_B_DQS#1M_B_DQS#2M_B_DQS#3M_B_DQS#4M_B_DQS#5M_B_DQS#6M_B_DQS#7

    M_B_DQS[7..0]M_B_DQS0M_B_DQS1M_B_DQS2M_B_DQS3M_B_DQS4M_B_DQS5M_B_DQS6M_B_DQS7

    M_B_A12

    M_B_A9

    M_B_A11

    M_B_A13

    M_B_A8

    M_B_A10

    M_B_A[14..0]M_B_A0M_B_A1M_B_A2M_B_A3M_B_A4M_B_A5M_B_A6M_B_A7

    M_B_DM[7..0]M_B_DM0M_B_DM1M_B_DM2M_B_DM3M_B_DM4M_B_DM5M_B_DM6M_B_DM7

    M_B_A14

    M_A_DQ[63..0]16M_A_BS#0 16,18M_A_BS#1 16,18M_A_BS#2 16,18

    M_A_CAS# 16,18

    M_A_DQS#[7..0] 16

    M_A_DQS[7..0] 16

    M_A_A[14..0] 16,18

    M_A_DM[7..0] 16

    M_A_RAS# 16,18

    M_A_WE# 16,18

    M_B_DQ[63..0]17M_B_BS#0 17,18M_B_BS#1 17,18M_B_BS#2 17,18

    M_B_CAS# 17,18M_B_RAS# 17,18

    M_B_WE# 17,18

    M_B_DQS#[7..0] 17

    M_B_DQS[7..0] 17

    M_B_A[14..0] 17,18

    M_B_DM[7..0] 17

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (3 of 6)

    8 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (3 of 6)

    8 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (3 of 6)

    8 60Thursday, July 02, 2009JV71 -2

    D

    D

    R

    S

    Y

    S

    T

    E

    M

    M

    E

    M

    O

    R

    Y

    A

    4 OF 10NB1D

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    D

    D

    R

    S

    Y

    S

    T

    E

    M

    M

    E

    M

    O

    R

    Y

    A

    4 OF 10NB1D

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    SA_DQ_0AJ38SA_DQ_1AJ41

    SA_DQ_10AU40SA_DQ_11AT38SA_DQ_12AN41SA_DQ_13AN39SA_DQ_14AU44SA_DQ_15AU42SA_DQ_16AV39SA_DQ_17AY44SA_DQ_18BA40SA_DQ_19BD43

    SA_DQ_2AN38

    SA_DQ_20AV41SA_DQ_21AY43SA_DQ_22BB41SA_DQ_23BC40SA_DQ_24AY37SA_DQ_25BD38SA_DQ_26AV37SA_DQ_27AT36SA_DQ_28AY38SA_DQ_29BB38

    SA_DQ_3AM38

    SA_DQ_30AV36SA_DQ_31AW36SA_DQ_32BD13SA_DQ_33AU11SA_DQ_34BC11SA_DQ_35BA12SA_DQ_36AU13SA_DQ_37AV13SA_DQ_38BD12SA_DQ_39BC12

    SA_DQ_4AJ36

    SA_DQ_40BB9SA_DQ_41BA9SA_DQ_42AU10SA_DQ_43AV9SA_DQ_44BA11SA_DQ_45BD9SA_DQ_46AY8SA_DQ_47BA6SA_DQ_48AV5SA_DQ_49AV7

    SA_DQ_5AJ40

    SA_DQ_50AT9SA_DQ_51AN8SA_DQ_52AU5SA_DQ_53AU6SA_DQ_54AT5SA_DQ_55AN10SA_DQ_56AM11SA_DQ_57AM5SA_DQ_58AJ9SA_DQ_59AJ8

    SA_DQ_6AM44

    SA_DQ_60AN12SA_DQ_61AM13SA_DQ_62AJ11SA_DQ_63AJ12

    SA_DQ_7AM42SA_DQ_8AN43SA_DQ_9AN44

    SA_BS_0 BD21SA_BS_1 BG18SA_BS_2 AT25

    SA_CAS# BD20

    SA_DM_0 AM37SA_DM_1 AT41SA_DM_2 AY41SA_DM_3 AU39SA_DM_4 BB12SA_DM_5 AY6SA_DM_6 AT7

    SA_DQS_0 AJ44SA_DQS_1 AT44SA_DQS_2 BA43SA_DQS_3 BC37SA_DQS_4 AW12SA_DQS_5 BC8SA_DQS_6 AU8SA_DQS_7 AM7

    SA_DM_7 AJ5

    SA_DQS#_0 AJ43SA_DQS#_1 AT43SA_DQS#_2 BA44SA_DQS#_3 BD37SA_DQS#_4 AY12SA_DQS#_5 BD8SA_DQS#_6 AU9SA_DQS#_7 AM8

    SA_MA_0 BA21SA_MA_1 BC24

    SA_MA_10 BC21SA_MA_11 BG26SA_MA_12 BH26SA_MA_13 BH17

    SA_MA_2 BG24SA_MA_3 BH24SA_MA_4 BG25SA_MA_5 BA24SA_MA_6 BD24SA_MA_7 BG27SA_MA_8 BF25SA_MA_9 AW24

    SA_RAS# BB20

    SA_WE# AY20

    SA_MA_14 AY25

    D

    D

    R

    S

    Y

    S

    T

    E

    M

    M

    E

    M

    O

    R

    Y

    B

    5 OF 10NB1E

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    D

    D

    R

    S

    Y

    S

    T

    E

    M

    M

    E

    M

    O

    R

    Y

    B

    5 OF 10NB1E

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    SB_DQ_0AK47SB_DQ_1AH46

    SB_DQ_10BA48SB_DQ_11AY48SB_DQ_12AT47SB_DQ_13AR47SB_DQ_14BA47SB_DQ_15BC47SB_DQ_16BC46SB_DQ_17BC44SB_DQ_18BG43SB_DQ_19BF43

    SB_DQ_2AP47

    SB_DQ_20BE45SB_DQ_21BC41SB_DQ_22BF40SB_DQ_23BF41SB_DQ_24BG38SB_DQ_25BF38SB_DQ_26BH35SB_DQ_27BG35SB_DQ_28BH40SB_DQ_29BG39

    SB_DQ_3AP46

    SB_DQ_30BG34SB_DQ_31BH34SB_DQ_32BH14SB_DQ_33BG12SB_DQ_34BH11SB_DQ_35BG8SB_DQ_36BH12SB_DQ_37BF11SB_DQ_38BF8SB_DQ_39BG7

    SB_DQ_4AJ46

    SB_DQ_40BC5SB_DQ_41BC6SB_DQ_42AY3SB_DQ_43AY1SB_DQ_44BF6SB_DQ_45BF5SB_DQ_46BA1SB_DQ_47BD3SB_DQ_48AV2SB_DQ_49AU3

    SB_DQ_5AJ48

    SB_DQ_50AR3SB_DQ_51AN2SB_DQ_52AY2SB_DQ_53AV1SB_DQ_54AP3SB_DQ_55AR1SB_DQ_56AL1SB_DQ_57AL2SB_DQ_58AJ1SB_DQ_59AH1

    SB_DQ_6AM48

    SB_DQ_60AM2SB_DQ_61AM3SB_DQ_62AH3SB_DQ_63AJ3

    SB_DQ_7AP48SB_DQ_8AU47SB_DQ_9AU46

    SB_BS_0 BC16SB_BS_1 BB17SB_BS_2 BB33

    SB_CAS# BG16

    SB_DM_0 AM47SB_DM_1 AY47SB_DM_2 BD40SB_DM_3 BF35SB_DM_4 BG11SB_DM_5 BA3SB_DM_6 AP1SB_DM_7 AK2

    SB_DQS_0 AL47SB_DQS_1 AV48SB_DQS_2 BG41SB_DQS_3 BG37SB_DQS_4 BH9SB_DQS_5 BB2SB_DQS_6 AU1SB_DQS_7 AN6

    SB_DQS#_0 AL46SB_DQS#_1 AV47SB_DQS#_2 BH41SB_DQS#_3 BH37SB_DQS#_4 BG9SB_DQS#_5 BC2SB_DQS#_6 AT2SB_DQS#_7 AN5

    SB_MA_0 AV17SB_MA_1 BA25

    SB_MA_10 BB16SB_MA_11 AW33SB_MA_12 AY33SB_MA_13 BH15

    SB_MA_2 BC25SB_MA_3 AU25SB_MA_4 AW25SB_MA_5 BB28SB_MA_6 AU28SB_MA_7 AW28SB_MA_8 AT33SB_MA_9 BD33

    SB_MA_14 AU33

    SB_RAS# AU17

    SB_WE# BF14

  • 55

    4

    4

    3

    3

    2

    2

    1

    1

    D D

    C C

    B B

    A A

    SM_LF6_GMCHSM_LF7_GMCH

    SM_LF1_GMCHSM_LF2_GMCHSM_LF3_GMCHSM_LF4_GMCHSM_LF5_GMCH

    VCC_AXG_SENSEVSS_AXG_SENSE

    1D8V_S3

    1D05V_S0

    1D05V_S0

    1D8V_S3

    VCC_GFXCORE

    VCC_GFXCORE

    VCC_GFXCORE

    VCC_AXG_SENSE46VSS_AXG_SENSE46

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (4 of 6)

    9 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (4 of 6)

    9 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (4 of 6)

    9 60Thursday, July 02, 2009JV71 -2

    FOR VCC SM

    Place on the Edge

    FOR VCC CORE

    Coupling CAP 370 mils from the Edge

    Coupling CAP

    U60(ISL6263ACRZ-T-GP) place near Cantiga

    place near Cantiga

    Place on the Edge Coupling CAP

    C308

    SC

    4D7U

    6D3V

    3KX

    -GP

    C308

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    P

    O

    W

    E

    R

    V

    C

    C

    S

    M

    V

    C

    C

    G

    F

    X

    V

    C

    C

    G

    F

    X

    N

    C

    T

    F

    V

    C

    C

    S

    M

    L

    F

    7 OF 10NB1G

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    P

    O

    W

    E

    R

    V

    C

    C

    S

    M

    V

    C

    C

    G

    F

    X

    V

    C

    C

    G

    F

    X

    N

    C

    T

    F

    V

    C

    C

    S

    M

    L

    F

    7 OF 10NB1G

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    VCC_SMAY32

    VCC_SMBF31

    VCC_SMAW29

    VCC_SMBD32VCC_SMBC32VCC_SMBB32VCC_SMBA32

    VCC_SMAW32VCC_SMAV32VCC_SMAU32VCC_SMAT32VCC_SMAR32VCC_SMAP32VCC_SMAN32VCC_SMBH31VCC_SMBG31

    VCC_SMAN33

    VCC_SMBG30VCC_SMBH29VCC_SMBG29VCC_SMBF29VCC_SMBD29VCC_SMBC29VCC_SMBB29VCC_SMBA29VCC_SMAY29

    VCC_SMBH32

    VCC_SMAV29VCC_SMAU29VCC_SMAT29VCC_SMAR29

    VCC_AXG_NCTF V23VCC_AXG_NCTF AM21VCC_AXG_NCTF AL21VCC_AXG_NCTF AK21VCC_AXG_NCTF W21VCC_AXG_NCTF V21VCC_AXG_NCTF U21VCC_AXG_NCTF AM20VCC_AXG_NCTF AK20VCC_AXG_NCTF W20

    VCC_AXG_NCTF V28

    VCC_AXG_NCTF U20VCC_AXG_NCTF AM19VCC_AXG_NCTF AL19VCC_AXG_NCTF AK19VCC_AXG_NCTF AJ19VCC_AXG_NCTF AH19VCC_AXG_NCTF AG19VCC_AXG_NCTF AF19VCC_AXG_NCTF AE19VCC_AXG_NCTF AB19

    VCC_AXG_NCTF W26

    VCC_AXG_NCTF AA19VCC_AXG_NCTF Y19VCC_AXG_NCTF W19VCC_AXG_NCTF V19VCC_AXG_NCTF U19VCC_AXG_NCTF AM17VCC_AXG_NCTF AK17VCC_AXG_NCTF AH17VCC_AXG_NCTF AG17VCC_AXG_NCTF AF17

    VCC_AXG_NCTF V26

    VCC_AXG_NCTF AE17VCC_AXG_NCTF AC17VCC_AXG_NCTF AB17VCC_AXG_NCTF Y17VCC_AXG_NCTF W17VCC_AXG_NCTF V17VCC_AXG_NCTF AM16VCC_AXG_NCTF AL16VCC_AXG_NCTF AK16VCC_AXG_NCTF AJ16

    VCC_AXG_NCTF W25

    VCC_AXG_NCTF AH16VCC_AXG_NCTF AG16VCC_AXG_NCTF AF16VCC_AXG_NCTF AE16VCC_AXG_NCTF AC16VCC_AXG_NCTF AB16VCC_AXG_NCTF AA16

    VCC_AXG_NCTF V25VCC_AXG_NCTF W24VCC_AXG_NCTF V24VCC_AXG_NCTF W23

    VCC_SMAP29

    VCC_SMBG32VCC_SMBF32

    VCC_AXG_NCTF W28VCC_SMAP33

    VCC_AXGY26VCC_AXGAE25VCC_AXGAB25VCC_AXGAA25VCC_AXGAE24VCC_AXGAC24VCC_AXGAA24VCC_AXGY24VCC_AXGAE23VCC_AXGAC23VCC_AXGAB23VCC_AXGAA23VCC_AXGAJ21VCC_AXGAG21VCC_AXGAE21VCC_AXGAC21VCC_AXGAA21VCC_AXGY21VCC_AXGAH20VCC_AXGAF20VCC_AXGAE20VCC_AXGAC20VCC_AXGAB20VCC_AXGAA20VCC_AXGT17

    VCC_AXGAM15VCC_AXGAL15

    VCC_AXGAJ15VCC_AXGAH15

    VCC_AXGAF15VCC_AXGAB15

    VCC_SM_LF AV44VCC_SM_LF BA37VCC_SM_LF AM40VCC_SM_LF AV21VCC_SM_LF AY5VCC_SM_LF AM10VCC_SM_LF BB13

    VCC_AXGT16

    VCC_AXGAG15

    VCC_AXGAA15VCC_AXGY15VCC_AXGV15VCC_AXGU15VCC_AXGAN14VCC_AXGAM14VCC_AXGU14VCC_AXGT14

    VCC_AXG_SENSEAJ14VSS_AXG_SENSEAH14

    VCC_AXG_NCTF Y16VCC_AXG_NCTF W16VCC_AXG_NCTF V16VCC_AXG_NCTF U16

    VCC_SM/NCBA36VCC_SM/NCBB24VCC_SM/NCBD16VCC_SM/NCBB21VCC_SM/NCAW16VCC_SM/NCAW13VCC_SM/NCAT13

    VCC_AXGAE15

    C291

    SC

    4D7U

    6D3V

    3KX

    -GP

    C291

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C

    3

    2

    0

    S

    C

    1

    U

    1

    0

    V

    3

    K

    X

    -

    3

    G

    P

    C

    3

    2

    0

    S

    C

    1

    U

    1

    0

    V

    3

    K

    X

    -

    3

    G

    P

    1

    2

    C279Do N

    ot Stuff

    DY

    C279Do N

    ot Stuff

    DY

    1

    2

    C348

    SC

    4D7U

    6D3V

    3KX

    -GP

    C348

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C278SC

    D1U

    10V2K

    X-4G

    P

    UMA

    C278SC

    D1U

    10V2K

    X-4G

    P

    UMA

    1

    2

    C271

    UMA

    SC

    1U10V

    3ZY-6G

    P

    C271

    UMA

    SC

    1U10V

    3ZY-6G

    P

    1

    2

    C284

    Do N

    ot Stuff

    DY

    C284

    Do N

    ot Stuff

    DY

    1

    2

    C281

    SC

    D22U

    10V2K

    X-1G

    P

    C281

    SC

    D22U

    10V2K

    X-1G

    P

    1

    2

    C

    2

    9

    8

    SC

    D47U

    16V3ZY

    -3GP

    C

    2

    9

    8

    SC

    D47U

    16V3ZY

    -3GP

    1

    2

    C249

    Do N

    ot Stuff

    DY

    C249

    Do N

    ot Stuff

    DY

    1

    2

    C361

    Do N

    ot StuffDY

    C361

    Do N

    ot StuffDY

    1

    2

    C329

    S

    C

    D

    2

    2

    U

    1

    0

    V

    2

    K

    X

    -

    1

    G

    P

    C329

    S

    C

    D

    2

    2

    U

    1

    0

    V

    2

    K

    X

    -

    1

    G

    P

    1

    2

    R438

    Do Not StuffDIS

    R438

    Do Not StuffDIS1 2

    C

    2

    9

    0

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    C

    2

    9

    0

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    1

    2

    C282

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    C282

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    1

    2

    C349

    SC

    4D7U

    6D3V

    3KX

    -GP

    C349

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C323

    SC

    4D7U

    6D3V

    3KX

    -GP

    C323

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C275

    Do N

    ot Stuff

    DY

    C275

    Do N

    ot Stuff

    DY

    1

    2

    C347

    S

    C

    D

    2

    2

    U

    1

    0

    V

    2

    K

    X

    -

    1

    G

    P

    C347

    S

    C

    D

    2

    2

    U

    1

    0

    V

    2

    K

    X

    -

    1

    G

    P

    1

    2

    C273

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    C273

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    1

    2

    C285

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    C285

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    1

    2

    C280

    SC

    D1U

    10V2K

    X-4G

    P

    C280

    SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    R439Do Not Stuff

    DIS

    R439Do Not Stuff

    DIS

    1 2

    C292

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    C292

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    1

    2

    TC22

    D

    o

    N

    o

    t

    S

    t

    u

    f

    f

    Do Not Stuff

    DY

    TC22

    D

    o

    N

    o

    t

    S

    t

    u

    f

    f

    Do Not Stuff

    DY

    1

    2

    C276

    Do N

    ot Stuff

    DY

    C276

    Do N

    ot Stuff

    DY

    1

    2

    C367

    SC

    D1U

    10V2K

    X-4G

    P

    C367

    SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C289

    SC

    D1U

    10V2K

    X-4G

    P

    C289

    SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C

    3

    5

    0

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    C

    3

    5

    0

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    1

    2

    C612

    SC

    10U6D

    3V5M

    X-3G

    P

    C612

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C274

    SC

    4D7U

    6D3V

    3KX

    -GP

    C274

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C287

    SC

    4D7U

    6D3V

    3KX

    -GP

    C287

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    2

    1 C286

    S

    C

    D

    4

    7

    U

    6

    D

    3

    V

    2

    K

    X

    -

    G

    P

    UMA

    2

    1 C286

    S

    C

    D

    4

    7

    U

    6

    D

    3

    V

    2

    K

    X

    -

    G

    P

    UMA

    TC18

    ST220U

    2D5V

    BM

    -2GP

    DY

    TC18

    ST220U

    2D5V

    BM

    -2GP

    DY

    1

    2

    C302

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    C302

    SC

    4D7U

    6D3V

    3KX

    -GP

    UMA

    1

    2

    C359

    SC

    D1U

    10V2K

    X-4G

    P

    C359

    SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C277

    Do N

    ot Stuff

    DY

    C277

    Do N

    ot Stuff

    DY

    1

    2

    C

    3

    4

    0

    S

    C

    1

    U

    1

    0

    V

    3

    K

    X

    -

    3

    G

    P

    C

    3

    4

    0

    S

    C

    1

    U

    1

    0

    V

    3

    K

    X

    -

    3

    G

    P

    1

    2

    P

    O

    W

    E

    R

    V

    C

    C

    N

    C

    T

    F

    V

    C

    C

    C

    O

    R

    E

    6 OF 10NB1F

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    P

    O

    W

    E

    R

    V

    C

    C

    N

    C

    T

    F

    V

    C

    C

    C

    O

    R

    E

    6 OF 10NB1F

    CANTIGA-GM-GP-U-NF71.CNTIG.00U

    VCC_NCTF AM32

    VCC_NCTF AC30

    VCC_NCTF AJ29

    VCC_NCTF AK25

    VCC_NCTF AA32VCC_NCTF Y32VCC_NCTF W32VCC_NCTF U32VCC_NCTF AM30VCC_NCTF AL30VCC_NCTF AK30

    VCC_NCTF AG30VCC_NCTF AF30VCC_NCTF AE30

    VCC_NCTF AL32

    VCC_NCTF W30VCC_NCTF V30

    VCC_NCTF AK32

    VCC_NCTF AH29VCC_NCTF AG29VCC_NCTF AE29

    VCC_NCTF AL28VCC_NCTF AK28VCC_NCTF AL26VCC_NCTF AK26

    VCC_NCTF AJ32

    VCC_NCTF AK24

    VCC_NCTF AH32VCC_NCTF AG32VCC_NCTF AE32VCC_NCTF AC32

    VCC_NCTF AC29VCC_NCTF AA29VCC_NCTF Y29VCC_NCTF W29VCC_NCTF V29

    VCC_NCTF U30VCC_NCTF AL29VCC_NCTF AK29

    VCC_NCTF AH30

    VCC_NCTF AB30VCC_NCTF AA30VCC_NCTF Y30

    VCCAG34VCCAC34VCCAB34VCCAA34VCCY34VCCV34VCCU34VCCAM33VCCAK33VCCAJ33VCCAG33VCCAF33

    VCCAE33VCCAC33VCCAA33VCCY33VCCW33VCCV33VCCU33VCCAH28VCCAF28VCCAC28VCCAA28VCCAJ26VCCAG26VCCAE26VCCAC26VCCAH25VCCAG25VCCAF25VCCAG24VCCAJ23VCCAH23VCCAF23

    VCCT32

    VCC_NCTF AK23

  • 55

    4

    4

    3

    3

    2

    2

    1

    1

    D D

    C C

    B B

    A A

    1D05V_HV_S0

    1D05V_RUN_PEGPLL

    1D5VRUN_QDAC

    1D05V_RUN_PEGPLL

    M_VCCA_MPLL

    M_VCCA_HPLL

    1D05V_SM_CK

    1D05V_SM

    1D05V_RUN_PEGPLL

    VCCA_PEG_BG

    VTTLF1VTTLF2VTTLF3

    M_VCCA_DAC_BG

    3D3V_CRTDAC_S0

    M_VCCA_HPLL

    M_VCCA_MPLL

    M_VCCA_DPLLA

    M_VCCA_DPLLB

    M_VCCA_DPLLA

    M_VCCA_DPLLB

    1D8V_SUS_DLVDS

    3D3V_S0_DAC_1

    VCC_HDA

    1D5VRUN_QDAC

    1D5VRUN_TVDAC

    1D5VRUN_TVDAC

    1D05V_SM

    1D05V_SUS_MCH_PLL2

    1D05V_S0

    1D05V_S0

    3D3V_HV_S0

    1D8V_S3

    1D05V_S0

    1D05V_S0

    3D3V_S0 3D3V_HV_S0

    1D05V_SUS_MCH_PLL2

    1D5V_LDO_S0

    1D5V_LDO_S0

    1D05V_S0

    1D05V_S0

    1D05V_S0

    1D05V_S0

    1D05V_S0

    3D3V_S0_DAC

    3D3V_S0_DAC

    1D8V_TXLVDS_S0

    1D05V_S0

    3D3V_S0_DAC

    3D3V_S0_DAC

    1D5V_LDO_S0

    1D8V_TXLVDS_S0

    1D8V_SUS_SM_CK

    1D8V_S3

    1D8V_S3

    5V_S0

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (5 of 6)

    10 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (5 of 6)

    10 60Thursday, July 02, 2009JV71 -2

    Title

    Size Document Number Rev

    Date: Sheet of

    Wistron Corporation21F, 88, Sec.1, Hsin Tai Wu Rd., Hsichih,Taipei Hsien 221, Taiwan, R.O.C.

    Cantiga (5 of 6)

    10 60Thursday, July 02, 2009JV71 -2

    200mA

    852mA

    456mA157.2mA50mA

    106mA

    1782mA

    180ohm 100MHz

    220ohm 100MHz

    50mA

    120ohm 100MHz

    480mA

    24mA

    24mA139.2mA

    322mA

    73mA

    5mA

    13.2mA

    65mA

    65mA

    Imax = 300 mA

    60.3mA

    58.7mA

    119mA

    SB 1202

    C642

    Do N

    ot Stuff DY

    C642

    Do N

    ot Stuff DY

    1

    2

    R10610R2J-2-GP

    R10610R2J-2-GP

    12

    C175

    S

    C

    4

    D

    7

    U

    6

    D

    3

    V

    3

    K

    X

    -

    G

    P

    UMA

    C175

    S

    C

    4

    D

    7

    U

    6

    D

    3

    V

    3

    K

    X

    -

    G

    P

    UMA

    1

    2

    C272

    Do N

    ot StuffDY

    C272

    Do N

    ot StuffDY

    1

    2

    R390Do Not StuffDY

    R390Do Not StuffDY

    1

    2

    C622

    SC

    10U6D

    3V5M

    X-3G

    P

    UMA

    C622

    SC

    10U6D

    3V5M

    X-3G

    P

    UMA

    1

    2

    R3760R0603-PADR3760R0603-PAD

    1 2

    2

    1

    C

    6

    2

    0

    S

    C

    D

    4

    7

    U

    6

    D

    3

    V

    2

    K

    X

    -

    G

    P

    2

    1

    C

    6

    2

    0

    S

    C

    D

    4

    7

    U

    6

    D

    3

    V

    2

    K

    X

    -

    G

    P

    C712

    SC

    D1U

    10V2K

    X-4G

    P

    C712

    SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    R1530R0603-PADR1530R0603-PAD

    1 2

    C174SC

    D1U

    10V2K

    X-4G

    P

    C174SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C752

    SC

    4D7U

    6D3V

    3KX

    -GP

    C752

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C207

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    UMAC207

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    UMA

    1

    2

    C754

    SC

    4D7U

    6D3V

    3KX

    -GP

    C754

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C753

    Do N

    ot StuffDY

    C753

    Do N

    ot StuffDY

    1

    2

    R3770R0603-PADR3770R0603-PAD

    1 2

    R167Do Not Stuff

    DY

    R167Do Not Stuff

    DY

    1

    2

    C

    6

    7

    0

    S

    C

    4

    D

    7

    U

    6

    D

    3

    V

    3

    K

    X

    -

    G

    P

    C

    6

    7

    0

    S

    C

    4

    D

    7

    U

    6

    D

    3

    V

    3

    K

    X

    -

    G

    P

    1

    2

    R2020R0603-PADR2020R0603-PAD

    12

    C715

    SC

    D1U

    10V2K

    X-4G

    P

    C715

    SC

    D1U

    10V2K

    X-4G

    P

    1

    2

    C634

    SC

    1U10V

    3KX

    -3GP

    UMA

    C634

    SC

    1U10V

    3KX

    -3GP

    UMA

    1

    2

    R383Do N

    ot Stuff

    DYR383D

    o Not S

    tuff

    DY

    1

    2

    R4300R0603-PADR4300R0603-PAD

    1

    2

    C309

    SC

    1U10V

    3KX

    -3GP

    C309

    SC

    1U10V

    3KX

    -3GP

    1

    2

    C283

    SC

    4D7U

    6D3V

    3KX

    -GP

    C283

    SC

    4D7U

    6D3V

    3KX

    -GP

    1

    2

    C694

    Do N

    ot Stuff

    DYC694

    Do N

    ot Stuff

    DY

    1

    2

    C

    2

    6

    3

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    C

    2

    6

    3

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    1

    2

    C691SCD1U10V2KX-4GPC691SCD1U10V2KX-4GP

    1

    2

    C

    6

    2

    1

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    C

    6

    2

    1

    S

    C

    D

    1

    U

    1

    0

    V

    2

    K

    X

    -

    4

    G

    P

    1

    2

    R400Do Not StuffDY

    R400Do Not StuffDY

    1

    2

    R3750R0603-PADR3750R0603-PAD

    1 2

    C704SCD1U10V2KX-4GPC704SCD1U10V2KX-4GP

    1

    2

    R386Do Not Stuff DY

    R386Do Not Stuff DY

    12

    C758

    Do N

    ot Stuff

    DYC758

    Do N

    ot Stuff

    DY

    1

    2

    C313

    SC

    2D2U

    6D3V

    3MX

    -1-GP

    C313

    SC

    2D2U

    6D3V

    3MX

    -1-GP

    1

    2

    R3990R0603-PADR3990R0603-PAD

    12

    C

    6

    6

    2

    S

    C

    2

    D

    2

    U

    6

    D

    3

    V

    3

    M

    X

    -

    1

    -

    G

    P

    C

    6

    6

    2

    S

    C

    2

    D

    2

    U

    6

    D

    3

    V

    3

    M

    X

    -

    1

    -

    G

    P

    1

    2

    L21

    FCM1608KF-1-GP

    2nd = 68.00248.06168.00217.161

    L21

    FCM1608KF-1-GP

    2nd = 68.00248.06168.00217.161

    1 2

    C206

    SC

    D01U

    16V2K

    X-3G

    P

    UMA

    C206

    SC

    D01U

    16V2K

    X-3G

    P

    UMA

    1

    2

    BC2

    SC

    1U16V

    3ZY-G

    P

    UMABC2

    SC

    1U16V

    3ZY-G

    P

    UMA

    1

    2

    BC1Do N

    ot StuffDY

    BC1Do N

    ot StuffDY

    1

    2

    C722

    SC

    10U6D

    3V5M

    X-3G

    P

    C722

    SC

    10U6D

    3V5M

    X-3G

    P

    1

    2

    C305

    SC

    1U10V

    3KX

    -3GP

    C305

    SC

    1U10V

    3KX

    -3GP

    1

    2

    U13

    G9091-330T11U-GP

    UMA

    74.09091.J3F

    2nd = 74.09198.Q7F

    U13

    G9091-330T11U-GP

    UMA

    74.09091.J3F

    2nd = 74.09198.Q7F

    VIN1GND2EN3 NC#4 4

    VOUT 5

    R159Do N

    ot Stuff

    DIS

    R159Do N

    ot Stuff

    DIS

    1

    2

    C625

    S

    C

    D

    0

    1

    U

    1

    6

    V

    2

    K

    X

    -

    3

    G

    PUMAC625

    S

    C

    D

    0

    1

    U

    1

    6

    V

    2

    K

    X

    -

    3

    G

    PUMA 12

    C295

    Do N

    ot StuffDY

    C295

    Do N

    ot StuffDY

    1

    2

    R168Do Not StuffDY

    R168Do Not StuffDY

    1

    2

    C235SC

    D1U

    10V2K

    X-4G

    P

    UMAC235S

    CD

    1U10V

    2KX

    -4GP

    UMA

    1

    2

    C624

    SC

    D1U

    10V2K

    X-4G


Recommended