+ All Categories
Home > Documents > Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and...

Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and...

Date post: 11-Jan-2016
Category:
Upload: rodney-day
View: 213 times
Download: 0 times
Share this document with a friend
Popular Tags:
25
Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International Symposium on Computer Architecture, June 2008. Beijing,
Transcript
Page 1: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N.

ChoudharyNorthwestern University, EECS

International Symposium on Computer Architecture, June 2008. Beijing, China.

Page 2: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Findings/Contributions1. User satisfaction is correlated to CPU performance

2. User satisfaction is non-linear, application-dependent, and user-dependent

1. We can use hardware performance counters to learn and leverage user satisfaction to optimize power consumption while maintaining satisfaction

Claim: Any optimization ultimately exists to satisfy the end userClaim: Current architectures largely ignore the individual user

Page 3: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

22Architectural trade-offsexposed to the user

11User-centric applications

33Optimization opportunityUser variation = optimization potential

Page 4: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Use

r S

atis

fact

ion

Your favorite metric(IPS, throughput, etc.)

????

Page 5: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Performance Level

????

Page 6: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Performance Level

Leverage knowledgefor optimization

Leverage knowledgefor optimization Learn relationship between user

satisfaction and hardware performanceLearn relationship between user

satisfaction and hardware performance

Page 7: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Hardware performance counters are supported on all modern processors

Low overhead Non-intrusive

WinPAPI interface; 100Hz

For each HPC: Maximum Minimum Standard deviation Range Average

Page 8: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

IBM Thinkpad T43p Pentium M with Intel Speedstep Supports 6 Frequencies (2.2Ghz -- 800Mhz)

Two user studies: 20 users each First to learn about user satisfaction Second to show we can leverage user satisfaction

Three multimedia/interactive applications: Java game: A first-person-shooter tank game Shockwave: A 3D shockwave animation Video: DVD-quality MPEG video

Page 9: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Goal: Learn relationship between

HPCs and user satisfaction

How: Randomly change

performance/frequency Collect HPCs Ask the user for their

satisfaction rating!

Page 10: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Compare each set of HPC values with user satisfaction ratings Collected 360 satisfaction levels (20 users, 6 frequencies, 3

applications) 45 metrics per satisfaction level

Pearson’s Product Moment Correlation Coefficient (r) -1: negative linear correlation, 1: positive linear correlation

Strong correlation: 21 of 45 metrics over .7 r value

rx, y N xy ( x)( y)

[N x2 ( x)2 ][N y2 ( y)2 ]

Page 11: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Combine all user data

Fit into a neural network Inputs: HPCs and user ID Output: User satisfaction

Observe relative importance factor

User more than two times more important than the second-most important factor

User satisfaction is highly user-specific!

HPCsUser ID

User Satisfaction

Page 12: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

User satisfaction is often non-linear User satisfaction is application-specific Most importantly, user satisfaction is user-

specific

Page 13: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Observations: User satisfaction is non-linear User satisfaction is application dependent User satisfaction is user dependent

All three represent optimization potential!

Based on observations, we construct Individualized DVFS (iDVFS)

Dynamic voltage and frequency scaling (DVFS) effective for improving power consumption

Common DVFS schemes (i.e., Windows XP DVFS, Linux ondemand governor) are based on CPU-utilization

Page 14: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

User-aware performance

prediction model

Predictive user-aware Dynamic

Frequency Scaling

Building correlation network based on counters stats and

user feedback

Learning/Modeling Stage

Runtime Power Management

Hardware counter states

Hardware counter states

User Satisfaction Feedback

Page 15: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Train per-user and per-application Small training set!

Two modifications to neural network training▪ Limit inputs (used two highest correlation HPCs)

▪ BTAC_M-average and TOT_CYC-average

▪ Repeated trainings using most accurate NN

HPCs User Satisfaction

Page 16: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

ρ: user satisfaction tradeoff threshold αf: per frequency threshold M: maximum user satisfaction

Greedy approach Make prediction every 500ms If within user satisfaction within αfρ of M twice

in a row, decrease frequency If not, increase frequency and is αf decreased to

prevent ping-ponging between frequency

Page 17: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Goal: Evaluate iDVFS with real users

How: Users randomly use application with iDVFS and with Windows XP DVFS

Afterwards, users asked to rate each one Frequency logs maintained through

experiments▪ Replayed through National Instruments DAQ

for system power

Page 18: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

iDVFS can scale frequency effectively based upon user satisfaction

In this case, we slightly decrease power compared to Windows DVFS

Page 19: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

iDVFS significantly improves power consumption Here, CPU utilization not equal to user satisfaction

Page 20: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

No change in user satisfaction, significant power savings

Page 21: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Same user satisfaction, same power savings

Red: Users gave high ratings to lower frequencies

Dashed Black: Neural network bad

Page 22: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Lowered user satisfaction, improved power

Blue: Gave constant ratings during training

Page 23: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Slight increase in ESP Benefits in energy reduction outweigh loss in

user satisfaction with ESP

Page 24: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

We explore user satisfaction relative to actual hardware performance

Show correlation from HPCs to user satisfaction for interactive applications

Show that user satisfaction is generally non-linear, application-, and user-specific

Demonstrate an example for leveraging user satisfaction to improve power consumption over 25%

Page 25: Alex Shye, Berkin Ozisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, and Alok N. Choudhary Northwestern University, EECS International.

Questions?

For more information, please visit: http://www.empathicsystems.org


Recommended