+ All Categories
Home > Documents > APLICACIÓN DE LOS NUCLEOS IP CORE EN SOFTWARE XILINX

APLICACIÓN DE LOS NUCLEOS IP CORE EN SOFTWARE XILINX

Date post: 12-Sep-2015
Category:
Upload: rita-brown
View: 221 times
Download: 2 times
Share this document with a friend
Description:
Actualmente el diseño e implementación de nuevos circuitos y sistemas digitales cuenta con una amplia gama de herramientas asistidas por computadora que permiten optimizar dichos diseños de una manera más eficaz, permitiéndonos tener un mayor aprovechamiento de sus recursos. Una de las potentes herramienta de Xilinx, llamada Core Generator, esta herramienta de diseño nos ofrece núcleos parametrizables optimizados para los FPGA´S de Xilinx.
Popular Tags:
6
VLSI Universidad de las fuerzas armadas Espe-Latacunga VLSI APLICACIÓN DE LOS NUCLEOS IP CORE EN SOFTWARE XILINX Verónica CANGUI Galo CHACON William LOPEZ [email protected] [email protected] [email protected] RESUMEN: Actualmente el diseño e implementación de nuevos circuitos y sistemas digitales cuenta con una amplia gama de herramientas asistidas por computadora que permiten optimizar dichos diseños de una manera más eficaz, permitiéndonos tener un mayor aprovechamiento de sus recursos. Una de las potentes herramienta de Xilinx, llamada Core Generator, esta herramienta de diseño nos ofrece núcleos parametrizables optimizados para los FPGA´S de Xilinx. ABSTRACT: Currently the design and implementation of new circuits and digital systems has a wide range of computer-aided tools for optimizing such designs more efficiently, allowing us to make better use of their resources. One of the powerful Xilinx tool called Core generator, this design tool offers programmable cores optimized for Xilinx FPGA's. PALABRAS CLAVE: xilinx, Ip core, ip core- xilinx, ip core MANUAL. . 1 INTRODUCCIÓN El presente trabajo explica la aplicación de los núcleos de las IP CORES que tienen diferentes utilidades en diferentes temas que están dentro en el software Xilinxs, para la aplicación desarrollada se presenta la división de dos números, comprobando el correcto funcionamiento de la misma en la simulación de la implementación. 2 DESARROLLO 2.1 DEFINICIÓN FPGA 1
Transcript

Preparacin de Informes en formato IEE

Universidad de las fuerzas armadas Espe-Latacunga

VLSI

APLICACIN DE LOS NUCLEOS IP CORE EN SOFTWARE XILINX

Vernica CANGUIGalo CHACONWilliam [email protected][email protected]@gmail.com

RESUMEN: Actualmente el diseo e implementacin de nuevos circuitos y sistemas digitales cuenta con una amplia gama de herramientas asistidas por computadora que permiten optimizar dichos diseos de una manera ms eficaz, permitindonos tener un mayor aprovechamiento de sus recursos. Una de las potentes herramienta de Xilinx, llamada Core Generator, esta herramienta de diseo nos ofrece ncleos parametrizables optimizados para los FPGAS de Xilinx.

ABSTRACT: Currently the design and implementation of new circuits and digital systems has a wide range of computer-aided tools for optimizing such designs more efficiently, allowing us to make better use of their resources. One of the powerful Xilinx tool called Core generator, this design tool offers programmable cores optimized for Xilinx FPGA's.

PALABRAS CLAVE: xilinx, Ip core, ip core- xilinx, ip core MANUAL..VLSI

1

INTRODUCCIN

El presente trabajo explica la aplicacin de los ncleos de las IP CORES que tienen diferentes utilidades en diferentes temas que estn dentro en el software Xilinxs, para la aplicacin desarrollada se presenta la divisin de dos nmeros, comprobando el correcto funcionamiento de la misma en la simulacin de la implementacin.

DESARROLLO

DEFINICINFPGA

Fuente: Paper EPN , JULIO CESAR.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 1. Definicin de FPGA

Fuente: Paper EPN , JULIO CESAR.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 2. Caractersticas de la SPARTAN 3E-500Componentes IP (IP cores)

Fuente: Paper EPN , JULIO CESAR.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 3. Definicin de IPTipos de IP CORES

Fuente: Paper EPN , JULIO CESAR.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 4. Tipos de IPLos dispositivos FPGA que contienen procesadores integrados y otras funciones implementadas en forma de mdulos de hardware (hard-core) y de mdulos de software (soft-core) se conocen con el nombre de dispositivos FPGA de plataforma. Porque pueden emplearse para implementar un sistema completo sin necesidad de dispositivos externos de soporte.

Proceso Para La Implementacin De Mdulos Ip Xilinx.

Puede crear Core Generator IP para crear una instancia en el nivel de HDL o diseos esquemticos. Cuando se crea IP, el software principal generador produce una combinacin de los siguientes archivos y los coloca en el directorio especificado para su uso en su proyecto ISE:Por defecto, cuando se crea un nuevo ncleo CORE Generator, los archivos principales se colocan en un subdirectorio del directorio principal del proyecto denominado ipcore_dir.Si es necesario, puede especificar una ubicacin diferente en el Asistente para nueva fuente cuando se crea el ncleo.

Proceso Para agregar CORE Generator IP a un proyecto

El archivo de origen XCO se debe agregar al proyecto para ser compilado correctamente en el diseo durante la sntesis y aplicacin.

Fuente: Paper EPN , JULIO CESAR.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 5. Proceso para Implementar Mdulos IP en un ProyectoEl archivo de origen se agrega al proyecto y el archivo aparece en el panel Jerarqua del panel El orden de compilacin enviado a la sntesis se determina automticamente en funcin de la jerarqua de los archivos de origen. El archivo tambin aparece en el panel Archivos, que proporciona una vista de lista ordenada de todas las fuentes en su proyecto.Si el archivo de origen que ha aadido se refiere a los archivos que no se han agregado al proyecto, los nombres de archivo aparecen en la jerarqua de diseo como archivos indefinidos Imagen. Debe agregar los archivos de referencia al proyecto para el software para rastrear cambios en los archivos.

3. DESARROLLOA continuacin indicamos las pantallas capturadas del proyecto.1. crear un nuevo proyecto con el nombre respectivamente

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 6. Nuevo proyecto2. configuracin del nuevo proyecto

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 7. Configuracin del nuevo proyecto3. en la ventana de new source wizard seleccionamos el IP CORE, le damos un nombre.

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 8. IP CORE.

4. Una ves creada la IP CORE, para nuestro caso seleccionamos la carpeta dividers, para realizar un ejemplo de una divisin de dos nmeros.

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 9. Dividers.

5. Asignamos valores al dividendo y al divisor

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 10. Asignacin de valores

6. Creamos un VHDL module para ejecutar el programa

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracin 11. Nuevo VHDL module7. Definimos entradas y salidas8. Ejecutamos el programa correspondiente

Fuente: Veronica Cangui, William Lopez, Galo Chacon.Realizado por: Veronica Cangui, William Lopez, Galo ChaconIlustracines 12. Ejecucin del programa de divisin de dos nmeros.

4. CONCLUSIONES

Los mdulos IP nos permiten implementar diversas funciones sin necesidad de programarlas, acortando as el tiempo de realizacin de algn proyecto.

Gracias a la variedad de mdulos IP que existen para la familia de las SPARTAN podemos crear un sinnmero de aplicaciones, ya que estos mdulos nos permiten acortar el tiempo de elaboracin de un proyecto largo, gracias a que son herramientas que ya estn programadas, solo es necesario implementarlas.

Al crearse el software principal generador produce una combinacin de varios archivos y los coloca en el directorio especificado para su uso en su proyecto ISE. Al momento de agregar el IP CORE se muestra un mensaje de warning, la cual est relacionado con el parmetro del reloj, para solucionarlo se debe asignarle un valor al reloj para que el problema est solucionado

4. RECOMENDACIONES Se recomienda tener un conocimiento previo con respecto al IP CORE para no tener problemas al momento de manipular el software.

5. BIBLIOGRAFA

http://www.altera.com/literature/ug/ug_jesd204b.pdf http://www.xilinx.com/support/documentation/sw_manuals/xilinx11/ise_c_using_coregen_ip.htm http://www.xilinx.com/products/intellectual-property/


Recommended