+ All Categories

B4420EC

Date post: 01-Feb-2016
Category:
Upload: gameover
View: 239 times
Download: 0 times
Share this document with a friend
Description:
B4420EC of freescale
Popular Tags:
153
Freescale Semiconductor Data Sheet: Advance Information © 2015 Freescale Semiconductor, Inc. All rights reserved. This document contains information on a new product. Specifications and information herein are subject to change without notice. This B4420 QorIQ Qonverge chip is a Freescale heterogeneous multicore SoC based on StarCore, Power Architecture®, CoreNet, MAPLE, and DPAA technologies. The chip targets the emerging broadband wireless metro cell deployments and builds upon the proven success of Freescale’s existing multicore DSPs and CPUs. It is designed to bolster the rapidly changing and expanding wireless base station markets, such as LTE (FDD and TDD), LTE-Advanced, TD-SCDMA, and WCDMA. This chip can be used for combined control, data path, and application layer processing in base stations and in general-purpose embedded computing systems. Its high level of integration offers performance benefits compared to multiple discrete devices, while also simplifying board design.This chip includes these functions and features: Two fully-programmable StarCore SC3900 FVP core subsystems—each core runs up to 1.2 GHz, with an architecture highly optimized for wireless base station applications Two dual-thread e6500 Power Architecture processors organized in one cluster—each core runs up to 1.6 GHz DDR3/3L controller for high-speed, industry-standard memory interfaces running up to 1866 MT/s MAPLE-B3 hardware acceleration—for forward error correction schemes including Turbo or Viterbi decoding, Turbo encoding and rate matching, MIMO MMSE equalization scheme, matrix operations, CRC insertion and check, DFT/iDFT and FFT/iFFT calculations, PUSCH/PDSCH acceleration, and UMTS chip rate acceleration CoreNet fabric supports coherency using MESI protocol between the e6500 cores, SC3900 FVP cores, memories and external interfaces. CoreNet fabric interconnect runs at up to 667 MHz and supports coherent and non-coherent out of order transactions with prioritization and bandwidth allocation amongst CoreNet endpoints. Data Path Acceleration Architecture, which includes: Frame Manager (FMan), which supports in-line packet parsing and general classification to enable policing and QoS-based packet distribution Queue Manager (QMan) and Buffer Manager (BMan), which allow offloading of queue management, task management, load distribution, flow ordering, buffer management, and allocation tasks from the cores Security engine (SEC 5.3)—crypto-acceleration for protocols such as IPsec, SSL and 802.16 Large internal cache memory with snooping and stashing capabilities for bandwidth saving and high utilization of processor elements. The 4864 KB internal memory space includes the following: 32 KB L1 ICache per e6500/SC3900 core 32 KB L1 DCache per e6500/SC3900 core 2048 KB unified L2 cache for SC3900 FVP cluster 2048 KB unified L2 cache for e6500 cluster 512 KB shared L3 CoreNet platform cache (CPC) Eight 10 Gbps SerDes lanes serving: Four lanes common public radio interface (CPRI V4.2) controller for glueless antenna connection running at up to 9.8 GT/s Three 1 GT/s/2.5 GT/s Ethernet controllers for network communications Four lanes PCI Express controller running at up to 5 GT/s Two lanes 2.5 GT/s/3.125GT/s/5 GT/s Debug (Aurora) One OCeaN DMA Various system peripherals 90 32-bit timers B4420 QorIQ Qonverge Data Sheet Document Number: B4420 Rev. 0, 08/2015 B4420 FC-PBGA–1020 33 mm x 33 mm
Transcript
Page 1: B4420EC

Freescale SemiconductorData Sheet: Advance Information

© 2015 Freescale Semiconductor, Inc. All rights reserved.

This document contains information on a new product. Specifications and information herein are subject to change without notice.

This B4420 QorIQ Qonverge chip is a Freescale heterogeneous multicore SoC based on StarCore, Power Architecture®, CoreNet, MAPLE, and DPAA technologies. The chip targets the emerging broadband wireless metro cell deployments and builds upon the proven success of Freescale’s existing multicore DSPs and CPUs. It is designed to bolster the rapidly changing and expanding wireless base station markets, such as LTE (FDD and TDD), LTE-Advanced, TD-SCDMA, and WCDMA.

This chip can be used for combined control, data path, and application layer processing in base stations and in general-purpose embedded computing systems. Its high level of integration offers performance benefits compared to multiple discrete devices, while also simplifying board design.This chip includes these functions and features:

• Two fully-programmable StarCore SC3900 FVP core subsystems—each core runs up to 1.2 GHz, with an architecture highly optimized for wireless base station applications

• Two dual-thread e6500 Power Architecture processors organized in one cluster—each core runs up to 1.6 GHz

• DDR3/3L controller for high-speed, industry-standard memory interfaces running up to 1866 MT/s

• MAPLE-B3 hardware acceleration—for forward error correction schemes including Turbo or Viterbi decoding, Turbo encoding and rate matching, MIMO MMSE equalization scheme, matrix operations, CRC insertion and check, DFT/iDFT and FFT/iFFT calculations, PUSCH/PDSCH acceleration, and UMTS chip rate acceleration

• CoreNet fabric supports coherency using MESI protocol between the e6500 cores, SC3900 FVP cores, memories and external interfaces. CoreNet fabric interconnect runs at up to 667 MHz and supports coherent and non-coherent out of order transactions with prioritization and bandwidth allocation amongst CoreNet endpoints.

• Data Path Acceleration Architecture, which includes:– Frame Manager (FMan), which supports in-line packet

parsing and general classification to enable policing and QoS-based packet distribution

– Queue Manager (QMan) and Buffer Manager (BMan), which allow offloading of queue management, task management, load distribution, flow ordering, buffer management, and allocation tasks from the cores

– Security engine (SEC 5.3)—crypto-acceleration for protocols such as IPsec, SSL and 802.16

• Large internal cache memory with snooping and stashing capabilities for bandwidth saving and high utilization of processor elements. The 4864 KB internal memory space includes the following:– 32 KB L1 ICache per e6500/SC3900 core– 32 KB L1 DCache per e6500/SC3900 core– 2048 KB unified L2 cache for SC3900 FVP cluster– 2048 KB unified L2 cache for e6500 cluster– 512 KB shared L3 CoreNet platform cache (CPC)

• Eight 10 Gbps SerDes lanes serving:– Four lanes common public radio interface (CPRI V4.2)

controller for glueless antenna connection running at up to 9.8 GT/s

– Three 1 GT/s/2.5 GT/s Ethernet controllers for network communications

– Four lanes PCI Express controller running at up to 5 GT/s

– Two lanes 2.5 GT/s/3.125 GT/s/5 GT/s Debug (Aurora)• One OCeaN DMA• Various system peripherals• 90 32-bit timers

B4420 QorIQ Qonverge Data Sheet

Document Number: B4420Rev. 0, 08/2015

B4420

FC-PBGA–102033 mm x 33 mm

Page 2: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor2

Table of Contents1 Pin assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3

1.1 1020 FC-PBGA ball layout diagrams . . . . . . . . . . . . . . .31.2 Pinout list by bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91.3 Pinout list by package pin number . . . . . . . . . . . . . . . .48

2 Electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . .632.1 Overall DC electrical characteristics . . . . . . . . . . . . . . .632.2 Power sequencing. . . . . . . . . . . . . . . . . . . . . . . . . . . . .692.3 Power-down requirements . . . . . . . . . . . . . . . . . . . . . .702.4 Power characteristics . . . . . . . . . . . . . . . . . . . . . . . . . .712.5 Power-on ramp rate. . . . . . . . . . . . . . . . . . . . . . . . . . . .712.6 Input clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .712.7 RESET initialization . . . . . . . . . . . . . . . . . . . . . . . . . . .742.8 DDR3 and DDR3L SDRAM controller. . . . . . . . . . . . . .752.9 DC electrical characteristics . . . . . . . . . . . . . . . . . . . . .812.10 eSPI interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .822.11 DUART interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .842.12 Ethernet interface, Ethernet management interface

1, IEEE Std 1588™. . . . . . . . . . . . . . . . . . . . . . . . . . . .842.13 USB interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .872.14 Integrated flash controller . . . . . . . . . . . . . . . . . . . . . . .892.15 Enhanced secure digital host controller (eSDHC) . . . .912.16 Multicore programmable interrupt controller

(MPIC) specifications . . . . . . . . . . . . . . . . . . . . . . . . . .932.17 JTAG controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93

2.18 I2C interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 962.19 GPIO interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 982.20 Timers interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 992.21 Asynchronous signal timing. . . . . . . . . . . . . . . . . . . . . 992.22 CPRI interface signals . . . . . . . . . . . . . . . . . . . . . . . . . 992.23 High-speed serial interfaces (HSSI) . . . . . . . . . . . . . 100

3 Hardware design considerations . . . . . . . . . . . . . . . . . . . . . 1303.1 System clocking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1303.2 Power supply design . . . . . . . . . . . . . . . . . . . . . . . . . 1333.3 Decoupling recommendations . . . . . . . . . . . . . . . . . . 1383.4 SerDes block power supply decoupling

recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . 1393.5 Connection recommendations for unused pins . . . . . 1393.6 Thermal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1473.7 Thermal management information. . . . . . . . . . . . . . . 1473.8 Temperature diode. . . . . . . . . . . . . . . . . . . . . . . . . . . 149

4 Package information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1494.1 Package parameters for the FC-PBGA . . . . . . . . . . . 1494.2 Mechanical dimensions of the B4420 FC-PBGA. . . . 150

5 Security fuse processor. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1516 Ordering information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

6.1 Part numbering nomenclature . . . . . . . . . . . . . . . . . . 1517 Revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

Page 3: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 3

This figure shows the major functional units of the chip.

Figure 1. Block diagram

1 Pin assignments

1.1 1020 FC-PBGA ball layout diagramsThese figures show the B4420 FC-PBGA ball map.

2048 KBL2 cache

32 KBI-Cache

StarCore®Sc3900 FVP core

32 KBL1 D-cache

32 KBL1 I-cache

32 KBI-Cache

StarCore®Sc3900 FCP core

32 KBL1 D-cache

32 KBL1 I-cache

OpenPIC

Power mgmt

eSPI

4x I2C

Clocks/Reset

44 GPIO

Securitymonitor

B4420

2048 KBL2 Cache

2x DUART

eSDHC

USB

32 KBI-Cache

Power Architecture®e6500

32 KBL1 D-cache

32 KBL1 I-cache

QMan

BMan

SEC5.3

8-lane 10-bps SerDes

Frame Manager (FMan)

DMA

x4

Deb

ug (

Aur

ora)

OCeaN

Timers

CPRI

Testport/SAP

Preboot

loaderIFC

MAPLE-B3baseband

accelerator

1x EQPE2

1x DEPE

1x eTVPE2

5x eFTPE

1x PUPE2

1x PDPE2

1x CRPE

1x TCPE

CoreNetCoherency fabric

x1 x4 x22.5/

1Gbps

1588™ support

Parse, classify, distribute

dual-thread core

32 KBI-Cache

Power Architecture®e6500

32 KBL1 D-cache

32 KBL1 I-cache

dual-thread core

512 KBL3/M3 cache

64-bit

memory controller

DDR3/3L

x1 x1

2.5/1Gbps

PCIE2.5/

1Gbps

Page 4: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor4

Figure 2. 1020 BGA ball map diagram (top view)

GND OVDDNC_

AC27NC_

AC28

NC_AD27

NC_AD26

GNDGND GND GND

SGND SGND

SGND

VDD GNDGND GND

GND

GND

QVDD

SGND

NC_H7

SD1_TX5

29 30 31 321 171615141312111098765432 18 19 20 21 22 23 24 25 26 27 28

AJ

AK

AL

AM

W

Y

AA

AB

AC

AD

AE

AF

AG

AH

A

B

C

D

E

F

G

H

J

K

L

M

N

P

R

T

U

V

GND

UART1_CTS_B

SPI_MISO

SDHC_DAT2

AVDD_

29 30 31 321 171615141312111098765432 18 19 20 21 22 23 24 25 26 27 28

AJ

AK

AL

AM

W

Y

AA

AB

AC

AD

AE

AF

AG

AH

A

B

C

D

E

F

G

H

J

K

L

M

N

P

R

T

U

V

AVDD_DDR1

EVT0

IFC_AD02

DMA1_DACK0

_B

OVDD I/O supply voltage

Fuse I/O supply

SerDes core power supply

SerDes transmitter pad supplyXVDD POVDD

AVDD_ DDR1 PLL supply voltage

AVDD_SRDSn SerDes n PLL supply voltage

G1VDD DDR1 I/O supply

SENSE-VDD1 Core group 1 voltage sense

SENSE-VDD2 Core group 2 voltage sensePlatform PLL supply voltage

Core group x, n supply voltage

AVDD_PLAT

Signal groups

POVDD

TH_VDD

AVDD_CGA1 SGND SGND SGND

[A10]SD2_RX3

SD2_RX2

SGNDSD2_

REF1_CLK_B

SGND SD2_RX1

AVDD_PLAT SGND SGND SGND SD2_

RX3_BSD2_

RX2_BSGND

SD2_REF1_CLK

SGND SD2_RX1_B

AVDD_SRDS2_

AVDD_CGB2 SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND

NC_D2 SGND

SGND

PORESET_

BSGND SD2_

TX3_BSD2_

TX2_BXGND

SD2_TX1_B

SYSCLK XVDD

D1_MDQ59

D1_MDQ56

D1_MDQ58

SD2_IMP_CAL_TX

NC_G13

AGND_SRDS2_

PLL1

NC_G16

D1_MDQ51

D1_MDQ52

D1_MDQ55

D1_MDQ60

D1_MDQ63 SRDS2_

PLL1

NC_H15

NC_H16

D1_MDQS6

D1_MDQS7

D1_MDQS7

D1_MDM6

SENSE-GND1 VDD

D1_MDQ50

D1_MDQ53

D1_MDQ54

D1_MDM7

D1_MDQ57

D1_MDQ61

D1_MODT1

SD1_TX5

SENSE-VDD1

GND GND GND SVDD

D1_MDQ48

D1_MDQ49

D1_MDQ43

D1_MDQ47GND

D1_MDQ45

D1_MDQ62

G1VDDD1_

MCS3 GND GND GND

D1_MDQ35

D1_MDQ34

D1_MDQ37

D1_MDQ41

D1_MDQ42 GND

D1_MODT0

D1_MODT3

GND GND GND GND GND

D1_MDQ33

D1_MDQS6

GND D1_MDM4

D1_MDQS5

D1_MDQS5

D1_MDM5

D1_MWE_

D1_MRAS

D1_MA13 GND GND GND

D1_MDQS4

D1_MDQS4

D1_MDQ39

GNDD1_

MDQ40D1_

MDQ46G1VDD

D1_MODT2

_B

D1_MCS1_

G1VDD[P10]

GND GND GND

D1_MDQ32

D1_MDQ36

GND D1_MDQ38

D1_MDQ44

GNDD1_

MCS2_B

D1_MCAS

_BG1VDD

VDD GND GND GND

G1VDD D1_MA05

D1_MA02

D1_MBA1

D1_MA01

D1_MAPAR

D1_MBA0

D1_MCS0

_BG1VDD GND GND GND

D1_MCK2

D1_MCK2

G1VDDD1_

MCK3D1_

MCK3G1VDD

D1_MA00

D1_MA10

G1VDD GND GND VDD VDDGND GND VDD

D1_MCK0 G1VDD

D1_MCK1 G1VDD

D1_MDIC1

D1_MA04

D1_MA03

G1VDD VDD VDDGND GND VDD GND

G1VDD D1_MDIC0

D1_MA08

D1_MA06

D1_MA07

D1_MA09

D1_MA12

D1_MA11 G1VDD GND GND VDD VDDGND GND VDD

D1_MECC3

D1_MECC7

GND D1_MECC0

GND D1_MCKE3 G1VDD

D1_MA15

D1_MCKE2 G1VDD VDD VDDGND GND VDD GND

D1_MDQS8_

B

D1_MDQS8

D1_MECC6

D1_MDQ30

D1_MDM3

D1_MBA2

D1_MCKE0

D1_MCKE1

D1_MA14 GND VDD GND VDD VDDGND

D1_MDM8

D1_MECC2GND

D1_MDQ29 GND

D1_MDQ27

D1_MDQ26

GND GND[AB10]

VDD GND VDD GND VDD GND

D1_MECC5

D1_MDM2

D1_MECC4

D1_MECC1

D1_MDQ31 M1VREF VDD GND VDD VDDGND

D1_MDQS3

D1_MDQ19

D1_MDQ22 GND

D1_MDQ28

D1_MDQ24

D1_MDQ25 GND GND NC_

AD10D1_

DDRCLKOVDD GND OVDD GND

D1_MDQ21

D1_MDQ23

GNDD1_

MDQS0D1_

MDQ04D1_

MDQ01 _BEVT1

_BGND OVDD GND OVDD

D1_MDQS2

SEE DETAIL C

D1_MDQ20

D1_MDM1

D1_MDQ11

D1_MDQS1

GND

D1_MDQS2

D1_MDQ16

D1_MDQ03

D1_MDQ06GND

D1_MDQ00

USB_D0

TSEC_1588_

PULSE_

TSEC_1588_TRIG

_IN2OUT1

EVT4_B

IFC_AD08

IFC_AD09

IFC_AD10

D1_MDQ18

D1_MDQ17

D1_MDM0

D1_MDQ05

D1_MDQ02

USB_D1

GND

TSEC_1588_TRIG

_IN1

TSEC_1588_

CLK_OUT

TSEC_1588_

ALARM_OUT1

CP_SYNC2

GND IFC_AD00

IFC_AD01

IFC_A16

GND IFC_A18

GNDD1_

MDQ13D1_

MDQ08D1_

MDQ07USB_STP

USB_D2

TSEC_1588_

ALARM_OUT2

CP_SYNC3

EVT2_B

IFC_A24

IFC_PAR0

IFC_A17

IFC_AD04

IFC_AD11

D1_MDQ14

D1_MDQ12

D1_MDQ09

USB_D3

GND EVT3_B

GNDIFC_PAR1

GND IFC_A25 GNDIFC_

A26GND

D1_MDQS1

D1_MDQ10

USB_D7

USB_D4

USB_D5

TSEC_1588_

PULSE_OUT2

CP_SYNC5 ASLEEP

CKSTP_OUT_

B

IFC_WE_0

_B

IFC_CS1_B

IFC_CS2_B

IFC_CS0_B

IFC_OE_B

D1_MDQ15

USB_CLK

GND USB_NXT

GNDCP_

SYNC4CP_

RCLK0GND GND IFC_

WP0_BIFC_AD05

IFC_BCTLGND

GNDTSEC_1588_

CLK_INUSB_

D6USB_DIR

DMA1_DREQ0

_B

DMA1_DDONE0

_B

RESET_REQ_B

CP_RCLK0_B

HRESET_B CLK_OUT

IFC_CLE

IFC_RB0_B

IFC_TE

SD2_RX0

SGNDSD1_

REF1_CLK_B

SGND[A23]

SD1_RX2

SD1_RX3

SD1_RX4

SD1_RX5SGND SGND SGND SGND

SD2_RX0_B

SGND

SGNDSGND

SGNDSD1_

REF1_CLK

SGND SD1_RX2_B

SD1_RX3_B

SD1_RX4_B

NC_DET

SGND

SGNDSGND SGNDSGND SGNDSGND SGNDSGND SGNDSGND SGNDSGND

SGND

SD2_TX0_B

SD1_TX2_B

SD1_TX3_B

SD1_TX5_B

SD1_TX4_B

XGND

SD2_IMP_CAL

_RX

SD1_IMP_CAL

_RX

NC_G22

AGND_SRDS1_

PLL1

NC_G25

SD1_IMP_CAL

_TXSGND GND

PLL1

AGND_SRDS2_

PLL1

AGND_SRDS1_

PLL1

AVDD_SRDS1_

PLL1

NC_H22

NC_H23

AVDD_SRDS1_

PLL1SGND SGND GND

GND GND

GND

GND GND GND GND GND

GND GND GND GND GND

GND GND GND GND

GND GND GND

GND GND GND GND

GND GND GND

GND

GND

GNDGND

GND VDD GND VDD GND VDD GND

VDD GND VDD GND VDD GND

GND VDD GND VDD GND VDD GND

VDD GND VDD GND VDD GND

GND VDD GND VDD VDDGND

VDD GND VDD GND VDD GND

OVDD GND DVDD GND

GND VDD GND VDD VDDGND

GND GND

GND GND GND GND

NC_AD23

GND GND GNDSEE DETAIL D

GND

OVDD GND DVDD GND IIC2_SCL

IFC_AD12

GND

IFC_A20

IFC_A23

IFC_A27

IFC_AD06

IFC_CLK1

IFC_RB1_ B

IFC_CLK0

IFC_AVD

GND

IFC_AD07

IFC_CS3_B

IFC_AD19

IFC_A15

IFC_AD13

IFC_AD14

GND

IFC_AD03

IFC_A21

SPI_MOSI

SPI_CS3_B

GND

SDHC_CLK

SDHC_CMD

IFC_A22

SPI_CS2_B

GND

SDHC_DAT3

SDHC_DAT0

UART1_RTS_B

IIC2_SDA

RTC IRQ09 TMS TDI CP_LOS2

IIC3_SCL

GND

GNDGNDCP_LOS3GNDTCKTDOGNDIRQ11

IRQ06 IRQ08 IRQ05 IRQ07 TRST_B

EMI1_MDIO

UART2_CTS_B

IIC3_SDA

GNDIRQ_

OUT_BSPI_

CS0_BIRQ10 GND EMI1_

MDCIIC4_SCL GND

SDHC_DAT1

IRQ02 IRQ03 IRQ04IIC1_SDA

UART2_SIN GND

IIC1_SCL

SPI_CLK

GNDUART2_RTS_B

GND

TMP_DETECT

_BIRQ01 IRQ00 UART2_

SOUT GND

IIC4_SDA GND

AVDD_CGA2

DDR1

AVDD_CGxn

GND Ground

SerDes core ground supplySGNDSGND

SerDes transceivers groundXGND

SVDD

D1_MDQS0

I/O supply voltageDVDD

I/O supply voltageQVDD

_B _B

_B

B_B

_B B

GND

_OUT

D1_MAPAR_ERR_B

D1_MCK0_B

D1_MCK1

_B

GND

G1VDD

D1_MDQS3

_B

SENSE-GND2

GND

GNDSENSE-VDD2_B

_B

_B

SPI_CS1_B

_B _B

SGND SGND SGND SGND SGNDSGNDSGND

AVDD_CGB1 SGND SGND SGND SGND SGNDSGND SD1_

RX5_BSGND

NC_E3

NC_F4

NC_G5

SGND NC_D7

SGND SGND SGND SGND

GND

GND

GND

GND XGND

XGND XGND

QVDD

GND

GND

GND

GND GND GND

SGND SGND

SGND SGND SGND

XVDD

SGNDXGND

XGNDXGND

NC_D8 XGND NC_D10 NC_D11 XGND

XGND

SGND SGND XVDD SGND

XGND

SD2_TX3

SD2_TX2

SD2_TX1

SD2_TX0

XGND

XGND

NC_D19 NC_D20 XGND SD2_TX2

SD2_TX3

SD1_TX4

SD1_TX5

XGNDXGND NC_D28 NC_D29

SGND NC_E7 NC_E8

NC_D10

NC_E11NC_E10 NC_E19 NC_E20 NC_E28 NC_E29 SGND SGND

XVDD XGND XVDD SGND XVDD SGND SGND XVDD SGND SGND SGND SGNDXVDD XVDD SGND SGND

SGND SGND SGND SGND SGND

SGND

SGND SGND SGND SGND SGND SGND SGND SGNDGND

GND SVDD SVDD SVDD SVDD SVDD SVDD SVDD SVDD GND

SGND SGND

VDDVDD

VDD VDD VDD VDD VDD VDD VDD

VDDVDDVDDVDDVDDVDDVDD

VDD VDD VDD VDD VDD VDD VDD

VDDVDD

VDD

VDD

VDDVDD

VDDVDDVDD

VDD

VDD VDDVDDVDDVDD

VDD VDD

VDDVDD

GND

GND

GND

SGND

SGND SGND

SGNDSGND

NC_H27 NC_H28 NC_H30 NC_H31 NC_H32

NC_G29 NC_G30 NC_G31

NC_J28 NC_J29 NC_J30

NC_K25 NC_K26 NC_K27

NC_L26 NC_L27 NC_L28

NC_M28 NC_M29 NC_M30

NC_N28 NC_N29 NC_N30NC_N24 NC_N25 NC_N26

NC_R28 NC_R29 NC_R30

NC_P30 NC_P31 NC_P32

NC_L30 NC_L31 NC_L32

NC_T29 NC_T30 NC_T31NC_T24 NC_T25 NC_T26

NC_V24 NC_V25 NC_V26

NC_W25 NC_W26 NC_W27 NC_W28 NC_W29 NC_W30

NC_H31

NC_H32

NC_Y24 NC_Y25 NC_Y27 NC_Y28

NC_V28 NC_V29 NC_V31 NC_V32

NC_U31 NC_U32NC_U25 NC_U26

NC_T27 NC_T28

NC_U28 NC_U29

NC_R25 NC_R26

NC_P24 NC_P25 NC_P27 NC_P28

NC_M31 NC_M32NC_M25 NC_M26

NC_J31 NC_J32

NC_K28 NC_K29 NC_K30

NC_L24

NC_N27 NC_N32

NC_R32

NC_Y32NC_Y30

NC_W31

NC_K32

GND

GND

GND

GND GND

GND

GND

GND

GND

GND

GND

GND

GND

GND

GND

GND

NC_AA24

NC_AA25

NC_AA26

NC_AA27

NC_AA28

NC_AA29

NC_AA30

NC_AA31

NC_AA32

NC_AB32

NC_AB30

NC_AB28

NC_AB27

NC_AB26

NC_AC29

NC_AC30

NC_AC31

NC_AC32

NC_AD32

NC_AD31

NC_AD30

NC_AD28

NC_AE26

NC_AE27

NC_AE28

NC_AE29

NC_AE30

NC_AE32

NC_AF32

NC_AF31

NC_AF30

NC_AF29

NC_AF27

NC_AF26

NC_AG27

NC_AG28

NC_AG29

NC_AG30

NC_AG31

NC_AG32

NC_AH32

NC_AH30

NC_AH29

NC_AH28

NC_AJ29

NC_AJ30

NC_AJ31

NC_AJ32

NC_AK32

NC_AK31

NC_AK30

NC_AL31

GND

GND

GND

GND

GND GND

GNDGND

NC_AM8

NC_AL11

NC_AM11

GND

XGND

UART1_SIN

UART1_SOUT

SEE DETAIL BSEE DETAIL A

TD_ANODE

TD_CATHODE

NC_G28

Page 5: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 5

Figure 3. 1020 BGA ball map diagram (detail view A)

SGND

VDD GNDGND GND

GND

GND

QVDDNC_H7

SD1_TX5

GND

AVDD_POVDD

AVDD_CGA1 SGND SGND SGND

[A10]SD2_RX3

SD2_RX2

SGNDSD2_

REF1_CLK_B

SGND SD2_RX1

AVDD_PLAT SGND SGND SGND SD2_

RX3_BSD2_

RX2_BSGND

SD2_REF1_CLK

SGND SD2_RX1_B

AVDD_CGB2 SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND SGND

NC_D2 SGND

SGND

PORESET_

BSGND SD2_

TX3_BSD2_TX2_B

XGND SD2_TX1_B

SYSCLK XVDD

D1_MDQ59

D1_MDQ56

D1_MDQ58

SD2_IMP_CAL_TX

NC_G13

AGND_SRDS2_

PLL1

NC_G16

D1_MDQ51

D1_MDQ52

D1_MDQ55

D1_MDQ60

D1_MDQ63 SRDS2_

PLL1

NC_H15

NC_H16

D1_MDQS6

D1_MDQS7

D1_MDQS7

D1_MDM6

SENSE-GND1 VDD

D1_MDQ50

D1_MDQ53

D1_MDQ54

D1_MDM7

D1_MDQ57

D1_MDQ61

D1_MODT1

SD1_TX5

SENSE-VDD1

GND GND GND SVDD

D1_MDQ48

D1_MDQ49

D1_MDQ43

D1_MDQ47GND

D1_MDQ45

D1_MDQ62

G1VDDD1_

MCS3 GND GND GND

D1_MDQ35

D1_MDQ34

D1_MDQ37

D1_MDQ41

D1_MDQ42 GND

D1_MODT0

D1_MODT3

GND GND GND GND GND

D1_MDQ33

D1_MDQS6

GND D1_MDM4

D1_MDQS5

D1_MDQS5

D1_MDM5

D1_MWE_

D1_MRAS

D1_MA13 GND GND GND

D1_MDQS4

D1_MDQS4

D1_MDQ39

GND D1_MDQ40

D1_MDQ46

G1VDD

D1_MODT2

_B

D1_MCS1_

G1VDD[P10]

GND GND GND

D1_MDQ32

D1_MDQ36

GND D1_MDQ38

D1_MDQ44

GND D1_MCS2

_B

D1_MCAS

_BG1VDD

VDD GND GND GND

G1VDD D1_MA05

D1_MA02

D1_MBA1

D1_MA01

D1_MAPAR

D1_MBA0

D1_MCS0

_BG1VDD GND GND GND

AVDD_CGA2

_B _B

_B

B_B

_B B

GND

_OUT

D1_MAPAR_ERR_B

SGND SGND SGND SGND

AVDD_CGB1 SGND SGND SGND SGND

NC_E3

NC_F4

NC_G5

SGND NC_D7

SGND SGND SGND SGND

GND

GND

GND

GND XGND

XGND XGND

QVDD

GND

GND

GND

GND GND GND

SGND SGND

SGND SGND SGND

XVDD

NC_D8 XGND NC_D10 NC_D11 XGND

XGND

SGND SGND XVDD SGND

SD2_TX3

SD2_TX2

SGND NC_E7 NC_E8

NC_D10

NC_E11NC_E10

SGND

SGND SGND SGNDGND

GND SVDD

SGND SGND

VDDVDD

VDD VDD VDD VDD

VDDVDDVDD

VDD VDD VDD VDD

VDD

VDD

VDDVDDVDD

VDD VDD

VDDVDD

GND

SD2_TX1

1 1312111098765432

A

B

C

D

E

F

G

H

J

K

L

M

N

T

14 15

P

R

16

DETAIL A

XGND

TD_ANODE

TD_CATHODE

Page 6: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor6

Figure 4. 1020 BGA ball map diagram (detail view B)

SGND SGNDSGND TH_VDD

AVDD_SRDS2_

SD2_RX0

SGNDSD1_

REF1_CLK_B

SGND[A23]

SD1_RX2

SD1_RX3

SD1_RX4

SD1_RX5SGND SGND SGND SGND

SD2_RX0_B

SGND

SGNDSGND

SGNDSD1_

REF1_CLK

SGND SD1_RX2_B

SD1_RX3_B

SD1_RX4_B

NC_DET

SGND

SGNDSGND SGNDSGND SGNDSGND SGNDSGND SGNDSGND SGNDSGND

SGND

SD2_TX0_B

SD1_TX2_B

SD1_TX3_B

SD1_TX5_B

SD1_TX4_B

XGND

SD2_IMP_CAL

_RX

SD1_IMP_CAL

_RXNC_G22

AGND_SRDS1_

PLL1

NC_G25

SD1_IMP_CAL

_TXSGND GND

PLL1

AGND_SRDS2_

PLL1

AGND_SRDS1_

PLL1

AVDD_SRDS1_

PLL1

NC_H22

NC_H23

AVDD_SRDS1_

PLL1SGND SGND GND

GND GND

GND

GND GND GND GND GND

GND GND GND GND GND

GND GND GND GND

GND GND GND

GND GND GND GND

GND GND GND

GND

GND

GNDGND

SGNDSGNDSGND

SGNDSGND SD1_RX5_B

SGND

SGNDXGND

XGNDXGNDXGND

SD2_TX0

XGND

XGND

NC_D19 NC_D20 XGND SD2_TX2

SD2_TX3

SD1_TX4

SD1_TX5

XGNDXGND NC_D28 NC_D29

NC_E19 NC_E20 NC_E28 NC_E29 SGND SGND

XVDD XGND XVDD SGND XVDD SGND SGND XVDD SGND SGND SGND SGNDXVDD XVDD SGND SGND

SGND SGND SGND SGND

SGND

SGND SGND SGND SGND SGND

SVDD SVDD SVDD SVDD SVDD SVDD SVDD GND

VDD VDD VDD

VDDVDDVDDVDD

VDD VDD VDD

VDDVDD

VDD

VDD

VDDVDD

VDDVDD

VDD VDD

GND

SGND

SGND SGND

SGNDSGND

NC_H27 NC_H28 NC_H30 NC_H31 NC_H32

NC_G29 NC_G30 NC_G31

NC_J28 NC_J29 NC_J30

NC_K25 NC_K26 NC_K27

NC_L26 NC_L27 NC_L28

NC_M28 NC_M29 NC_M30

NC_N28 NC_N29 NC_N30NC_N24 NC_N25 NC_N26

NC_R28 NC_R29 NC_R30

NC_P30 NC_P31 NC_P32

NC_L30 NC_L31 NC_L32

NC_T29 NC_T30 NC_T31NC_T24 NC_T25 NC_T26 NC_T27 NC_T28

NC_R25 NC_R26

NC_P24 NC_P25 NC_P27 NC_P28

NC_M31 NC_M32NC_M25 NC_M26

NC_J31 NC_J32

NC_K28 NC_K29 NC_K30

NC_L24

NC_N27 NC_N32

NC_R32

NC_K32

GND

GND

GND

GND

GND

17 22 23 24 25 26 27 28 29 30 31 32

A

B

C

D

E

F

G

H

J

K

L

M

N

T

2120

P

R

1918

DETAIL B

NC_G28

Page 7: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 7

Figure 5. 1020 BGA ball map diagram (detail view C)

GND GND GNDAVDD_DDR1

EVT0

IFC_AD02

DMA1_DACK0

_B

D1_MCK2

D1_MCK2

G1VDDD1_

MCK3D1_

MCK3G1VDD

D1_MA00

D1_MA10

G1VDD GND GND VDD VDDGND GND VDD

D1_MCK0 G1VDD

D1_MCK1 G1VDD

D1_MDIC1

D1_MA04

D1_MA03

G1VDD VDD VDDGND GND VDD GND

G1VDD D1_MDIC0

D1_MA08

D1_MA06

D1_MA07

D1_MA09

D1_MA12

D1_MA11 G1VDD GND GND VDD VDDGND GND VDD

D1_MECC3

D1_MECC7

GND D1_MECC0

GND D1_MCKE3 G1VDD

D1_MA15

D1_MCKE2 G1VDD VDD VDDGND GND VDD GND

D1_MDQS8_

B

D1_MDQS8

D1_MECC6

D1_MDQ30

D1_MDM3

D1_MBA2

D1_MCKE0

D1_MCKE1

D1_MA14 GND VDD GND VDD VDDGND

D1_MDM8

D1_MECC2GND

D1_MDQ29 GND

D1_MDQ27

D1_MDQ26

GND GND[AB10]

D1_MECC5

D1_MDM2

D1_MECC4

D1_MECC1

D1_MDQ31 M1VREF VDD GND VDD VDDGND

D1_MDQS3

D1_MDQ19

D1_MDQ22 GND

D1_MDQ28

D1_MDQ24

D1_MDQ25 GND GND NC_

AD10D1_

DDRCLKOVDD GND OVDD GND

D1_MDQ21

D1_MDQ23

GNDD1_

MDQS0D1_

MDQ04D1_

MDQ01 _BEVT1

_BGND OVDD GND OVDD

D1_MDQS2

D1_MDQ20

D1_MDM1

D1_MDQ11

D1_MDQS1

GND

D1_MDQS2

D1_MDQ16

D1_MDQ03

D1_MDQ06GND

D1_MDQ00

USB_D0

TSEC_1588_

PULSE_

TSEC_1588_TRIG

_IN2OUT1

EVT4_B

IFC_AD08

IFC_AD09

IFC_AD10

D1_MDQ18

D1_MDQ17

D1_MDM0

D1_MDQ05

D1_MDQ02

USB_D1

GND

TSEC_1588_TRIG

_IN1

TSEC_1588_

CLK_OUT

TSEC_1588_

ALARM_OUT1

CP_SYNC2

GND IFC_AD00

IFC_AD01

IFC_A16

GND IFC_A18

GNDD1_

MDQ13D1_

MDQ08D1_

MDQ07USB_STP

USB_D2

TSEC_1588_

ALARM_OUT2

CP_SYNC3

EVT2_B

IFC_A24

IFC_PAR0

IFC_A17

IFC_AD04

IFC_AD11

D1_MDQ14

D1_MDQ12

D1_MDQ09

USB_D3

GND EVT3_B

GNDIFC_PAR1

GND IFC_A25 GNDIFC_

A26GND

D1_MDQS1

D1_MDQ10

USB_D7

USB_D4

USB_D5

TSEC_1588_

PULSE_OUT2

CP_SYNC5 ASLEEP

CKSTP_OUT_

B

IFC_WE_0

_B

IFC_CS1_B

IFC_CS2_B

IFC_CS0_B

IFC_OE_B

D1_MDQ15

USB_CLK

GND USB_NXT

GNDCP_

SYNC4CP_

RCLK0GND GND IFC_

WP0_BIFC_AD05

IFC_BCTLGND

GNDTSEC_1588_

CLK_INUSB_

D6USB_DIR

DMA1_DREQ0

_B

DMA1_DDONE0

_B

RESET_REQ_B

CP_RCLK0_B

HRESET_B

IFC_CLE

IFC_RB0_B

IFC_TE

VDD GND VDD GND VDD GND

D1_MDQS0

D1_MCK0_B

D1_MCK1

_B

GND

G1VDD

D1_MDQS3

_B

SENSE-GND2

SENSE-VDD2_B

_B

_B

_B _B

GND

GND

GND GND

GND

NC_AM8

NC_AL11

NC_AM11 CLK_OUTAM

AL

AK

AJ

AH

AG

AF

AE

AD

AA

AC

AB

Y

W

DETAIL C

V

U

1 1312111098765432 14 15 16

Page 8: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor8

Figure 6. 1020 BGA ball map diagram (detail view D)

NC_AC27

NC_AC28

NC_AD27

NC_AD26

GND

UART1_CTS_B

SPI_MISO

SDHC_DAT2

VDD GND VDD GND VDD GND

GND VDD GND VDD GND VDD GND

VDD GND VDD GND VDD GND

GND VDD GND VDD GND VDD GND

VDD GND VDD GND VDD GND

GND VDD GND VDD VDDGND

OVDD GND DVDD GND

GND VDD GND VDD VDDGND

GND GND

GND GND GND GND

NC_AD23

GND GND GND

GND

OVDD GND DVDD GND IIC2_SCL

IFC_AD12

GND

IFC_A20

IFC_A23

IFC_A27

IFC_AD06

IFC_CLK1

IFC_RB1_ B

IFC_CLK0

IFC_AVD

GND

IFC_AD07

IFC_CS3_B

IFC_AD19

IFC_A15

IFC_AD13

IFC_AD14

GND

IFC_AD03

IFC_A21

SPI_MOSI

SPI_CS3_B

GND

SDHC_CLK

SDHC_CMD

IFC_A22

SPI_CS2_B

GND

SDHC_DAT3

SDHC_DAT0

UART1_RTS_B

IIC2_SDA

RTC IRQ09 TMS TDI CP_LOS2

IIC3_SCL

GND

GNDGNDCP_LOS3GNDTCKTDOGNDIRQ11

IRQ06 IRQ08 IRQ05 IRQ07 TRST_B

EMI1_MDIO

UART2_CTS_B

IIC3_SDA

GNDIRQ_

OUT_BSPI_

CS0_BIRQ10 GND EMI1_

MDCIIC4_SCL GND

SDHC_DAT1

IRQ02 IRQ03 IRQ04IIC1_SDA

UART2_SIN GND

IIC1_SCL

SPI_CLK

GND UART2_RTS_B

GND

TMP_DETECT

_BIRQ01 IRQ00 UART2_

SOUT GND

IIC4_SDA GND

GND

GND

SPI_CS1_B

GND

GND

NC_V24 NC_V25 NC_V26

NC_W25 NC_W26 NC_W27 NC_W28 NC_W29 NC_W30

NC_H31

NC_H32

NC_Y24 NC_Y25 NC_Y27 NC_Y28

NC_V28 NC_V29 NC_V31 NC_V32

NC_U31 NC_U32NC_U25 NC_U26 NC_U28 NC_U29

NC_Y32NC_Y30

NC_W31

GND

GND GND

GND

GND

GND

GND

GND

GND

GND

GND

NC_AA24

NC_AA25

NC_AA26

NC_AA27

NC_AA28

NC_AA29

NC_AA30

NC_AA31

NC_AA32

NC_AB32

NC_AB30

NC_AB28

NC_AB27

NC_AB26

NC_AC29

NC_AC30

NC_AC31

NC_AC32

NC_AD32

NC_AD31

NC_AD30

NC_AD28

NC_AE26

NC_AE27

NC_AE28

NC_AE29

NC_AE30

NC_AE32

NC_AF32

NC_AF31

NC_AF30

NC_AF29

NC_AF27

NC_AF26

NC_AG27

NC_AG28

NC_AG29

NC_AG30

NC_AG31

NC_AG32

NC_AH32

NC_AH30

NC_AH29

NC_AH28

NC_AJ29

NC_AJ30

NC_AJ31

NC_AJ32

NC_AK32

NC_AK31

NC_AK30

NC_AL31

GND

GND

GND

17 22 23 24 25 26 27 28 29 30 31 32

AM

AL

AK

AJ

AH

AG

AF

AE

AD

AA

2120

AC

AB

Y

W

1918

DETAIL D

V

U

GND OVDD

UART1_SOUT

UART2_SIN

Page 9: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 9

1.2 Pinout list by busThis table provides the pinout list for the chip sorted by bus.

Table 1. Pinout list by bus

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

DDR SDRAM memory Interface 1

D1_MDQ00 Data AF7 IO G1VDD —

D1_MDQ01 Data AE7 IO G1VDD —

D1_MDQ02 Data AG6 IO G1VDD —

D1_MDQ03 Data AF4 IO G1VDD —

D1_MDQ04 Data AE6 IO G1VDD —

D1_MDQ05 Data AG5 IO G1VDD —

D1_MDQ06 Data AF6 IO G1VDD —

D1_MDQ07 Data AH5 IO G1VDD —

D1_MDQ08 Data AH4 IO G1VDD —

D1_MDQ09 Data AJ4 IO G1VDD —

D1_MDQ10 Data AK3 IO G1VDD —

D1_MDQ11 Data AJ1 IO G1VDD —

D1_MDQ12 Data AJ3 IO G1VDD —

D1_MDQ13 Data AH3 IO G1VDD —

D1_MDQ14 Data AJ2 IO G1VDD —

D1_MDQ15 Data AL2 IO G1VDD —

D1_MDQ16 Data AF3 IO G1VDD —

D1_MDQ17 Data AG3 IO G1VDD —

D1_MDQ18 Data AG2 IO G1VDD —

D1_MDQ19 Data AD2 IO G1VDD —

D1_MDQ20 Data AG1 IO G1VDD —

D1_MDQ21 Data AE1 IO G1VDD —

D1_MDQ22 Data AD3 IO G1VDD —

D1_MDQ23 Data AE3 IO G1VDD —

D1_MDQ24 Data AD6 IO G1VDD —

D1_MDQ25 Data AD7 IO G1VDD —

D1_MDQ26 Data AB7 IO G1VDD —

D1_MDQ27 Data AB6 IO G1VDD —

D1_MDQ28 Data AD5 IO G1VDD —

Page 10: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor10

D1_MDQ29 Data AB4 IO G1VDD —

D1_MDQ30 Data AA4 IO G1VDD —

D1_MDQ31 Data AC4 IO G1VDD —

D1_MDQ32 Data R1 IO G1VDD —

D1_MDQ33 Data N1 IO G1VDD —

D1_MDQ34 Data M2 IO G1VDD —

D1_MDQ35 Data M1 IO G1VDD —

D1_MDQ36 Data R3 IO G1VDD —

D1_MDQ37 Data M3 IO G1VDD —

D1_MDQ38 Data R4 IO G1VDD —

D1_MDQ39 Data P3 IO G1VDD —

D1_MDQ40 Data P5 IO G1VDD —

D1_MDQ41 Data M4 IO G1VDD —

D1_MDQ42 Data M5 IO G1VDD —

D1_MDQ43 Data L3 IO G1VDD —

D1_MDQ44 Data R5 IO G1VDD —

D1_MDQ45 Data L6 IO G1VDD —

D1_MDQ46 Data P6 IO G1VDD —

D1_MDQ47 Data L5 IO G1VDD —

D1_MDQ48 Data L1 IO G1VDD —

D1_MDQ49 Data L2 IO G1VDD —

D1_MDQ50 Data K1 IO G1VDD —

D1_MDQ51 Data H1 IO G1VDD —

D1_MDQ52 Data H2 IO G1VDD —

D1_MDQ53 Data K3 IO G1VDD —

D1_MDQ54 Data K4 IO G1VDD —

D1_MDQ55 Data H3 IO G1VDD —

D1_MDQ56 Data G3 IO G1VDD —

D1_MDQ57 Data K6 IO G1VDD —

D1_MDQ58 Data G4 IO G1VDD —

D1_MDQ59 Data G2 IO G1VDD —

D1_MDQ60 Data H5 IO G1VDD —

D1_MDQ61 Data K7 IO G1VDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 11: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 11

D1_MDQ62 Data L7 IO G1VDD —

D1_MDQ63 Data H6 IO G1VDD —

D1_MECC0 Error Correcting Code Y5 IO G1VDD —

D1_MECC1 Error Correcting Code AC3 IO G1VDD —

D1_MECC2 Error Correcting Code AB3 IO G1VDD —

D1_MECC3 Error Correcting Code Y1 IO G1VDD —

D1_MECC4 Error Correcting Code AC2 IO G1VDD —

D1_MECC5 Error Correcting Code AC1 IO G1VDD —

D1_MECC6 Error Correcting Code AA3 IO G1VDD —

D1_MECC7 Error Correcting Code Y3 IO G1VDD —

D1_MAPAR_ERR_B Address Parity Error T3 I G1VDD 29

D1_MAPAR_OUT Address Parity Out T7 O G1VDD —

D1_MDM0 Data Mask AG4 O G1VDD —

D1_MDM1 Data Mask AH1 O G1VDD —

D1_MDM2 Data Mask AD1 O G1VDD —

D1_MDM3 Data Mask AA5 O G1VDD —

D1_MDM4 Data Mask N3 O G1VDD —

D1_MDM5 Data Mask N6 O G1VDD —

D1_MDM6 Data Mask J3 O G1VDD —

D1_MDM7 Data Mask K5 O G1VDD —

D1_MDM8 Data Mask AB1 O G1VDD —

D1_MDQS0 Data Strobe AE5 IO G1VDD —

D1_MDQS1 Data Strobe AK2 IO G1VDD —

D1_MDQS2 Data Strobe AF2 IO G1VDD —

D1_MDQS3 Data Strobe AC6 IO G1VDD —

D1_MDQS4 Data Strobe P1 IO G1VDD —

D1_MDQS5 Data Strobe N4 IO G1VDD —

D1_MDQS6 Data Strobe J1 IO G1VDD —

D1_MDQS7 Data Strobe J4 IO G1VDD —

D1_MDQS8 Data Strobe AA2 IO G1VDD —

D1_MDQS0_B Data Strobe AE4 IO G1VDD —

D1_MDQS1_B Data Strobe AK1 IO G1VDD —

D1_MDQS2_B Data Strobe AF1 IO G1VDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 12: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor12

D1_MDQS3_B Data Strobe AC5 IO G1VDD —

D1_MDQS4_B Data Strobe P2 IO G1VDD —

D1_MDQS5_B Data Strobe N5 IO G1VDD —

D1_MDQS6_B Data Strobe J2 IO G1VDD —

D1_MDQS7_B Data Strobe J5 IO G1VDD —

D1_MDQS8_B Data Strobe AA1 IO G1VDD —

D1_MBA0 Bank Select T8 O G1VDD —

D1_MBA1 Bank Select T5 O G1VDD —

D1_MBA2 Bank Select AA6 O G1VDD —

D1_MA00 Address U7 O G1VDD —

D1_MA01 Address T6 O G1VDD —

D1_MA02 Address T4 O G1VDD —

D1_MA03 Address V9 O G1VDD —

D1_MA04 Address V8 O G1VDD —

D1_MA05 Address T2 O G1VDD —

D1_MA06 Address W4 O G1VDD —

D1_MA07 Address W5 O G1VDD —

D1_MA08 Address W3 O G1VDD —

D1_MA09 Address W6 O G1VDD —

D1_MA10 Address U8 O G1VDD —

D1_MA11 Address W8 O G1VDD —

D1_MA12 Address W7 O G1VDD —

D1_MA13 Address N9 O G1VDD —

D1_MA14 Address AA9 O G1VDD —

D1_MA15 Address Y9 O G1VDD —

D1_MWE_B Write Enable N7 O G1VDD —

D1_MRAS_B Row Address Strobe P8 O G1VDD —

D1_MCAS_B Column Address Strobe R8 O G1VDD —

D1_MCS0_B Chip Select T9 O G1VDD —

D1_MCS1_B Chip Select P9 O G1VDD —

D1_MCS2_B Chip Select R7 O G1VDD —

D1_MCS3_B Chip Select L9 O G1VDD —

D1_MCKE0 Clock Enable AA7 O G1VDD 9

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 13: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 13

D1_MCKE1 Clock Enable AA8 O G1VDD 9

D1_MCKE2 Clock Enable Y8 O G1VDD 9

D1_MCKE3 Clock Enable Y6 O G1VDD 9

D1_MCK0 Clock V1 O G1VDD —

D1_MCK1 Clock V4 O G1VDD —

D1_MCK2 Clock U1 O G1VDD —

D1_MCK3 Clock U4 O G1VDD —

D1_MCK0_B Clock Complements V2 O G1VDD —

D1_MCK1_B Clock Complements V5 O G1VDD —

D1_MCK2_B Clock Complements U2 O G1VDD —

D1_MCK3_B Clock Complements U5 O G1VDD —

D1_DDRCLK DDR Clock - Controller 1 AD12 I OVDD —

D1_MODT0 On Die Termination M7 O G1VDD 9

D1_MODT1 On Die Termination K8 O G1VDD 9

D1_MODT2 On Die Termination N8 O G1VDD 9

D1_MODT3 On Die Termination M8 O G1VDD 9

D1_MDIC0 Driver Impedance Calibration W2 IO G1VDD 1

D1_MDIC1 Driver Impedance Calibration V7 IO G1VDD 1

Integrated Flash Controller Interface

IFC_AD00/CFG_GPINPUT0 Muxed Data/Address AG12 IO OVDD 19

IFC_AD01/CFG_GPINPUT1 Muxed Data/Address AG13 IO OVDD 19

IFC_AD02/CFG_GPINPUT2 Muxed Data/Address AF13 IO OVDD 19

IFC_AD03/CFG_GPINPUT3 Muxed Data/Address AF19 IO OVDD 19

IFC_AD04/CFG_GPINPUT4 Muxed Data/Address AH15 IO OVDD 19

IFC_AD05/CFG_GPINPUT5 Muxed Data/Address AL13 IO OVDD 19

IFC_AD06/CFG_GPINPUT6 Muxed Data/Address AL17 IO OVDD 19

IFC_AD07/CFG_GPINPUT7 Muxed Data/Address AK18 IO OVDD 19

IFC_AD08/CFG_RCW_SRC0 Muxed Data/Address AF14 IO OVDD 19

IFC_AD09/CFG_RCW_SRC1 Muxed Data/Address AF15 IO OVDD 19

IFC_AD10/CFG_RCW_SRC2 Muxed Data/Address AF16 IO OVDD 19

IFC_AD11/CFG_RCW_SRC3 Muxed Data/Address AH16 IO OVDD 19

IFC_AD12/CFG_RCW_SRC4 Muxed Data/Address AF17 IO OVDD 19

IFC_AD13/CFG_RCW_SRC5 Muxed Data/Address AF18 IO OVDD 19

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 14: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor14

IFC_AD14/CFG_RCW_SRC6 Muxed Data/Address AH19 IO OVDD 19

IFC_AD15/CFG_RCW_SRC7 Muxed Data/Address AG18 IO OVDD 19

IFC_A16 Address AG15 O OVDD 25

IFC_A17 Address AH14 O OVDD 24

IFC_A18 Address AG16 O OVDD 24

IFC_A19 Address AH18 O OVDD 24

IFC_A20 Address AH17 O OVDD 24

IFC_A21/CFG_DRAM_TYPE Address AG19 O OVDD 19, 20

IFC_A22/IFC_WP1_B Address AJ20 O OVDD —

IFC_A23/IFC_WP2_B Address AK17 O OVDD —

IFC_A24/IFC_WP3_B Address AH12 O OVDD —

IFC_A25/GPIO2[25]/IFC_RB2_B/IFC_FCTA2

Address AJ14 O OVDD —

IFC_A26/GPIO2[26]/IFC_RB3_B/IFC_FCTA3

Address AJ15 O OVDD —

IFC_A27/GPIO2[27] Address AJ17 O OVDD —

IFC_PAR0/GPIO2[13] Data Parity / Addr and Data Parity for byte 0

AH13 IO OVDD —

IFC_PAR1/GPIO2[14] Data Parity / Addr and Data Parity for byte 1

AJ12 IO OVDD —

IFC_CS0_B Chip Select AK15 O OVDD 29, 30

IFC_CS1_B/GPIO2[10] Chip Select AK13 O OVDD 29, 30

IFC_CS2_B/GPIO2[11] Chip Select AK14 O OVDD 29, 30

IFC_CS3_B/GPIO2[12] Chip Select AJ18 O OVDD 29, 30

IFC_WE_B/IFC_WBE0 Write Enable - NAND/NOR AK12 IO OVDD 29, 24

IFC_WE_B/IFC_WBE0 Write byte 0 enable - GPCM AK12 IO OVDD 29, 24

IFC_CLE/IFC_WBE1/CFG_RCW_SRC8

Write byte 1 enable - GPCM AM14 IO OVDD 19

IFC_BCTL External Buffer control AL16 O OVDD —

IFC_TE/CFG_IFC_TE External Transceiver Enable AM16 O OVDD 19, 22

IFC_AVD/IFC_ALE/CFG_RSP_DIS

Address Latch Enable - NAND/NOR & GPCM(NAND)

AL19 IO OVDD 19, 23

IFC_AVD/IFC_ALE/CFG_RSP_DIS

Address Valid Data for internal latched based NOR

AL19 IO OVDD 19, 23

IFC_CLE/IFC_WBE1/CFG_RCW_SRC8

Command Latch Enable (NAND) AM14 IO OVDD 19

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 15: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 15

IFC_OE_B/IFC_RE_B Output Enable - NOR & GPCM AK16 IO OVDD 24

IFC_OE_B/IFC_RE_B Read Enable - NAND AK16 IO OVDD 24

IFC_WP0_B NAND write protect signal 0 AL14 O OVDD 24

IFC_A22/IFC_WP1_B NAND write protect signal 1 AJ20 IO OVDD —

IFC_A23/IFC_WP2_B NAND write protect signal 2 AK17 IO OVDD —

IFC_A24/IFC_WP3_B NAND write protect signal 3 AH12 IO OVDD —

IFC_RB0_B/IFC_FCTA0 CS0: NAND/NOR Flash Ready Busy AM15 I OVDD 31

IFC_RB1_B/IFC_FCTA1 CS1: NAND/NOR Flash Ready Busy AM17 I OVDD 31

IFC_A25/GPIO2[25]/IFC_RB2_B/IFC_FCTA2

CS2: NAND/NOR Flash Ready Busy AJ14 I OVDD —

IFC_A26/GPIO2[26]/IFC_RB3_B/IFC_FCTA3

CS3: NAND/NOR Flash Ready Busy AJ15 I OVDD —

IFC_RB0_B/IFC_FCTA0 CS0: GPCM External Access Termination AM15 I OVDD 31

IFC_RB1_B/IFC_FCTA1 CS1: GPCM External Access Termination AM17 I OVDD 31

IFC_A25/GPIO2[25]/IFC_RB2_B/IFC_FCTA2

CS2: GPCM External Access Termination AJ14 IO OVDD —

IFC_A26/GPIO2[26]/IFC_RB3_B/IFC_FCTA3

CS3: GPCM External Access Termination AJ15 IO OVDD —

IFC_CLK0 Clock AM18 O OVDD —

IFC_CLK1 Clock AM19 O OVDD —

DUART Interface

UART1_SOUT/GPIO1[15]/CP_LOS4

Transmit Data AL29 O DVDD —

UART1_SIN/GPIO1[17]/CP_LOS5

Receive Data AM28 I DVDD —

UART1_RTS_B/GPIO1[19]/UART3_SOUT

Ready to Send AF24 O DVDD —

UART1_CTS_B/GPIO1[21]/UART3_SIN

Clear to Send AE24 I DVDD —

UART2_SOUT/GPIO1[16] Transmit Data AF25 O DVDD —

UART2_SIN/GPIO1[18] Receive Data AH26 I DVDD —

UART2_RTS_B/GPIO1[20]/UART4_SOUT

Ready to Send AG25 O DVDD —

UART2_CTS_B/GPIO1[22]/UART4_SIN

Clear to Send AK28 I DVDD —

UART1_RTS_B/GPIO1[19]/UART3_SOUT

Transmit Data AF24 O DVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 16: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor16

UART1_CTS_B/GPIO1[21]/UART3_SIN

Receive Data AE24 I DVDD —

UART2_RTS_B/GPIO1[20]/UART4_SOUT

Transmit Data AG25 O DVDD —

UART2_CTS_B/GPIO1[22]/UART4_SIN

Receive Data AK28 I DVDD —

I2C Interface

IIC1_SCL Serial Clock (supports PBL) AH27 IO DVDD 2, 4

IIC1_SDA Serial Data (supports PBL) AH25 IO DVDD 2, 4

IIC2_SCL Serial Clock AE23 IO DVDD 2, 4

IIC2_SDA Serial Data AG24 IO DVDD 2, 4

IIC3_SCL/GPIO3[3] Serial Clock AM30 IO DVDD 2, 4

IIC3_SDA/GPIO3[4] Serial Data AK29 IO DVDD 2, 4

IIC4_SCL/GPIO3[5]/EVT5_B Serial Clock AJ27 IO DVDD 2, 4

IIC4_SDA/GPIO3[6]/EVT6_B/USB_PWRFAULT

Serial Data AE25 IO DVDD 2, 4

eSPI Interface

SPI_MOSI Master Out Slave In AM21 IO OVDD —

SPI_MISO Master In Slave Out AL20 I OVDD —

SPI_CLK Clock AG21 O OVDD —

SPI_CS0_B/GPIO2[0]/SDHC_DAT4

Chip Select AJ21 IO OVDD —

SPI_CS1_B/GPIO2[1]/SDHC_DAT5

Chip Select AK19 IO OVDD —

SPI_CS2_B/GPIO2[2]/SDHC_DAT6

Chip Select AH20 IO OVDD —

SPI_CS3_B/GPIO2[3]/SDHC_DAT7

Chip Select AM20 IO OVDD —

eSDHC Interface

SDHC_CMD/GPIO2[4] Command/Response AK20 IO OVDD —

SDHC_DAT0/GPIO2[5] Data AG22 IO OVDD —

SDHC_DAT1/GPIO2[6] Data AH21 IO OVDD —

SDHC_DAT2/GPIO2[7] Data AL22 IO OVDD —

SDHC_DAT3/GPIO2[8] Data AF21 IO OVDD —

SPI_CS0_B/GPIO2[0]/SDHC_DAT4

Data AJ21 IO OVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 17: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 17

SPI_CS1_B/GPIO2[1]/SDHC_DAT5

Data AK19 IO OVDD —

SPI_CS2_B/GPIO2[2]/SDHC_DAT6

Data AH20 IO OVDD —

SPI_CS3_B/GPIO2[3]/SDHC_DAT7

Data AM20 IO OVDD —

SDHC_CLK/GPIO2[9] Host to Card Clock AM22 O OVDD —

Programmable Interrupt Controller Interface

IRQ00 External Interrupts AF23 I OVDD —

IRQ01 External Interrupts AF22 I OVDD —

IRQ02 External Interrupts AH22 I OVDD —

IRQ03/GPIO1[23] External Interrupts AH23 I OVDD —

IRQ04/GPIO1[24] External Interrupts AH24 I OVDD —

IRQ05/GPIO1[25] External Interrupts AK23 I OVDD —

IRQ06/GPIO1[26]/TMR0 External Interrupts AK21 I OVDD —

IRQ07/GPIO1[27]/TMR1 External Interrupts AK24 I OVDD —

IRQ08/GPIO1[28]/TMR2 External Interrupts AK22 I OVDD —

IRQ09/GPIO1[29]/TMR3 External Interrupts AM24 I OVDD —

IRQ10/GPIO1[30]/TMR4 External Interrupts AJ24 I OVDD —

IRQ11/GPIO1[31]/TMR5 External Interrupts AL23 I OVDD —

IRQ_OUT_B/EVT9_B Interrupt Output AJ23 O OVDD 2, 5

Trust

TMP_DETECT_B Tamper Detect AF20 I OVDD 6

System Control

PORESET_B Power On Reset E1 I QVDD 7

HRESET_B Hard Reset AM12 IO OVDD 2, 3

RESET_REQ_B Reset Request (POR or Hard) AM9 O OVDD —

Power Management

ASLEEP/GPIO1[13]/CFG_XVDD_SEL

Asleep AK10 O OVDD 19, 21

Clock Signals

SYSCLK System Clock F1 I QVDD 7

RTC/GPIO1[14] Real Time Clock AM23 I OVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 18: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor18

Debug Signals

EVT0_B Event 0 AE10 I OVDD 8

EVT1_B Event 1 AE11 IO OVDD —

EVT2_B Event 2 AH11 IO OVDD —

EVT3_B Event 3 AJ11 IO OVDD —

EVT4_B Event 4 AF12 IO OVDD —

IIC4_SCL/GPIO3[5]/EVT5_B Event 5 AJ27 IO DVDD —

IIC4_SDA/GPIO3[6]/EVT6_B/USB_PWRFAULT

Event 6 AE25 IO DVDD —

DMA1_DACK0_B/GPIO3[1]/EVT7_B/TMR6

Event 7 AL7 IO OVDD —

DMA1_DDONE0_B/GPIO3[2]/EVT8_B/TMR7

Event 8 AM7 IO OVDD —

IRQ_OUT_B/EVT9_B Event 9 AJ23 IO OVDD 2, 5

CKSTP_OUT_B Checkstop Out AK11 IO OVDD —

CLK_OUT Clock Out AM13 O OVDD 9

JTAG Signals

TCK Test Clock AL26 I OVDD —

TDI Test Data In AM26 I OVDD 8

TDO Test Data Out AL25 O OVDD 9

TMS Test Mode Select AM25 I OVDD 8

TRST_B Test Reset AK25 I OVDD 8

SerDes 1 (x4) CPRI, Aurora, 1GE, 2.5GE

SD1_TX2 SerDes Tx Data (pos) D22 O XVDD —

SD1_TX3 SerDes Tx Data (pos) D23 O XVDD —

SD1_TX4 SerDes Tx Data (pos) D25 O XVDD —

SD1_TX5 SerDes Tx Data (pos) D26 O XVDD —

SD1_TX2_B SerDes Tx Data (neg) E22 O XVDD —

SD1_TX3_B SerDes Tx Data (neg) E23 O XVDD —

SD1_TX4_B SerDes Tx Data (neg) E25 O XVDD —

SD1_TX5_B SerDes Tx Data (neg) E26 O XVDD —

SD1_RX2 SerDes Rx Data (pos) A24 I SVDD —

SD1_RX3 SerDes Rx Data (pos) A26 I SVDD —

SD1_RX4 SerDes Rx Data (pos) A27 I SVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 19: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 19

SD1_RX5 SerDes Rx Data (pos) A29 I SVDD —

SD1_RX2_B SerDes Rx Data (neg) B24 I SVDD —

SD1_RX3_B SerDes Rx Data (neg) B26 I SVDD —

SD1_RX4_B SerDes Rx Data (neg) B27 I SVDD —

SD1_RX5_B SerDes Rx Data (neg) B29 I SVDD —

SD1_REF1_CLK SerDes PLL 1 Reference Clock B22 I SVDD —

SD1_REF1_CLK_B SerDes PLL 1 Reference Clock Complement

A22 I SVDD —

SD1_IMP_CAL_TX SerDes Tx Impedance Calibration G26 I XVDD 10

SD1_IMP_CAL_RX SerDes Rx Impedance Calibration G20 I SVDD 11

SerDes 2 (x4) PCIe, Aurora, 1GE, 2.5GE

SD2_TX0 SerDes Tx Data (pos) D17 O XVDD —

SD2_TX1 SerDes Tx Data (pos) D16 O XVDD —

SD2_TX2 SerDes Tx Data (pos) D14 O XVDD —

SD2_TX3 SerDes Tx Data (pos) D13 O XVDD —

SD2_TX0_B SerDes Tx Data (neg) E17 O XVDD —

SD2_TX1_B SerDes Tx Data (neg) E16 O XVDD —

SD2_TX2_B SerDes Tx Data (neg) E14 O XVDD —

SD2_TX3_B SerDes Tx Data (neg) E13 O XVDD —

SD2_RX0 SerDes Rx Data (pos) A17 I SVDD —

SD2_RX1 SerDes Rx Data (pos) A16 I SVDD —

SD2_RX2 SerDes Rx Data (pos) A12 I SVDD —

SD2_RX3 SerDes Rx Data (pos) A11 I SVDD —

SD2_RX0_B SerDes Rx Data (neg) B17 I SVDD —

SD2_RX1_B SerDes Rx Data (neg) B16 I SVDD —

SD2_RX2_B SerDes Rx Data (neg) B12 I SVDD —

SD2_RX3_B SerDes Rx Data (neg) B11 I SVDD —

SD2_REF1_CLK SerDes PLL 1 Reference Clock B14 I SVDD —

SD2_REF1_CLK_B SerDes PLL 1 Reference Clock Complement

A14 I SVDD —

SD2_IMP_CAL_TX SerDes Tx Impedance Calibration G10 I XVDD 10

SD2_IMP_CAL_RX SerDes Rx Impedance Calibration G18 I SVDD 11

CPRI Interface

CP_SYNC2 Sync AG10 IO OVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 20: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor20

CP_SYNC3 Sync AH10 IO OVDD —

CP_SYNC4 Sync AL8 IO OVDD —

CP_SYNC5 Sync AK8 IO OVDD —

CP_RCLK0 Reconstructed Clock AL10 O OVDD —

CP_RCLK0_B Reconstructed Clock Complement AM10 O OVDD —

CP_LOS2 Loss Of Signal AM27 I DVDD 32

CP_LOS3 Loss Of Signal AL28 I DVDD 32

UART1_SOUT/GPIO1[15]/CP_LOS4

Loss Of Signal AL29 I DVDD 33

UART1_SIN/GPIO1[17]/CP_LOS5

Loss Of Signal AM28 I DVDD 33

IEEE 1588 Interface

TSEC_1588_CLK_IN Clock In AM3 I OVDD —

TSEC_1588_TRIG_IN1 Trigger In 1 AE9 I OVDD —

TSEC_1588_TRIG_IN2 Trigger In 2 AF10 I OVDD —

TSEC_1588_ALARM_OUT1 Alarm Out 1 AG9 O OVDD —

TSEC_1588_ALARM_OUT2 Alarm Out 2 AH8 O OVDD —

TSEC_1588_CLK_OUT Clock Out AE8 O OVDD —

TSEC_1588_PULSE_OUT1 Pulse Out 1 AF9 O OVDD —

TSEC_1588_PULSE_OUT2 Pulse Out 2 AK7 O OVDD —

Ethernet MII Management Interface 1

EMI1_MDC Management Data Clock AJ26 O DVDD —

EMI1_MDIO Management Data In/Out AK26 IO DVDD 30

USB ULPI Interface

USB_D7 Data AK4 IO OVDD —

USB_D6 Data AM4 IO OVDD —

USB_D5 Data AK6 IO OVDD —

USB_D4 Data AK5 IO OVDD —

USB_D3 Data AJ6 IO OVDD —

USB_D2 Data AH7 IO OVDD —

USB_D1 Data AG7 IO OVDD —

USB_D0 Data AF8 IO OVDD —

USB_STP Stop Data AH6 O OVDD —

USB_CLK Clock AL4 I OVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 21: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 21

USB_NXT Next Data AL5 I OVDD —

USB_DIR Data Direction AM5 I OVDD —

IIC4_SDA/GPIO3[6]/EVT6_B/USB_PWRFAULT

Overcurrent Status on VBUS line AE25 I DVDD —

DMA Interface

DMA1_DREQ0_B/GPIO3[0] DMA1 channel 0 request AM6 IO OVDD —

DMA1_DACK0_B/GPIO3[1]/EVT7_B/TMR6

DMA1 channel 0 acknowledge AL7 IO OVDD —

DMA1_DDONE0_B/GPIO3[2]/EVT8_B/TMR7

DMA1 channel 0 done AM7 IO OVDD —

GPIO Signals

ASLEEP/GPIO1[13]/CFG_XVDD_SEL

General Purpose Output AK10 O OVDD —

RTC/GPIO1[14] General Purpose Input / Output AM23 I OVDD —

UART1_SOUT/GPIO1[15]/CP_LOS4

General Purpose Input / Output AL29 IO DVDD —

UART2_SOUT/GPIO1[16] General Purpose Input / Output AF25 IO DVDD —

UART1_SIN/GPIO1[17]/CP_LOS5

General Purpose Input / Output AM28 IO DVDD —

UART2_SIN/GPIO1[18] General Purpose Input / Output AH26 IO DVDD —

UART1_RTS_B/GPIO1[19]/UART3_SOUT

General Purpose Input / Output AF24 IO DVDD —

UART2_RTS_B/GPIO1[20]/UART4_SOUT

General Purpose Input / Output AG25 IO DVDD —

UART1_CTS_B/GPIO1[21]/UART3_SIN

General Purpose Input / Output AE24 IO DVDD —

UART2_CTS_B/GPIO1[22]/UART4_SIN

General Purpose Input / Output AK28 IO DVDD —

IRQ03/GPIO1[23] General Purpose Input / Output AH23 IO OVDD —

IRQ04/GPIO1[24] General Purpose Input / Output AH24 IO OVDD —

IRQ05/GPIO1[25] General Purpose Input / Output AK23 IO OVDD —

IRQ06/GPIO1[26]/TMR0 General Purpose Input / Output AK21 IO OVDD —

IRQ07/GPIO1[27]/TMR1 General Purpose Input / Output AK24 IO OVDD —

IRQ08/GPIO1[28]/TMR2 General Purpose Input / Output AK22 IO OVDD —

IRQ09/GPIO1[29]/TMR3 General Purpose Input / Output AM24 IO OVDD —

IRQ10/GPIO1[30]/TMR4 General Purpose Input / Output AJ24 IO OVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 22: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor22

IRQ11/GPIO1[31]/TMR5 General Purpose Input / Output AL23 IO OVDD —

SPI_CS0_B/GPIO2[0]/SDHC_DAT4

General Purpose Input / Output AJ21 IO OVDD —

SPI_CS1_B/GPIO2[1]/SDHC_DAT5

General Purpose Input / Output AK19 IO OVDD —

SPI_CS2_B/GPIO2[2]/SDHC_DAT6

General Purpose Input / Output AH20 IO OVDD —

SPI_CS3_B/GPIO2[3]/SDHC_DAT7

General Purpose Input / Output AM20 IO OVDD —

SDHC_CMD/GPIO2[4] General Purpose Input / Output AK20 IO OVDD —

SDHC_DAT0/GPIO2[5] General Purpose Input / Output AG22 IO OVDD —

SDHC_DAT1/GPIO2[6] General Purpose Input / Output AH21 IO OVDD —

SDHC_DAT2/GPIO2[7] General Purpose Input / Output AL22 IO OVDD —

SDHC_DAT3/GPIO2[8] General Purpose Input / Output AF21 IO OVDD —

SDHC_CLK/GPIO2[9] General Purpose Input / Output AM22 IO OVDD —

IFC_CS1_B/GPIO2[10] General Purpose Input / Output AK13 IO OVDD 30

IFC_CS2_B/GPIO2[11] General Purpose Input / Output AK14 IO OVDD 30

IFC_CS3_B/GPIO2[12] General Purpose Input / Output AJ18 IO OVDD 30

IFC_PAR0/GPIO2[13] General Purpose Input / Output AH13 IO OVDD —

IFC_PAR1/GPIO2[14] General Purpose Input / Output AJ12 IO OVDD —

IFC_A25/GPIO2[25]/IFC_RB2_B/IFC_FCTA2

General Purpose Input / Output AJ14 IO OVDD —

IFC_A26/GPIO2[26]/IFC_RB3_B/IFC_FCTA3

General Purpose Input / Output AJ15 IO OVDD —

IFC_A27/GPIO2[27] General Purpose Input / Output AJ17 IO OVDD —

DMA1_DREQ0_B/GPIO3[0] General Purpose Input / Output AM6 IO OVDD —

DMA1_DACK0_B/GPIO3[1]/EVT7_B/TMR6

General Purpose Input / Output AL7 IO OVDD —

DMA1_DDONE0_B/GPIO3[2]/EVT8_B/TMR7

General Purpose Input / Output AM7 IO OVDD —

IIC3_SCL/GPIO3[3] General Purpose Input / Output AM30 IO DVDD 2, 4

IIC3_SDA/GPIO3[4] General Purpose Input / Output AK29 IO DVDD 2, 4

IIC4_SCL/GPIO3[5]/EVT5_B General Purpose Input / Output AJ27 IO DVDD 2, 4

IIC4_SDA/GPIO3[6]/EVT6_B/USB_PWRFAULT

General Purpose Input / Output AE25 IO DVDD 2, 4

Timer Signals

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 23: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 23

IRQ06/GPIO1[26]/TMR0 Timer Input / Output AK21 IO OVDD —

IRQ07/GPIO1[27]/TMR1 Timer Input / Output AK24 IO OVDD —

IRQ08/GPIO1[28]/TMR2 Timer Input / Output AK22 IO OVDD —

IRQ09/GPIO1[29]/TMR3 Timer Input / Output AM24 IO OVDD —

IRQ10/GPIO1[30]/TMR4 Timer Input / Output AJ24 IO OVDD —

IRQ11/GPIO1[31]/TMR5 Timer Input / Output AL23 IO OVDD —

DMA1_DACK0_B/GPIO3[1]/EVT7_B/TMR6

Timer Input / Output AL7 IO OVDD —

DMA1_DDONE0_B/GPIO3[2]/EVT8_B/TMR7

Timer Input / Output AM7 IO OVDD —

Analog Signals

TD_ANODE Thermal diode anode J7 — Internal diode

34

TD_CATHODE Thermal diode cathode J8 — Internal diode

34

M1VREF SSTL 1.3/1.5 Reference Voltage AC9 — G1VDD/2 —

POVDD Fuse Programming Override Supply H11 — POVDD 13

Power-on-Reset Configuration Signals

IFC_AD00/CFG_GPINPUT0 General-Purpose Input, application defined

AG12 I OVDD 19

IFC_AD01/CFG_GPINPUT1 General-Purpose Input, application defined

AG13 I OVDD 19

IFC_AD02/CFG_GPINPUT2 General-Purpose Input, application defined

AF13 I OVDD 19

IFC_AD03/CFG_GPINPUT3 General-Purpose Input, application defined

AF19 I OVDD 19

IFC_AD04/CFG_GPINPUT4 General-Purpose Input, application defined

AH15 I OVDD 19

IFC_AD05/CFG_GPINPUT5 General-Purpose Input, application defined

AL13 I OVDD 19

IFC_AD06/CFG_GPINPUT6 General-Purpose Input, application defined

AL17 I OVDD 19

IFC_AD07/CFG_GPINPUT7 General-Purpose Input, application defined

AK18 I OVDD 19

IFC_AD08/CFG_RCW_SRC0 RCW Source AF14 I OVDD 19

IFC_AD09/CFG_RCW_SRC1 RCW Source AF15 I OVDD 19

IFC_AD10/CFG_RCW_SRC2 RCW Source AF16 I OVDD 19

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 24: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor24

IFC_AD11/CFG_RCW_SRC3 RCW Source AH16 I OVDD 19

IFC_AD12/CFG_RCW_SRC4 RCW Source AF17 I OVDD 19

IFC_AD13/CFG_RCW_SRC5 RCW Source AF18 I OVDD 19

IFC_AD14/CFG_RCW_SRC6 RCW Source AH19 I OVDD 19

IFC_AD15/CFG_RCW_SRC7 RCW Source AG18 I OVDD 19

IFC_CLE/IFC_WBE1/CFG_RCW_SRC8

RCW Source AM14 I OVDD 19

IFC_AVD/IFC_ALE/CFG_RSP_DIS

Reset Sequence Pause Disable AL19 I OVDD 19, 23

IFC_A21/CFG_DRAM_TYPE DRAM Type Select AG19 I OVDD 19, 20

ASLEEP/GPIO1[13]/CFG_XVDD_SEL

XVDD Voltage Select AK10 I OVDD 19, 21, 29

IFC_TE/CFG_IFC_TE IFC External Transceiver Enable Pin Polarity Select

AM16 I OVDD 19, 22

Power and Ground Signals

AVDD_CGA1 Cluster Group A PLL1 supply A3 — AVDD_CGA1

AVDD_CGA2 Cluster Group A PLL2 supply C1 — AVDD_CGA2

AVDD_CGB1 Cluster Group B PLL1 supply B2 — AVDD_CGB1

AVDD_CGB2 Cluster Group B PLL2 supply C3 — AVDD_CGB2

AVDD_PLAT Platform PLL supply B3 — AVDD_PLAT

AVDD_DDR1 DDR1 PLL supply AC8 — AVDD_DDR1

AVDD_SRDS1_PLL1 SerDes1 PLL 1 supply H21 — AVDD_SRDS1_PLL1

AVDD_SRDS1_PLL1 SerDes1 PLL 1 supply H24 — AVDD_SRDS1_PLL1

AVDD_SRDS2_PLL1 SerDes2 PLL 1 supply H17 — AVDD_SRDS2_PLL1

AVDD_SRDS2_PLL1 SerDes2 PLL 1 supply H14 — AVDD_SRDS2_PLL1

SENSEVDD1 Vdd Sense pin 1 K9 — — 14

SENSEVDD2 Vdd Sense pin 2 AE12 — — 14

AGND_SRDS1_PLL1 SerDes1 PLL 1 GND H20 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 25: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 25

AGND_SRDS1_PLL1 SerDes1 PLL 1 GND G24 — — —

AGND_SRDS2_PLL1 SerDes2 PLL 1 GND H18 — — —

AGND_SRDS2_PLL1 SerDes2 PLL 1 GND G14 — — —

SENSEGND1 Vss Sense pin 1 J9 — — 14

SENSEGND2 Vss Sense pin 2 AD11 — — 14

OVDD General I/O supply AC25 — OVDD 28

OVDD General I/O supply AD13 — OVDD —

OVDD General I/O supply AD15 — OVDD —

OVDD General I/O supply AD17 — OVDD —

OVDD General I/O supply AE14 — OVDD —

OVDD General I/O supply AE16 — OVDD —

OVDD General I/O supply AE18 — OVDD —

DVDD UART/I2C/CPRI_LOS I/O supply AD19 — DVDD —

DVDD UART/I2C/CPRI_LOS I/O supply AE20 — DVDD —

G1VDD DDR supply for port 1 L8 — G1VDD —

G1VDD DDR supply for port 1 P7 — G1VDD —

G1VDD DDR supply for port 1 R9 — G1VDD —

G1VDD DDR supply for port 1 T1 — G1VDD —

G1VDD DDR supply for port 1 T10 — G1VDD —

G1VDD DDR supply for port 1 U3 — G1VDD —

G1VDD DDR supply for port 1 U6 — G1VDD —

G1VDD DDR supply for port 1 U9 — G1VDD —

G1VDD DDR supply for port 1 V3 — G1VDD —

G1VDD DDR supply for port 1 V6 — G1VDD —

G1VDD DDR supply for port 1 V10 — G1VDD —

G1VDD DDR supply for port 1 W1 — G1VDD —

G1VDD DDR supply for port 1 W9 — G1VDD —

G1VDD DDR supply for port 1 Y7 — G1VDD —

G1VDD DDR supply for port 1 Y10 — G1VDD —

G1VDD DDR supply for port 1 AA10 — G1VDD —

SVDD SerDes core logic supply K15 — SVDD —

SVDD SerDes core logic supply K16 — SVDD —

SVDD SerDes core logic supply K17 — SVDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 26: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor26

SVDD SerDes core logic supply K18 — SVDD —

SVDD SerDes core logic supply K19 — SVDD —

SVDD SerDes core logic supply K20 — SVDD —

SVDD SerDes core logic supply K21 — SVDD —

SVDD SerDes core logic supply K22 — SVDD —

SVDD SerDes core logic supply K23 — SVDD —

TH_VDD Thermal Monitor Unit supply J26 — THVDD 18

XVDD SerDes transmitter supply F9 — XVDD —

XVDD SerDes transmitter supply F12 — XVDD —

XVDD SerDes transmitter supply F15 — XVDD —

XVDD SerDes transmitter supply F17 — XVDD —

XVDD SerDes transmitter supply F19 — XVDD —

XVDD SerDes transmitter supply F21 — XVDD —

XVDD SerDes transmitter supply F24 — XVDD —

XVDD SerDes transmitter supply F27 — XVDD —

XVDD SerDes transmitter supply F30 — XVDD —

QVDD Quiet I/O supply F2 — QVDD 16

QVDD Quiet I/O supply H9 — QVDD 7

VDD Core and Platform supply J10 — VDD —

VDD Core and Platform supply J12 — VDD —

VDD Core and Platform supply K11 — VDD —

VDD Core and Platform supply K13 — VDD —

VDD Core and Platform supply L10 — VDD —

VDD Core and Platform supply L12 — VDD —

VDD Core and Platform supply L14 — VDD —

VDD Core and Platform supply L16 — VDD —

VDD Core and Platform supply L18 — VDD —

VDD Core and Platform supply L20 — VDD —

VDD Core and Platform supply L22 — VDD —

VDD Core and Platform supply M11 — VDD —

VDD Core and Platform supply M13 — VDD —

VDD Core and Platform supply M15 — VDD —

VDD Core and Platform supply M17 — VDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 27: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 27

VDD Core and Platform supply M19 — VDD —

VDD Core and Platform supply M21 — VDD —

VDD Core and Platform supply M23 — VDD —

VDD Core and Platform supply N10 — VDD —

VDD Core and Platform supply N12 — VDD —

VDD Core and Platform supply N14 — VDD —

VDD Core and Platform supply N16 — VDD —

VDD Core and Platform supply N18 — VDD —

VDD Core and Platform supply N20 — VDD —

VDD Core and Platform supply N22 — VDD —

VDD Core and Platform supply P11 — VDD —

VDD Core and Platform supply P13 — VDD —

VDD Core and Platform supply P15 — VDD —

VDD Core and Platform supply P17 — VDD —

VDD Core and Platform supply P19 — VDD —

VDD Core and Platform supply P21 — VDD —

VDD Core and Platform supply P23 — VDD —

VDD Core and Platform supply R10 — VDD —

VDD Core and Platform supply R12 — VDD —

VDD Core and Platform supply R14 — VDD —

VDD Core and Platform supply R16 — VDD —

VDD Core and Platform supply R18 — VDD —

VDD Core and Platform supply R20 — VDD —

VDD Core and Platform supply R22 — VDD —

VDD Core and Platform supply T11 — VDD —

VDD Core and Platform supply T13 — VDD —

VDD Core and Platform supply T15 — VDD —

VDD Core and Platform supply T17 — VDD —

VDD Core and Platform supply T19 — VDD —

VDD Core and Platform supply T21 — VDD —

VDD Core and Platform supply U12 — VDD —

VDD Core and Platform supply U14 — VDD —

VDD Core and Platform supply U16 — VDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 28: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor28

VDD Core and Platform supply U18 — VDD —

VDD Core and Platform supply U20 — VDD —

VDD Core and Platform supply U22 — VDD —

VDD Core and Platform supply V11 — VDD —

VDD Core and Platform supply V13 — VDD —

VDD Core and Platform supply V15 — VDD —

VDD Core and Platform supply V17 — VDD —

VDD Core and Platform supply V19 — VDD —

VDD Core and Platform supply V21 — VDD —

VDD Core and Platform supply W12 — VDD —

VDD Core and Platform supply W14 — VDD —

VDD Core and Platform supply W16 — VDD —

VDD Core and Platform supply W18 — VDD —

VDD Core and Platform supply W20 — VDD —

VDD Core and Platform supply W22 — VDD —

VDD Core and Platform supply Y11 — VDD —

VDD Core and Platform supply Y13 — VDD —

VDD Core and Platform supply Y15 — VDD —

VDD Core and Platform supply Y17 — VDD —

VDD Core and Platform supply Y19 — VDD —

VDD Core and Platform supply Y21 — VDD —

VDD Core and Platform supply AA12 — VDD —

VDD Core and Platform supply AA14 — VDD —

VDD Core and Platform supply AA16 — VDD —

VDD Core and Platform supply AA18 — VDD —

VDD Core and Platform supply AA20 — VDD —

VDD Core and Platform supply AA22 — VDD —

VDD Core and Platform supply AB11 — VDD —

VDD Core and Platform supply AB13 — VDD —

VDD Core and Platform supply AB15 — VDD —

VDD Core and Platform supply AB17 — VDD —

VDD Core and Platform supply AB19 — VDD —

VDD Core and Platform supply AB21 — VDD —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 29: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 29

VDD Core and Platform supply AC12 — VDD —

VDD Core and Platform supply AC14 — VDD —

VDD Core and Platform supply AC16 — VDD —

VDD Core and Platform supply AC18 — VDD —

VDD Core and Platform supply AC20 — VDD —

VDD Core and Platform supply AC22 — VDD —

GND GND A2 — — —

GND GND B1 — — —

GND GND C2 — — —

GND GND D1 — — —

GND GND D3 — — —

GND GND E2 — — 17

GND GND F3 — — —

GND GND G1 — — —

GND GND G6 — — —

GND GND G7 — — 26

GND GND G8 — — 26

GND GND G32 — — —

GND GND H4 — — —

GND GND H8 — — 26

GND GND H29 — — —

GND GND J6 — — —

GND GND J11 — — —

GND GND J13 — — —

GND GND J25 — — —

GND GND J27 — — —

GND GND K2 — — —

GND GND K10 — — —

GND GND K12 — — —

GND GND K14 — — —

GND GND K24 — — 26

GND GND K31 — — —

GND GND L4 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 30: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor30

GND GND L11 — — —

GND GND L13 — — —

GND GND L15 — — —

GND GND L17 — — —

GND GND L19 — — —

GND GND L21 — — —

GND GND L23 — — —

GND GND L25 — — 26

GND GND L29 — — —

GND GND M6 — — —

GND GND M9 — — —

GND GND M10 — — —

GND GND M12 — — —

GND GND M14 — — —

GND GND M16 — — —

GND GND M18 — — —

GND GND M20 — — —

GND GND M22 — — —

GND GND M24 — — —

GND GND M27 — — —

GND GND N2 — — —

GND GND N11 — — —

GND GND N13 — — —

GND GND N15 — — —

GND GND N17 — — —

GND GND N19 — — —

GND GND N21 — — —

GND GND N23 — — —

GND GND N31 — — —

GND GND P4 — — —

GND GND P10 — — —

GND GND P12 — — —

GND GND P14 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 31: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 31

GND GND P16 — — —

GND GND P18 — — —

GND GND P20 — — —

GND GND P22 — — —

GND GND P26 — — 26

GND GND P29 — — —

GND GND R2 — — —

GND GND R6 — — —

GND GND R11 — — —

GND GND R13 — — —

GND GND R15 — — —

GND GND R17 — — —

GND GND R19 — — —

GND GND R21 — — —

GND GND R23 — — —

GND GND R24 — — 26

GND GND R27 — — —

GND GND R31 — — —

GND GND T12 — — —

GND GND T14 — — —

GND GND T16 — — —

GND GND T18 — — —

GND GND T20 — — —

GND GND T22 — — —

GND GND T23 — — 26

GND GND T32 — — 26

GND GND U10 — — —

GND GND U11 — — —

GND GND U13 — — —

GND GND U15 — — —

GND GND U17 — — —

GND GND U19 — — —

GND GND U21 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 32: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor32

GND GND U23 — — —

GND GND U24 — — 26

GND GND U27 — — 26

GND GND U30 — — 26

GND GND V12 — — —

GND GND V14 — — —

GND GND V16 — — —

GND GND V18 — — —

GND GND V20 — — —

GND GND V22 — — —

GND GND V23 — — 26

GND GND V27 — — 26

GND GND V30 — — 26

GND GND W10 — — —

GND GND W11 — — —

GND GND W13 — — —

GND GND W15 — — —

GND GND W17 — — —

GND GND W19 — — —

GND GND W21 — — —

GND GND W23 — — —

GND GND W24 — — 26

GND GND W32 — — 26

GND GND Y2 — — —

GND GND Y4 — — —

GND GND Y12 — — —

GND GND Y14 — — —

GND GND Y16 — — —

GND GND Y18 — — —

GND GND Y20 — — —

GND GND Y22 — — —

GND GND Y23 — — 26

GND GND Y26 — — 26

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 33: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 33

GND GND Y29 — — —

GND GND Y31 — — —

GND GND AA11 — — —

GND GND AA13 — — —

GND GND AA15 — — —

GND GND AA17 — — —

GND GND AA19 — — —

GND GND AA21 — — —

GND GND AA23 — — 26

GND GND AB2 — — —

GND GND AB5 — — —

GND GND AB8 — — —

GND GND AB9 — — —

GND GND AB10 — — —

GND GND AB12 — — —

GND GND AB14 — — —

GND GND AB16 — — —

GND GND AB18 — — —

GND GND AB20 — — —

GND GND AB22 — — —

GND GND AB23 — — —

GND GND AB24 — — —

GND GND AB25 — — —

GND GND AB28 — — —

GND GND AB31 — — —

GND GND AC7 — — —

GND GND AC10 — — —

GND GND AC11 — — —

GND GND AC13 — — —

GND GND AC15 — — —

GND GND AC17 — — —

GND GND AC19 — — —

GND GND AC21 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 34: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor34

GND GND AC23 — — —

GND GND AC24 — — 26

GND GND AC26 — — —

GND GND AD4 — — —

GND GND AD8 — — —

GND GND AD9 — — —

GND GND AD14 — — —

GND GND AD16 — — —

GND GND AD18 — — —

GND GND AD20 — — —

GND GND AD21 — — 17

GND GND AD22 — — —

GND GND AD24 — — —

GND GND AD25 — — —

GND GND AD29 — — —

GND GND AE13 — — —

GND GND AE15 — — —

GND GND AE17 — — —

GND GND AE19 — — —

GND GND AE2 — — —

GND GND AE21 — — —

GND GND AE22 — — —

GND GND AE31 — — —

GND GND AF5 — — —

GND GND AF11 — — 17

GND GND AF28 — — —

GND GND AG8 — — —

GND GND AG11 — — —

GND GND AG14 — — —

GND GND AG17 — — —

GND GND AG20 — — —

GND GND AG23 — — —

GND GND AG26 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 35: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 35

GND GND AH2 — — —

GND GND AH9 — — 17

GND GND AH31 — — —

GND GND AJ5 — — —

GND GND AJ7 — — —

GND GND AJ8 — — 17

GND GND AJ9 — — 17

GND GND AJ10 — — —

GND GND AJ13 — — —

GND GND AJ16 — — —

GND GND AJ19 — — —

GND GND AJ22 — — —

GND GND AJ25 — — —

GND GND AJ28 — — —

GND GND AK9 — — 17

GND GND AK27 — — 17

GND GND AL1 — — —

GND GND AL3 — — —

GND GND AL6 — — —

GND GND AL9 — — —

GND GND AL12 — — —

GND GND AL15 — — —

GND GND AL18 — — —

GND GND AL21 — — —

GND GND AL24 — — —

GND GND AL27 — — —

GND GND AL30 — — —

GND GND AL32 — — —

GND GND AM2 — — —

GND GND AM29 — — 17

GND GND AM31 — — —

XGND SerDes transceiver GND D6 — — —

XGND SerDes transceiver GND D9 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 36: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor36

XGND SerDes transceiver GND D12 — — —

XGND SerDes transceiver GND D15 — — —

XGND SerDes transceiver GND D18 — — —

XGND SerDes transceiver GND D21 — — —

XGND SerDes transceiver GND D24 — — —

XGND SerDes transceiver GND D27 — — —

XGND SerDes transceiver GND D30 — — —

XGND SerDes transceiver GND E6 — — —

XGND SerDes transceiver GND E9 — — —

XGND SerDes transceiver GND E12 — — —

XGND SerDes transceiver GND E15 — — —

XGND SerDes transceiver GND E18 — — —

XGND SerDes transceiver GND E21 — — —

XGND SerDes transceiver GND E24 — — —

XGND SerDes transceiver GND E27 — — —

XGND SerDes transceiver GND E30 — — —

XGND SerDes transceiver GND F18 — — —

SGND SerDes core logic GND E5 — — 27

SGND SerDes core logic GND D5 — — 27

SGND SerDes core logic GND B9 — — 27

SGND SerDes core logic GND B8 — — 27

SGND SerDes core logic GND B6 — — 27

SGND SerDes core logic GND B5 — — 27

SGND SerDes core logic GND A9 — — 27

SGND SerDes core logic GND A8 — — 27

SGND SerDes core logic GND A6 — — 27

SGND SerDes core logic GND A5 — — 27

SGND SerDes core logic GND E31 — — 27

SGND SerDes core logic GND E32 — — 27

SGND SerDes core logic GND B30 — — 27

SGND SerDes core logic GND C31 — — 27

SGND SerDes core logic GND A30 — — 27

SGND SerDes core logic GND C32 — — 27

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 37: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 37

SGND SerDes core logic GND B19 — — 27

SGND SerDes core logic GND B20 — — 27

SGND SerDes core logic GND A19 — — 27

SGND SerDes core logic GND A20 — — 27

SGND SerDes core logic GND A4 — — —

SGND SerDes core logic GND A7 — — —

SGND SerDes core logic GND A10 — — —

SGND SerDes core logic GND A13 — — —

SGND SerDes core logic GND A15 — — —

SGND SerDes core logic GND A18 — — —

SGND SerDes core logic GND A21 — — —

SGND SerDes core logic GND A23 — — —

SGND SerDes core logic GND A25 — — —

SGND SerDes core logic GND A28 — — —

SGND SerDes core logic GND A31 — — —

SGND SerDes core logic GND B4 — — —

SGND SerDes core logic GND B7 — — —

SGND SerDes core logic GND B10 — — —

SGND SerDes core logic GND B13 — — —

SGND SerDes core logic GND B15 — — —

SGND SerDes core logic GND B18 — — —

SGND SerDes core logic GND B21 — — —

SGND SerDes core logic GND B23 — — —

SGND SerDes core logic GND B25 — — —

SGND SerDes core logic GND B28 — — —

SGND SerDes core logic GND B31 — — —

SGND SerDes core logic GND C4 — — —

SGND SerDes core logic GND C5 — — —

SGND SerDes core logic GND C6 — — —

SGND SerDes core logic GND C7 — — —

SGND SerDes core logic GND C8 — — —

SGND SerDes core logic GND C9 — — —

SGND SerDes core logic GND C10 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 38: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor38

SGND SerDes core logic GND C11 — — —

SGND SerDes core logic GND C12 — — —

SGND SerDes core logic GND C13 — — —

SGND SerDes core logic GND C14 — — —

SGND SerDes core logic GND C15 — — —

SGND SerDes core logic GND C16 — — —

SGND SerDes core logic GND C17 — — —

SGND SerDes core logic GND C18 — — —

SGND SerDes core logic GND C19 — — —

SGND SerDes core logic GND C20 — — —

SGND SerDes core logic GND C21 — — —

SGND SerDes core logic GND C22 — — —

SGND SerDes core logic GND C23 — — —

SGND SerDes core logic GND C24 — — —

SGND SerDes core logic GND C25 — — —

SGND SerDes core logic GND C26 — — —

SGND SerDes core logic GND C27 — — —

SGND SerDes core logic GND C28 — — —

SGND SerDes core logic GND C29 — — —

SGND SerDes core logic GND C30 — — —

SGND SerDes core logic GND D4 — — —

SGND SerDes core logic GND D31 — — —

SGND SerDes core logic GND D32 — — —

SGND SerDes core logic GND E4 — — —

SGND SerDes core logic GND F5 — — —

SGND SerDes core logic GND F6 — — —

SGND SerDes core logic GND F7 — — —

SGND SerDes core logic GND F8 — — —

SGND SerDes core logic GND F10 — — —

SGND SerDes core logic GND F11 — — —

SGND SerDes core logic GND F13 — — —

SGND SerDes core logic GND F14 — — —

SGND SerDes core logic GND F16 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 39: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 39

SGND SerDes core logic GND F20 — — —

SGND SerDes core logic GND F22 — — —

SGND SerDes core logic GND F23 — — —

SGND SerDes core logic GND F25 — — —

SGND SerDes core logic GND F26 — — —

SGND SerDes core logic GND F28 — — —

SGND SerDes core logic GND F29 — — —

SGND SerDes core logic GND F31 — — —

SGND SerDes core logic GND F32 — — —

SGND SerDes core logic GND G9 — — —

SGND SerDes core logic GND G11 — — —

SGND SerDes core logic GND G12 — — —

SGND SerDes core logic GND G15 — — —

SGND SerDes core logic GND G17 — — —

SGND SerDes core logic GND G19 — — —

SGND SerDes core logic GND G21 — — —

SGND SerDes core logic GND G23 — — —

SGND SerDes core logic GND G27 — — —

SGND SerDes core logic GND H10 — — —

SGND SerDes core logic GND H12 — — —

SGND SerDes core logic GND H13 — — —

SGND SerDes core logic GND H19 — — —

SGND SerDes core logic GND H25 — — —

SGND SerDes core logic GND H26 — — —

SGND SerDes core logic GND J14 — — —

SGND SerDes core logic GND J15 — — —

SGND SerDes core logic GND J16 — — —

SGND SerDes core logic GND J17 — — —

SGND SerDes core logic GND J18 — — —

SGND SerDes core logic GND J19 — — —

SGND SerDes core logic GND J20 — — —

SGND SerDes core logic GND J21 — — —

SGND SerDes core logic GND J22 — — —

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 40: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor40

SGND SerDes core logic GND J23 — — —

SGND SerDes core logic GND J24 — — —

No connection pins

NC_D2 No Connection D2 — — 15

NC_E3 No Connection E3 — — 15

NC_F4 No Connection F4 — — 15

NC_G5 No Connection G5 — — 15

NC_G13 No Connection G13 — — 15

NC_G16 No Connection G16 — — 15

NC_G22 No Connection G22 — — 15

NC_G25 No Connection G25 — — 15

NC_G28 No Connection G28 — — 15

NC_H7 No Connection H7 — — 15

NC_H15 No Connection H15 — — 15

NC_H16 No Connection H16 — — 15

NC_H22 No Connection H22 — — 15

NC_H23 No Connection H23 — — 15

NC_AD10 No Connection AD10 — — 15

NC_AD23 No Connection AD23 — — 15

NC_AF26 No Connection AF26 — — 15

NC_AE26 No Connection AE26 — — 15

NC_AG27 No Connection AG27 — — 15

NC_AF29 No Connection AF29 — — 15

NC_AE27 No Connection AE27 — — 15

NC_AG28 No Connection AG28 — — 15

NC_AF27 No Connection AF27 — — 15

NC_AH28 No Connection AH28 — — 15

NC_AH29 No Connection AH29 — — 15

NC_AJ29 No Connection AJ29 — — 15

NC_AK30 No Connection AK30 — — 15

NC_AJ32 No Connection AJ32 — — 15

NC_AJ30 No Connection AJ30 — — 15

NC_AH30 No Connection AH30 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 41: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 41

NC_AJ31 No Connection AJ31 — — 15

NC_AL31 No Connection AL31 — — 15

NC_AF30 No Connection AF30 — — 15

NC_AG30 No Connection AG30 — — 15

NC_AG31 No Connection AG31 — — 15

NC_AD31 No Connection AD31 — — 15

NC_AG32 No Connection AG32 — — 15

NC_AE32 No Connection AE32 — — 15

NC_AD30 No Connection AD30 — — 15

NC_AE30 No Connection AE30 — — 15

NC_AD27 No Connection AD27 — — 15

NC_AD26 No Connection AD26 — — 15

NC_AB26 No Connection AB26 — — 15

NC_AB27 No Connection AB27 — — 15

NC_AD28 No Connection AD28 — — 15

NC_AB29 No Connection AB29 — — 15

NC_AA29 No Connection AA29 — — 15

NC_AC29 No Connection AC29 — — 15

NC_R32 No Connection R32 — — 15

NC_N32 No Connection N32 — — 15

NC_M31 No Connection M31 — — 15

NC_M32 No Connection M32 — — 15

NC_R30 No Connection R30 — — 15

NC_M30 No Connection M30 — — 15

NC_R29 No Connection R29 — — 15

NC_P30 No Connection P30 — — 15

NC_P28 No Connection P28 — — 15

NC_M29 No Connection M29 — — 15

NC_M28 No Connection M28 — — 15

NC_L30 No Connection L30 — — 15

NC_R28 No Connection R28 — — 15

NC_L27 No Connection L27 — — 15

NC_P27 No Connection P27 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 42: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor42

NC_L28 No Connection L28 — — 15

NC_L32 No Connection L32 — — 15

NC_L31 No Connection L31 — — 15

NC_K32 No Connection K32 — — 15

NC_H32 No Connection H32 — — 15

NC_H31 No Connection H31 — — 15

NC_K30 No Connection K30 — — 15

NC_K29 No Connection K29 — — 15

NC_H30 No Connection H30 — — 15

NC_G30 No Connection G30 — — 15

NC_K27 No Connection K27 — — 15

NC_G29 No Connection G29 — — 15

NC_G31 No Connection G31 — — 15

NC_H28 No Connection H28 — — 15

NC_K26 No Connection K26 — — 15

NC_L26 No Connection L26 — — 15

NC_H27 No Connection H27 — — 15

NC_Y28 No Connection Y28 — — 15

NC_AC30 No Connection AC30 — — 15

NC_AB30 No Connection AB30 — — 15

NC_Y32 No Connection Y32 — — 15

NC_AC31 No Connection AC31 — — 15

NC_AC32 No Connection AC32 — — 15

NC_AA30 No Connection AA30 — — 15

NC_Y30 No Connection Y30 — — 15

NC_T30 No Connection T30 — — 15

NC_T26 No Connection T26 — — 15

NC_AG29 No Connection AG29 — — 15

NC_AH32 No Connection AH32 — — 15

NC_AD32 No Connection AD32 — — 15

NC_AA28 No Connection AA28 — — 15

NC_N30 No Connection N30 — — 15

NC_N27 No Connection N27 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 43: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 43

NC_J30 No Connection J30 — — 15

NC_K28 No Connection K28 — — 15

NC_AB32 No Connection AB32 — — 15

NC_AE28 No Connection AE28 — — 15

NC_AK31 No Connection AK31 — — 15

NC_AF31 No Connection AF31 — — 15

NC_AC27 No Connection AC27 — — 15

NC_P32 No Connection P32 — — 15

NC_N29 No Connection N29 — — 15

NC_J32 No Connection J32 — — 15

NC_J29 No Connection J29 — — 15

NC_AA31 No Connection AA31 — — 15

NC_AE29 No Connection AE29 — — 15

NC_AK32 No Connection AK32 — — 15

NC_AF32 No Connection AF32 — — 15

NC_AC28 No Connection AC28 — — 15

NC_P31 No Connection P31 — — 15

NC_N28 No Connection N28 — — 15

NC_J31 No Connection J31 — — 15

NC_J28 No Connection J28 — — 15

NC_AA32 No Connection AA32 — — 15

NC_T25 No Connection T25 — — 15

NC_T28 No Connection T28 — — 15

NC_AA27 No Connection AA27 — — 15

NC_U26 No Connection U26 — — 15

NC_T27 No Connection T27 — — 15

NC_T29 No Connection T29 — — 15

NC_V24 No Connection V24 — — 15

NC_V25 No Connection V25 — — 15

NC_T31 No Connection T31 — — 15

NC_W29 No Connection W29 — — 15

NC_W28 No Connection W28 — — 15

NC_W30 No Connection W30 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 44: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor44

NC_W27 No Connection W27 — — 15

NC_U25 No Connection U25 — — 15

NC_W25 No Connection W25 — — 15

NC_W26 No Connection W26 — — 15

NC_N24 No Connection N24 — — 15

NC_AA24 No Connection AA24 — — 15

NC_Y24 No Connection Y24 — — 15

NC_N26 No Connection N26 — — 15

NC_P25 No Connection P25 — — 15

NC_R25 No Connection R25 — — 15

NC_T24 No Connection T24 — — 15

NC_P24 No Connection P24 — — 15

NC_R26 No Connection R26 — — 15

NC_L24 No Connection L24 — — 15

NC_AA26 No Connection AA26 — — 15

NC_AA25 No Connection AA25 — — 15

NC_Y25 No Connection Y25 — — 15

NC_Y27 No Connection Y27 — — 15

NC_V32 No Connection V32 — — 15

NC_V29 No Connection V29 — — 15

NC_U32 No Connection U32 — — 15

NC_U29 No Connection U29 — — 15

NC_V31 No Connection V31 — — 15

NC_V28 No Connection V28 — — 15

NC_U31 No Connection U31 — — 15

NC_U28 No Connection U28 — — 15

NC_M26 No Connection M26 — — 15

NC_K25 No Connection K25 — — 15

NC_N25 No Connection N25 — — 15

NC_M25 No Connection M25 — — 15

NC_W31 No Connection W31 — — 15

NC_V26 No Connection V26 — — 15

NC_D19 No Connection D19 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 45: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 45

NC_D20 No Connection D20 — — 15

NC_D28 No Connection D28 — — 15

NC_D29 No Connection D29 — — 15

NC_E19 No Connection E19 — — 15

NC_E20 No Connection E20 — — 15

NC_E28 No Connection E28 — — 15

NC_E29 No Connection E29 — — 15

NC_D11 No Connection D11 — — 15

NC_D10 No Connection D10 — — 15

NC_D8 No Connection D8 — — 15

NC_D7 No Connection D7 — — 15

NC_E11 No Connection E11 — — 15

NC_E10 No Connection E10 — — 15

NC_E8 No Connection E8 — — 15

NC_E7 No Connection E7 — — 15

NC_AL11 No Connection AL11 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 46: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor46

NC_AM11 No Connection AM11 — — 15

NC_AM8 No Connection AM8 — — 15

NC_DET Orientation Detect B32 — — 15

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 47: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 47

Note:

1. MDIC[0] is grounded through a 237 precision 1% resistor and MDIC[1] is connected to GnVDD through a 237 precision 1% resistor. For either full or half driver strength calibration of DDR IOs, use the same MDIC resistor value of 237 . Memory controller register setting can be used to determine automatic calibration is done to full or half-drive strength. These pins are used for automatic calibration of the DDR3/DDR3L IOs.

2. This pin is an open drain signal.3. Recommend that a weak pull-up resistor (2-10 K) be placed on this pin to OVDD.4. Recommend that a pull-up resistor (1 k) be placed on this pin to DVDD when the I2C interface is used.5. Recommend that a weak pull-up resistor (2-10 K) be placed on this pin to OVDD, when used as IRQ_OUT_B pin.6. This is an active low signal. When not used, connect it to OVDD by a pull up resistor of 2–10K.7. QVDD is an internal IO quiet power domain. Externally it should be connected to OVDD supply.8. Pin has a weak (~20 k) internal pull-up P-FET, which is always enabled.9. This output is actively driven during reset rather than being tristated during reset.10.This pin requires a 698 (1% accuracy) pull-up to XVDD.11.This pin requires a 200 (1% accuracy) pull-up to SVDD.12.Recommend that a weak pull-down resistor (10 K) be placed on this pin to GND.13.See Section 2.2, “Power sequencing,” and Section 5, “Security fuse processor,” for additional details on this signal.14.These pins are connected to the same global power and ground (VDD and GND) nets internally and may be connected as

a differential pair to be used by the voltage regulators with remote sense function.15.Do not connect. These pins should be left floating.16.The QVDD supply to these pins is not an actual supply pin, but a functional pin requires the QVDD supply connectivity, via

pull-up resistor of 10 K. 17.The GND supply to these pins is not an actual supply pin, but a functional pin requires the GND supply connectivity. Pin must

be connected with a pull-down resistor of 10 k.18.The Thermal Monitoring Unit (TMU) is defeatured on this device. TH_VDD should be connected to an OVDD supply.19.This pin is a reset configuration pin. It has a weak (~20 k) internal pull-up P-FET that is enabled only when the processor

is in its reset state. This pull-up is designed such that it can be overpowered by an external 4.7 k resistor. However, when the signal is intended to be high after reset, and when there is a device on the net that might pull down the value of the net at reset, a pull-up or active driver is needed.

20.CFG_DRAM_TYPE configuration pin selects the DRAM type: “0” - DDR3 (IO is 1.5V), “1” - DDR3L (IO is 1.35 V). 21.CFG_XVDD_SEL configuration pin selects the XVDD Voltage: “0” - XVDD is 1.5V, “1” - XVDD is 1.35 V22.CFG_IFC_TE configuration pin selects the IFC External Transceiver Enable Pin Polarity: “0” - Default value of IFC’s

CSPR0[TE] is logic 1, “1” - Default value of IFC’s CSPR0[TE] is logic 0.23.CFG_RSP_DIS configuration pin allows the chip to enter debug mode immediately after reset. The board should be

configurable (by some FPGA/dip-switch) to drive the CFG_RSP_DIS pin during PORESET sequence to logic 0 or logic 1, with default level of logic 1, with the timing as defined for all other CFG pins. After POR completion, the pin is used as IFC_AVD function.

24.Pin must NOT be pulled down during power-on reset. This pin may be pulled up, driven high, or if there are any externally connected devices, left in tristate. If this pin is connected to a device that pulls down during reset, external pull-up is required to drive this pin to a safe state during reset.

25.Pin must be pulled down during power-on reset, by pull down resistor of 2 K.26.The GND supply to these pins is not an actual supply pin, but a functional pin requires the GND supply connectivity. Pin must

be connected with a pull down resistor of 10 k.27.The SGND supply to these pins is not an actual supply pin, but a functional pin requires the SGND supply connectivity. 28.The OVDD supply to these pins is not an actual supply pin, but a functional pin requires the OVDD supply connectivity. 29.Functionally, this pin is an output or an input, but structurally it is an I/O because it either samples configuration input during

reset, is a muxed pin, or it has other manufacturing test functions. Therefore, this pin is described as an I/O for boundary scan.Recommend that a weak pull-up resistor (4.7-k) be placed on this pin to the respective power supply.

30.Recommend that a weak pull-up resistor (2-10 k) be placed on this pin to the respective power supply.31.Recommend that a weak pull-up resistor (1 k) be placed on this pin to the respective power supply.32.Must be pulled down externally (for any active CPRI lane that is not connected to an SFP).33.When configured as DUART (using RCW[UART_EXT] bits), pins are internally pulled down. When the pins are configured

as CP_LOSi, they should be pulled down externally for any active CPRI lane that is not connected to an SFP.34.When the thermal diode is not used, its pins (anode, cathode) should be connected to GND.

Table 1. Pinout list by bus (continued)

Signal name Signal descriptionPackage

pin number

Pin typePower supply

Notes

Page 48: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor48

WARNING

See Section 3.5, “Connection recommendations for unused pins,” for additional details on properly connecting these pins for specific applications.

1.3 Pinout list by package pin numberThis table provides the pinout list for the chip sorted by package pin number.

Table 2. Pinout by package pin number

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

A1 — B1 GND

A2 GND B2 AVDD_CGB1

A3 AVDD_CGA1 B3 AVDD_PLAT

A4 SGND B4 SGND

A5 SGND B5 SGND

A6 SGND B6 SGND

A7 SGND B7 SGND

A8 SGND B8 SGND

A9 SGND B9 SGND

A10 SGND B10 SGND

A11 SD2_RX3 B11 SD2_RX3_B

A12 SD2_RX2 B12 SD2_RX2_B

A13 SGND B13 SGND

A14 SD2_REF1_CLK_B B14 SD2_REF1_CLK

A15 SGND B15 SGND

A16 SD2_RX1 B16 SD2_RX1_B

A17 SD2_RX0 B17 SD2_RX0_B

A18 SGND B18 SGND

A19 SGND B19 SGND

A20 SGND B20 SGND

A21 SGND B21 SGND

A22 SD1_REF1_CLK_B B22 SD1_REF1_CLK

A23 SGND B23 SGND

A24 SD1_RX2 B24 SD1_RX2_B

A25 SGND B25 SGND

A26 SD1_RX3 B26 SD1_RX3_B

Page 49: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 49

A27 SD1_RX4 B27 SD1_RX4_B

A28 SGND B28 SGND

A29 SD1_RX5 B29 SD1_RX5_B

A30 SGND B30 SGND

A31 SGND B31 SGND

A32 — B32 NC_DET

C1 AVDD_CGA2 D1 GND

C2 GND D2 NC_D2

C3 AVDD_CGB2 D3 GND

C4 SGND D4 SGND

C5 SGND D5 SGND

C6 SGND D6 XGND

C7 SGND D7 NC_D7

C8 SGND D8 NC_D8

C9 SGND D9 XGND

C10 SGND D10 NC_D10

C11 SGND D11 NC_D11

C12 SGND D12 XGND

C13 SGND D13 SD2_TX3

C14 SGND D14 SD2_TX2

C15 SGND D15 XGND

C16 SGND D16 SD2_TX1

C17 SGND D17 SD2_TX0

C18 SGND D18 XGND

C19 SGND D19 NC_D19

C20 SGND D20 NC_D20

C21 SGND D21 XGND

C22 SGND D22 SD1_TX2

C23 SGND D23 SD1_TX3

C24 SGND D24 XGND

C25 SGND D25 SD1_TX4

C26 SGND D26 SD1_TX5

C27 SGND D27 XGND

C28 SGND D28 NC_D28

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 50: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor50

C29 SGND D29 NC_D29

C30 SGND D30 XGND

C31 SGND D31 SGND

C32 SGND D32 SGND

E1 PORESET_B F1 SYSCLK

E2 GND F2 QVDD

E3 NC_E3 F3 GND

E4 SGND F4 NC_F4

E5 SGND F5 SGND

E6 XGND F6 SGND

E7 NC_E7 F7 SGND

E8 NC_E8 F8 SGND

E9 XGND F9 XVDD

E10 NC_E10 F10 SGND

E11 NC_E11 F11 SGND

E12 XGND F12 XVDD

E13 SD2_TX3_B F13 SGND

E14 SD2_TX2_B F14 SGND

E15 XGND F15 XVDD

E16 SD2_TX1_B F16 SGND

E17 SD2_TX0_B F17 XVDD

E18 XGND F18 XGND

E19 NC_E19 F19 XVDD

E20 NC_E20 F20 SGND

E21 XGND F21 XVDD

E22 SD1_TX2_B F22 SGND

E23 SD1_TX3_B F23 SGND

E24 XGND F24 XVDD

E25 SD1_TX4_B F25 SGND

E26 SD1_TX5_B F26 SGND

E27 XGND F27 XVDD

E28 NC_E28 F28 SGND

E29 NC_E29 F29 SGND

E30 XGND F30 XVDD

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 51: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 51

E31 SGND F31 SGND

E32 SGND F32 SGND

G1 GND H1 D1_MDQ51

G2 D1_MDQ59 H2 D1_MDQ52

G3 D1_MDQ56 H3 D1_MDQ55

G4 D1_MDQ58 H4 GND

G5 NC_G5 H5 D1_MDQ60

G6 GND H6 D1_MDQ63

G7 GND H7 NC_H7

G8 GND H8 GND

G9 SGND H9 QVDD

G10 SD2_IMP_CAL_TX H10 SGND

G11 SGND H11 POVDD

G12 SGND H12 SGND

G13 NC_G13 H13 SGND

G14 AGND_SRDS2_PLL1 H14 AVDD_SRDS2_PLL1

G15 SGND H15 NC_H15

G16 NC_G16 H16 NC_H16

G17 SGND H17 AVDD_SRDS2_PLL1

G18 SD2_IMP_CAL_RX H18 AGND_SRDS2_PLL1

G19 SGND H19 SGND

G20 SD1_IMP_CAL_RX H20 AGND_SRDS1_PLL1

G21 SGND H21 AVDD_SRDS1_PLL1

G22 NC_G22 H22 NC_H22

G23 SGND H23 NC_H23

G24 AGND_SRDS1_PLL1 H24 AVDD_SRDS1_PLL1

G25 NC_G25 H25 SGND

G26 SD1_IMP_CAL_TX H26 SGND

G27 SGND H27 NC_H27

G28 NC_G28 H28 NC_H28

G29 NC_G29 H29 GND

G30 NC_G30 H30 NC_H30

G31 NC_G31 H31 NC_H31

G32 GND H32 NC_H32

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 52: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor52

J1 D1_MDQS6 K1 D1_MDQ50

J2 D1_MDQS6_B K2 GND

J3 D1_MDM6 K3 D1_MDQ53

J4 D1_MDQS7 K4 D1_MDQ54

J5 D1_MDQS7_B K5 D1_MDM7

J6 GND K6 D1_MDQ57

J7 GND K7 D1_MDQ61

J8 GND K8 D1_MODT1

J9 SENSEGND1 K9 SENSEVDD1

J10 VDD K10 GND

J11 GND K11 VDD

J12 VDD K12 GND

J13 GND K13 VDD

J14 SGND K14 GND

J15 SGND K15 SVDD

J16 SGND K16 SVDD

J17 SGND K17 SVDD

J18 SGND K18 SVDD

J19 SGND K19 SVDD

J20 SGND K20 SVDD

J21 SGND K21 SVDD

J22 SGND K22 SVDD

J23 SGND K23 SVDD

J24 SGND K24 GND

J25 GND K25 NC_K25

J26 TH_VDD K26 NC_K26

J27 GND K27 NC_K27

J28 NC_J28 K28 NC_K28

J29 NC_J29 K29 NC_K29

J30 NC_J30 K30 NC_K30

J31 NC_J31 K31 GND

J32 NC_J32 K32 NC_K32

L1 D1_MDQ48 M1 D1_MDQ35

L2 D1_MDQ49 M2 D1_MDQ34

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 53: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 53

L3 D1_MDQ43 M3 D1_MDQ37

L4 GND M4 D1_MDQ41

L5 D1_MDQ47 M5 D1_MDQ42

L6 D1_MDQ45 M6 GND

L7 D1_MDQ62 M7 D1_MODT0

L8 G1VDD M8 D1_MODT3

L9 D1_MCS3_B M9 GND

L10 VDD M10 GND

L11 GND M11 VDD

L12 VDD M12 GND

L13 GND M13 VDD

L14 VDD M14 GND

L15 GND M15 VDD

L16 VDD M16 GND

L17 GND M17 VDD

L18 VDD M18 GND

L19 GND M19 VDD

L20 VDD M20 GND

L21 GND M21 VDD

L22 VDD M22 GND

L23 GND M23 VDD

L24 NC_L24 M24 GND

L25 GND M25 NC_M25

L26 NC_L26 M26 NC_M26

L27 NC_L27 M27 GND

L28 NC_L28 M28 NC_M28

L29 GND M29 NC_M29

L30 NC_L30 M30 NC_M30

L31 NC_L31 M31 NC_M31

L32 NC_L32 M32 NC_M32

N1 D1_MDQ33 P1 D1_MDQS4

N2 GND P2 D1_MDQS4_B

N3 D1_MDM4 P3 D1_MDQ39

N4 D1_MDQS5 P4 GND

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 54: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor54

N5 D1_MDQS5_B P5 D1_MDQ40

N6 D1_MDM5 P6 D1_MDQ46

N7 D1_MWE_B P7 G1VDD

N8 D1_MODT2 P8 D1_MRAS_B

N9 D1_MA13 P9 D1_MCS1_B

N10 VDD P10 GND

N11 GND P11 VDD

N12 VDD P12 GND

N13 GND P13 VDD

N14 VDD P14 GND

N15 GND P15 VDD

N16 VDD P16 GND

N17 GND P17 VDD

N18 VDD P18 GND

N19 GND P19 VDD

N20 VDD P20 GND

N21 GND P21 VDD

N22 VDD P22 GND

N23 GND P23 VDD

N24 NC_N24 P24 NC_P24

N25 NC_N25 P25 NC_P25

N26 NC_N26 P26 GND

N27 NC_N27 P27 NC_P27

N28 NC_N28 P28 NC_P28

N29 NC_N29 P29 GND

N30 NC_N30 P30 NC_P30

N31 GND P31 NC_P31

N32 NC_N32 P32 NC_P32

R1 D1_MDQ32 T1 G1VDD

R2 GND T2 D1_MA05

R3 D1_MDQ36 T3 D1_MAPAR_ERR_B

R4 D1_MDQ38 T4 D1_MA02

R5 D1_MDQ44 T5 D1_MBA1

R6 GND T6 D1_MA01

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 55: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 55

R7 D1_MCS2_B T7 D1_MAPAR_OUT

R8 D1_MCAS_B T8 D1_MBA0

R9 G1VDD T9 D1_MCS0_B

R10 VDD T10 G1VDD

R11 GND T11 VDD

R12 VDD T12 GND

R13 GND T13 VDD

R14 VDD T14 GND

R15 GND T15 VDD

R16 VDD T16 GND

R17 GND T17 VDD

R18 VDD T18 GND

R19 GND T19 VDD

R20 VDD T20 GND

R21 GND T21 VDD

R22 VDD T22 GND

R23 GND T23 GND

R24 GND T24 NC_T24

R25 NC_R25 T25 NC_T25

R26 NC_R26 T26 NC_T26

R27 GND T27 NC_T27

R28 NC_R28 T28 NC_T28

R29 NC_R29 T29 NC_T29

R30 NC_R30 T30 NC_T30

R31 GND T31 NC_T31

R32 NC_R32 T32 GND

U1 D1_MCK2 V1 D1_MCK0

U2 D1_MCK2_B V2 D1_MCK0_B

U3 G1VDD V3 G1VDD

U4 D1_MCK3 V4 D1_MCK1

U5 D1_MCK3_B V5 D1_MCK1_B

U6 G1VDD V6 G1VDD

U7 D1_MA00 V7 D1_MDIC1

U8 D1_MA10 V8 D1_MA04

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 56: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor56

U9 G1VDD V9 D1_MA03

U10 GND V10 G1VDD

U11 GND V11 VDD

U12 VDD V12 GND

U13 GND V13 VDD

U14 VDD V14 GND

U15 GND V15 VDD

U16 VDD V16 GND

U17 GND V17 VDD

U18 VDD V18 GND

U19 GND V19 VDD

U20 VDD V20 GND

U21 GND V21 VDD

U22 VDD V22 GND

U23 GND V23 GND

U24 GND V24 NC_V24

U25 NC_U25 V25 NC_V25

U26 NC_U26 V26 NC_V26

U27 GND V27 GND

U28 NC_U28 V28 NC_V28

U29 NC_U29 V29 NC_V29

U30 GND V30 GND

U31 NC_U31 V31 NC_V31

U32 NC_U32 V32 NC_V32

W1 G1VDD Y1 D1_MECC3

W2 D1_MDIC0 Y2 GND

W3 D1_MA08 Y3 D1_MECC7

W4 D1_MA06 Y4 GND

W5 D1_MA07 Y5 D1_MECC0

W6 D1_MA09 Y6 D1_MCKE3

W7 D1_MA12 Y7 G1VDD

W8 D1_MA11 Y8 D1_MCKE2

W9 G1VDD Y9 D1_MA15

W10 GND Y10 G1VDD

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 57: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 57

W11 GND Y11 VDD

W12 VDD Y12 GND

W13 GND Y13 VDD

W14 VDD Y14 GND

W15 GND Y15 VDD

W16 VDD Y16 GND

W17 GND Y17 VDD

W18 VDD Y18 GND

W19 GND Y19 VDD

W20 VDD Y20 GND

W21 GND Y21 VDD

W22 VDD Y22 GND

W23 GND Y23 GND

W24 GND Y24 NC_Y24

W25 NC_W25 Y25 NC_Y25

W26 NC_W26 Y26 GND

W27 NC_W27 Y27 NC_Y27

W28 NC_W28 Y28 NC_Y28

W29 NC_W29 Y29 GND

W30 NC_W30 Y30 NC_Y30

W31 NC_W31 Y31 GND

W32 GND Y32 NC_Y32

AA1 D1_MDQS8_B AB1 D1_MDM8

AA2 D1_MDQS8 AB2 GND

AA3 D1_MECC6 AB3 D1_MECC2

AA4 D1_MDQ30 AB4 D1_MDQ29

AA5 D1_MDM3 AB5 GND

AA6 D1_MBA2 AB6 D1_MDQ27

AA7 D1_MCKE0 AB7 D1_MDQ26

AA8 D1_MCKE1 AB8 GND

AA9 D1_MA14 AB9 GND

AA10 G1VDD AB10 GND

AA11 GND AB11 VDD

AA12 VDD AB12 GND

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 58: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor58

AA13 GND AB13 VDD

AA14 VDD AB14 GND

AA15 GND AB15 VDD

AA16 VDD AB16 GND

AA17 GND AB17 VDD

AA18 VDD AB18 GND

AA19 GND AB19 VDD

AA20 VDD AB20 GND

AA21 GND AB21 VDD

AA22 VDD AB22 GND

AA23 GND AB23 GND

AA24 NC_AA24 AB24 GND

AA25 NC_AA25 AB25 GND

AA26 NC_AA26 AB26 NC_AB26

AA27 NC_AA27 AB27 NC_AB27

AA28 NC_AA28 AB28 GND

AA29 NC_AA29 AB29 NC_AB29

AA30 NC_AA30 AB30 NC_AB30

AA31 NC_AA31 AB31 GND

AA32 NC_AA32 AB32 NC_AB32

AC1 D1_MECC5 AD1 D1_MDM2

AC2 D1_MECC4 AD2 D1_MDQ19

AC3 D1_MECC1 AD3 D1_MDQ22

AC4 D1_MDQ31 AD4 GND

AC5 D1_MDQS3_B AD5 D1_MDQ28

AC6 D1_MDQS3 AD6 D1_MDQ24

AC7 GND AD7 D1_MDQ25

AC8 AVDD_DDR1 AD8 GND

AC9 M1VREF AD9 GND

AC10 GND AD10 NC_AD10

AC11 GND AD11 SENSEGND2

AC12 VDD AD12 D1_DDRCLK

AC13 GND AD13 OVDD

AC14 VDD AD14 GND

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 59: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 59

AC15 GND AD15 OVDD

AC16 VDD AD16 GND

AC17 GND AD17 OVDD

AC18 VDD AD18 GND

AC19 GND AD19 DVDD

AC20 VDD AD20 GND

AC21 GND AD21 GND

AC22 VDD AD22 GND

AC23 GND AD23 NC_AD23

AC24 GND AD24 GND

AC25 OVDD AD25 GND

AC26 GND AD26 NC_AD26

AC27 NC_AC27 AD27 NC_AD27

AC28 NC_AC28 AD28 NC_AD28

AC29 NC_AC29 AD29 GND

AC30 NC_AC30 AD30 NC_AD30

AC31 NC_AC31 AD31 NC_AD31

AC32 NC_AC32 AD32 NC_AD32

AE1 D1_MDQ21 AF1 D1_MDQS2_B

AE2 GND AF2 D1_MDQS2

AE3 D1_MDQ23 AF3 D1_MDQ16

AE4 D1_MDQS0_B AF4 D1_MDQ03

AE5 D1_MDQS0 AF5 GND

AE6 D1_MDQ04 AF6 D1_MDQ06

AE7 D1_MDQ01 AF7 D1_MDQ00

AE8 TSEC_1588_CLK_OUT AF8 USB_D0

AE9 TSEC_1588_TRIG_IN1 AF9 TSEC_1588_PULSE_OUT1

AE10 EVT0_B AF10 TSEC_1588_TRIG_IN2

AE11 EVT1_B AF11 GND

AE12 SENSEVDD2 AF12 EVT4_B

AE13 GND AF13 IFC_AD02/CFG_GPINPUT2

AE14 OVDD AF14 IFC_AD08/CFG_RCW_SRC0

AE15 GND AF15 IFC_AD09/CFG_RCW_SRC1

AE16 OVDD AF16 IFC_AD10/CFG_RCW_SRC2

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 60: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor60

AE17 GND AF17 IFC_AD12/CFG_RCW_SRC4

AE18 OVDD AF18 IFC_AD13/CFG_RCW_SRC5

AE19 GND AF19 IFC_AD03/CFG_GPINPUT3

AE20 DVDD AF20 TMP_DETECT_B

AE21 GND AF21 SDHC_DAT3/GPIO2[8]

AE22 GND AF22 IRQ01

AE23 IIC2_SCL AF23 IRQ00

AE24 UART1_CTS_B/GPIO1[21]/UART3_SIN AF24 UART1_RTS_B/GPIO1[19]/UART3_SOUT

AE25 IIC4_SDA/GPIO3[6]/EVT6_B/USB_PWRFAULT

AF25 UART2_SOUT/GPIO1[16]

AE26 NC_AE26 AF26 NC_AF26

AE27 NC_AE27 AF27 NC_AF27

AE28 NC_AE28 AF28 GND

AE29 NC_AE29 AF29 NC_AF29

AE30 NC_AE30 AF30 NC_AF30

AE31 GND AF31 NC_AF31

AE32 NC_AE32 AF32 NC_AF32

AG1 D1_MDQ20 AH1 D1_MDM1

AG2 D1_MDQ18 AH2 GND

AG3 D1_MDQ17 AH3 D1_MDQ13

AG4 D1_MDM0 AH4 D1_MDQ08

AG5 D1_MDQ05 AH5 D1_MDQ07

AG6 D1_MDQ02 AH6 USB_STP

AG7 USB_D1 AH7 USB_D2

AG8 GND AH8 TSEC_1588_ALARM_OUT2

AG9 TSEC_1588_ALARM_OUT1 AH9 GND

AG10 CP_SYNC2 AH10 CP_SYNC3

AG11 GND AH11 EVT2_B

AG12 IFC_AD00/CFG_GPINPUT0 AH12 IFC_A24/IFC_WP3_B

AG13 IFC_AD01/CFG_GPINPUT1 AH13 IFC_PAR0/GPIO2[13]

AG14 GND AH14 IFC_A17

AG15 IFC_A16 AH15 IFC_AD04/CFG_GPINPUT4

AG16 IFC_A18 AH16 IFC_AD11/CFG_RCW_SRC3

AG17 GND AH17 IFC_A20

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 61: B4420EC

Pin assignments

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 61

AG18 IFC_AD15/CFG_RCW_SRC7 AH18 IFC_A19

AG19 IFC_A21/CFG_DRAM_TYPE AH19 IFC_AD14/CFG_RCW_SRC6

AG20 GND AH20 SPI_CS2_B/GPIO2[2]/SDHC_DAT6

AG21 SPI_CLK AH21 SDHC_DAT1/GPIO2[6]

AG22 SDHC_DAT0/GPIO2[5] AH22 IRQ02

AG23 GND AH23 IRQ03/GPIO1[23]

AG24 IIC2_SDA AH24 IRQ04/GPIO1[24]

AG25 UART2_RTS_B/GPIO1[20]/UART4_SOUT AH25 IIC1_SDA

AG26 GND AH26 UART2_SIN/GPIO1[18]

AG27 NC_AG27 AH27 IIC1_SCL

AG28 NC_AG28 AH28 NC_AH28

AG29 NC_AG29 AH29 NC_AH29

AG30 NC_AG30 AH30 NC_AH30

AG31 NC_AG31 AH31 GND

AG32 NC_AG32 AH32 NC_AH32

AJ1 D1_MDQ11 AK1 D1_MDQS1_B

AJ2 D1_MDQ14 AK2 D1_MDQS1

AJ3 D1_MDQ12 AK3 D1_MDQ10

AJ4 D1_MDQ09 AK4 USB_D7

AJ5 GND AK5 USB_D4

AJ6 USB_D3 AK6 USB_D5

AJ7 GND AK7 TSEC_1588_PULSE_OUT2

AJ8 GND AK8 CP_SYNC5

AJ9 GND AK9 GND

AJ10 GND AK10 ASLEEP/GPIO1[13]/CFG_XVDD_SEL

AJ11 EVT3_B AK11 CKSTP_OUT_B

AJ12 IFC_PAR1/GPIO2[14] AK12 IFC_WE_B/IFC_WBE0

AJ13 GND AK13 IFC_CS1_B/GPIO2[10]

AJ14 IFC_A25/GPIO2[25]/IFC_RB2_B/IFC_FCTA2

AK14 IFC_CS2_B/GPIO2[11]

AJ15 IFC_A26/GPIO2[26]/IFC_RB3_B/IFC_FCTA3

AK15 IFC_CS0_B

AJ16 GND AK16 IFC_OE_B/IFC_RE_B

AJ17 IFC_A27/GPIO2[27] AK17 IFC_A23/IFC_WP2_B

AJ18 IFC_CS3_B/GPIO2[12] AK18 IFC_AD07/CFG_GPINPUT7

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 62: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Pin assignments

Freescale Semiconductor62

AJ19 GND AK19 SPI_CS1_B/GPIO2[1]/SDHC_DAT5

AJ20 IFC_A22/IFC_WP1_B AK20 SDHC_CMD/GPIO2[4]

AJ21 SPI_CS0_B/GPIO2[0]/SDHC_DAT4 AK21 IRQ06/GPIO1[26]/TMR0

AJ22 GND AK22 IRQ08/GPIO1[28]/TMR2

AJ23 IRQ_OUT_B/EVT9_B AK23 IRQ05/GPIO1[25]

AJ24 IRQ10/GPIO1[30]/TMR4 AK24 IRQ07/GPIO1[27]/TMR1

AJ25 GND AK25 TRST_B

AJ26 EMI1_MDC AK26 EMI1_MDIO

AJ27 IIC4_SCL/GPIO3[5]/EVT5_B AK27 GND

AJ28 GND AK28 UART2_CTS_B/GPIO1[22]/UART4_SIN

AJ29 NC_AJ29 AK29 IIC3_SDA/GPIO3[4]

AJ30 NC_AJ30 AK30 NC_AK30

AJ31 NC_AJ31 AK31 NC_AK31

AJ32 NC_AJ32 AK32 NC_AK32

AL1 GND AM1 —

AL2 D1_MDQ15 AM2 GND

AL3 GND AM3 TSEC_1588_CLK_IN

AL4 USB_CLK AM4 USB_D6

AL5 USB_NXT AM5 USB_DIR

AL6 GND AM6 DMA1_DREQ0_B/GPIO3[0]

AL7 DMA1_DACK0_B/GPIO3[1]/EVT7_B/TMR6 AM7 DMA1_DDONE0_B/GPIO3[2]/EVT8_B/TMR7

AL8 CP_SYNC4 AM8 NC_AM8

AL9 GND AM9 RESET_REQ_B

AL10 CP_RCLK0 AM10 CP_RCLK0_B

AL11 NC_AL11 AM11 NC_AM11

AL12 GND AM12 HRESET_B

AL13 IFC_AD05/CFG_GPINPUT5 AM13 CLK_OUT

AL14 IFC_WP0_B AM14 IFC_CLE/IFC_WBE1/CFG_RCW_SRC8

AL15 GND AM15 IFC_RB0_B/IFC_FCTA0

AL16 IFC_BCTL AM16 IFC_TE/CFG_IFC_TE

AL17 IFC_AD06/CFG_GPINPUT6 AM17 IFC_RB1_B/IFC_FCTA1

AL18 GND AM18 IFC_CLK0

AL19 IFC_AVD/IFC_ALE/CFG_RSP_DIS AM19 IFC_CLK1

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 63: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 63

2 Electrical characteristicsThis section provides the AC and DC electrical specifications for the chip.

2.1 Overall DC electrical characteristicsThis section describes the ratings, conditions, and other characteristics.

AL20 SPI_MISO AM20 SPI_CS3_B/GPIO2[3]/SDHC_DAT7

AL21 GND AM21 SPI_MOSI

AL22 SDHC_DAT2/GPIO2[7] AM22 SDHC_CLK/GPIO2[9]

AL23 IRQ11/GPIO1[31]/TMR5 AM23 RTC/GPIO1[14]

AL24 GND AM24 IRQ09/GPIO1[29]/TMR3

AL25 TDO AM25 TMS

AL26 TCK AM26 TDI

AL27 GND AM27 CP_LOS2

AL28 CP_LOS3 AM28 UART1_SIN/GPIO1[17]/CP_LOS5

AL29 UART1_SOUT/GPIO1[15]/CP_LOS4 AM29 GND

AL30 GND AM30 IIC3_SCL/GPIO3[3]

AL31 NC_AL31 AM31 GND

AL32 GND AM32 —

Table 2. Pinout by package pin number (continued)

Package pinnumber

Package pin namePackage pin

numberPackage Pin Name

Page 64: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor64

2.1.1 Absolute maximum ratings

This table provides the absolute maximum ratings.

Table 3. Absolute operating conditions1

Parameter Symbol Recommended value Unit Notes

Platform and cores supply voltage VDD –0.3 to 1.1 V —

PLL supply voltage: • CGA1 PLL • CGA2 PLL • CGB1 PLL • CGB2 PLL • Platform PLL • DDR1 PLL

AVDD_CGA1AVDD_CGA2AVDD_CGB1AVDD_CGB2AVDD_PLATAVDD_DDR1

–0.3 to 1.9 V —

PLL supply voltage (SerDes) • SerDes1 PLL1 • SerDes2 PLL1

AVDD_SRDS1_PLL1AVDD_SRDS2_PLL1

–0.3 to 1.45/1.6 V —

Fuse programming override supply POVDD –0.3 to 1.99 V —

Thermal monitor unit supply TH_VDD –0.3 to 1.90 V 5

UART, I2C, CPRI LOS and GPIO I/O voltage DVDD –0.3 to 1.9 V/2.6 V —

IFC, SPI, (e)SDHC, MPIC, Trust, power management, clocking, debug, JTAG, CPRI SYNC/RCLK, 1588, Ethernet MI, USB ULPI, DMA, GPIO, system control I/O voltage

OVDD –0.3 to 1.9 V —

SYSCLK, PORESET_B I/O voltage QVDD –0.3 to 1.9 V —

DDR DRAM I/O voltage • DDR1 G1VDD

–0.3 to 1.45/1.6 V 2

Core power supply for SerDes receivers SVDD –0.3 to 1.1 V —

Pad power supply for SerDes transmitters XVDD –0.3 to 1.45/1.6 V —

Page 65: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 65

2.1.2 Recommended operating conditions

This table provides the recommended operating conditions for this chip.

NOTE

The values shown are the recommended operating conditions. Proper device operation outside these conditions is not guaranteed.

Input voltage DDR DRAM signals MVIN –0.3 to (G1VDD + 0.3) V 2

DDR DRAM reference M1VREF –0.3 to (G1VDD/2 + 0.3) V —

UART, I2C, Ethernet MI1, CPRI LOS and GPIO signals

QVIN –0.3 to (DVDD + 0.3) V 3

SYSCLK and PORESET_B signals QVIN –0.3 to (QVDD + 0.3) V 4

IFC, SPI, (e)SDHC, MPIC, Trust, power management, clocking, debug, JTAG, CPRI SYNC/RCLK, 1588, USB ULPI, DMA, GPIO, system signals

OVIN –0.3 to (OVDD + 0.3) V 3

SerDes signals SVIN –0.4 to (SVDD + 0.3) V —

Storage junction temperature range Tstg –55 to 150 C —

Note:

1. Functional operating conditions are given in Table 4. Absolute maximum ratings are stress ratings only; functional operation at the maximums is not guaranteed. Stresses beyond those listed may affect device reliability or cause permanent damage to the device.

2. Caution: MVIN must not exceed G1VDD by more than 0.3 V. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.

3. Caution: OVIN must not exceed OVDD by more than 0.3 V. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.

4. Caution: QVIN must not exceed QVDD by more than 0.3 V. This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.

5. The Thermal Monitoring Unit (TMU) supply is defeatured on this device. TH_VDD should be connected to an OVDD supply.

Table 4. Recommended operating conditions

Characteristic SymbolRecommended

ValueUnit Notes

Core and platform supply voltage At initial start-up VDD 1.05 V 30 mV V 4, 5, 6

During normal operation VID ± 30 mV V 1, 4, 5

PLL supply voltage (core, platform, DDR) AVDD_CGAnAVDD_CGBnAVDD_PLATAVDD_DDR1

1.8 V ± 90 mV V —

PLL supply voltage (SerDes, filtered from XnVDD) AVDD_SDRSn_PLLn 1.5 V ± 75 mV1.35 V ± 67 mV

V —

Fuse programming override supply POVDD 1.8 V ± 90 mV V 2

Table 3. Absolute operating conditions1 (continued)

Parameter Symbol Recommended value Unit Notes

Page 66: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor66

IFC, eSPI, eSHDC, MPIC, trust (TMP_DETECT_B), system control (HRESET_B), power management (ASLEEP), DDRCLK, RTC, debug (EVT*, CKSTP_OUT_B, CLK_OUT), JTAG, CPRI SYNC/RCLK, 1588, US ULPI, DMA

OVDD 1.8 V ± 90 mV V —

UART, I2C, Ethernet MI1, CPRI LOS, and GPIO I/O voltage DVDD 2.5 V ± 125 mV1.8 V ± 90 mV

V —

SYSCLK and PORESET I/O voltage QVDD 1.8 V 90mV V 7

DDR DRAM I/O voltage DDR3 G1VDD 1.5 V ± 75 mV V —

DDR3L 1.35 V ± 67 mV —

Main power supply for internal circuitry of SerDes and pad power supply for SerDes receivers

SVDD 1.0 V +50mV/-30mV V —

Pad power supply for SerDes transmitters XVDD 1.5 V ± 75 mV1.35 V ± 67 mV

V —

Input voltage DDR3 and DDR3L DRAM signals

MVIN GND to G1VDD V —

DDR3 and DDR3L DRAM reference

D1_MVREF G1VDD/2 ± 1% V —

UART, I2C, Ethernet MI1, CPRI LOS and GPIO signals

DVIN GND to DVDD V —

eSHDC, eSPI, DMA, MPIC, GPIO, system control and power management, clocking, debug, IFC, Dn_DDRCLK supply, and JTAG signals

OVIN GND to OVDD V —

SYSCLK, PORESET signals QVIN GND to QVDD V —

SerDes signals SVIN GND to SVDD V —

Table 4. Recommended operating conditions (continued)

Page 67: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 67

Operating temperature range Normal operation TA,TJ

TA = 0 (min) toTJ = 105 (max)

°C —

Extended Temperature TA,TJ

TA = -40 (min) toTJ = 105 (max)

°C —

Secure boot fuse programming TA,TJ

TA = 0 (min) toTJ = 70 (max)

°C 2

Note:

1. The Voltage ID (VID) operating range is between 0.95 V and 1.05 V. Regulator selection should be based on a Vout range of at least 0.9 V to 1.1 V, with resolution of 12.5 mV or better. See Section 3.2.1, “Voltage ID (VID) controllable supply” for more details.

2. POVDD must be supplied 1.8 V and the chip must operate in the specified fuse programming temperature range only –0 –70°C during secure boot fuse programming. For all other operating conditions, POVDD must be tied to GND, subject to the power sequencing constraints shown in Section 2.2, “Power sequencing.”

3. Ethernet MII management interface 2 pins function as open drain I/Os. The interface conforms to 1.2 V nominal voltage levels.4. See Section 3.2.2, “Core supply voltage filtering,” for additional information.

5. Supply voltage specified at the voltage sense pin. Voltage input pins should be regulated to provide specified voltage at the sense pin.

6. Operation at 1.05 V is allowable for up to 1 second at initial power on.

7. Add a bypass cap of 0.1uF on this power ball pin.

Table 4. Recommended operating conditions (continued)

Page 68: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor68

This figure shows the undershoot and overshoot voltages at the interfaces of the chip.

Figure 7. Overshoot/Undershoot voltage for DVDD/QVDD/OVDD/G1VDD

See Table 4 for actual recommended core voltage. Voltage to the processor interface I/Os are provided through separate sets of supply pins and must be provided at the voltages shown in Table 4. The input voltage threshold scales with respect to the associated I/O supply voltage. DVDD, QVDD, and OVDD-based receivers are simple CMOS I/O circuits and satisfy appropriate LVCMOS type specifications. The DDR SDRAM interface uses differential receivers referenced by the externally supplied M1VREF signal (nominally set to G1VDD/2) as is appropriate for the SSTL_1.35/SSTL_1.5 electrical signaling standard. The DDR DQS receivers cannot be operated in single-ended fashion. The complement signal must be properly driven and cannot be grounded.

2.1.3 Output driver characteristics

This chip provides information on the characteristics of the output driver strengths. These values are preliminary estimates.

Table 5. Output drive capability

Driver type Output impedance () Supply voltage Notes

DDR3 signal 18 (full-strength mode)27 (half-strength mode)

G1VDD= 1.5 V 1

GNDGND – 0.3 V

GND – 0.7 VNot to exceed 10%

[Nominal]D/Q/O/G1VDD + 20%

D/Q/O/G1VDD

D/Q/O/G1VDD + 5%

of tCLOCK

tCLOCK refers to the clock period associated with the respective interface:

VIH

VIL

Note:

For I2C and JTAG, tCLOCK refers to SYSCLK.

For DDR G1VDD, tCLOCK refers to Dn_DDRCLK.

For SerDes XVDD, tCLOCK refers to SD_REF_CLK.

For SPI OVDD, tCLOCK refers to SPI_CLK.

Page 69: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 69

2.2 Power sequencing The chip requires that its power rails be applied in a specific sequence in order to ensure proper device operation. For power up, these requirements are as follows:

1. There are no restrictions on the order of Power supplies bringing up. During power up, drive POVDD = GND.

— PORESET_B input must be driven asserted and held during this step.

2. Negate PORESET_B input as long as the required assertion/hold time has been met per Table 13.

3. For secure boot fuse programming, use the following steps:

a) After negation of PORESET_B, drive POVDD = 1.8 V after a required minimum delay per Table 6.

b) After fuse programming is completed, it is required to return POVDD = GND before the system is power cycled (PORESET_B assertion) or powered down (VDD ramp down) per the required timing specified in Table 6. See Section 5, “Security fuse processor,” for additional details.

WARNING

No activity other than that required for secure boot fuse programming is permitted while POVDD is driven to any voltage above GND, including the reading of the fuse block. The reading of the fuse block may only occur while POVDD = GND.

From a system standpoint, if any of the I/O power supplies ramp prior to the VDD supply, the I/Os associated with that I/O supply may drive a logic one or zero during power-up, and extra current may be drawn by the device.

WARNING

Only 300,000 POR cycles are permitted per lifetime of a device. Note that this value is based on design estimates and is preliminary.

All supplies must be at their stable values within 75 ms.

DDR3L signal 18 (full-strength mode)27 (half-strength mode)

G1VDD = 1.35 V 1

IFC, eSPI, eSDHC, MPIC, Trust, power management, clocking, debug, JTAG, CPRI SYNC/RCLK, 1588, USB ULPI, DMA, GPIO, system control, Reset

45OVDD = 1.8 V —

DUART, I2C, Ethernet MI, CPRI-LOS, GPIO 45 DVDD = 2.5 VDVDD = 1.8 V

Note:

1. The drive strength of the DDR3 or DDR3L interface in half-strength mode is at Tj = 105 C and at G1VDD (min).

Table 5. Output drive capability (continued)

Driver type Output impedance () Supply voltage Notes

Page 70: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor70

This figure provides the POVDD timing diagram.

Figure 8. POVDD timing diagram

This table provides information on the power-down and power-up sequence parameters for POVDD.

NOTE

While VDD is ramping, current may be supplied from VDD through the chip to G1VDD. Nevertheless, G1VDD from an external supply should follow the sequencing described above.

2.3 Power-down requirementsThe power-down cycle must complete such that power supply values are below 0.4 V before a new power-up cycle can be started.

If performing secure boot fuse programming per Section 2.2, “Power sequencing,” it is required that POVDD = GND before the system is power cycled (PORESET_B assertion) or powered down (VDD ramp down) per the required timing specified in Table 6.

Table 6. POVDD timing5

Driver type Min Max Unit Notes

tPOVDD_DELAY 100 — SYSCLKs 1

tPOVDD_PROG 0 — s 2

tPOVDD_VDD 0 — s 3

tPOVDD_RST 0 — s 4

Note:

1. Delay required from the deassertion of PORESET_B to driving POVDD ramp up. Delay measured from PORESET_B deassertion at 90% OVDD to 10% POVDD ramp up.

2. Delay required from fuse programming finished to POVDD ramp down start. Fuse programming must complete while POVDD is stable at 1.8 V. No activity other than that required for secure boot fuse programming is permitted while POVDD is driven to any voltage above GND, including the reading of the fuse block. The reading of the fuse block may only occur while POVDD = GND. After fuse programming is completed, it is required to return POVDD = GND.

3. Delay required from POVDD ramp down complete to VDD ramp down start. POVDD must be grounded to minimum 10% POVDD before VDD is at 90% VDD.

4. Delay required from POVDD ramp down complete to PORESET_B assertion. POVDD must be grounded to minimum 10% POVDD before PORESET_B assertion reaches 90% OVDD.

5. Only two secure boot fuse programming events are permitted per lifetime of a device.

tPOVDD_PROG

tPOVDD_DELAY

POVDD

VDD

tPOVDD_RST

Fuse programming

90% OVDD

10% POVDD10% POVDD

90% VDDtPOVDD_VDD

NOTE: POVDD must be stable at 1.8 V prior to initiating fuse programming.

90% OVDD

PORESET_B

Page 71: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 71

2.4 Power characteristicsBecause it depends strongly on application type, the power characteristics for the average power and instantaneous peak current numbers are supplied by the device’s detailed power calculator.

2.5 Power-on ramp rateThis section describes the AC electrical specifications for the power-on ramp rate requirements. Controlling the maximum power-on ramp rate is required to avoid excess in-rush current.

This table provides the power supply ramp rate specifications.

2.6 Input clocks

2.6.1 System clock (SYSCLK) timing specifications

This section provides the system clock DC and AC timing specifications.

2.6.1.1 System clock DC timing specifications

This table provides the system clock (SYSCLK) DC specifications.

Table 7. Power supply ramp rate

Parameter Min Max Unit Notes

Required ramp rate for all voltage supplies (including OVDD/DVDD/ G1VDD/QVDD/SVDD/XVDD, core VDD supply, M1VREF and all AVDD supplies.)

— 25 V/ms 1, 2

Required ramp rate for POVDD — 25 V/ms 1, 2

Note:

1. Ramp rate is specified as a linear ramp from 10 to 90%. If nonlinear (for example, exponential), the maximum rate of change from 200 to 500 mV is the most critical as this range might falsely trigger the ESD circuitry. If needed to slow down the rate, usage of larger capacitors is recommended.

2. Over full recommended operating temperature range (see Table 4)

Table 8. SYSCLK DC electrical characteristics

At recommended operating conditions with QVDD = 1.8 V, see Table 4.

Parameter Symbol Min Typical Max Unit Notes

Input high voltage VIH 1.25 — — V 1

Input low voltage VIL — — 0.6 V 1

Input capacitance CIN — 7 12 pF —

Input current (OVIN= 0 V or OVIN = QVDD)

IIN — — 50 A 2

Note:

1. The min VILand max VIH values are based on the respective min and max QVIN values found in Table 4.

2. The symbol OVIN, in this case, represents the OVIN symbol referenced in Section 2.1.2, “Recommended operating conditions.”

Page 72: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor72

2.6.1.2 System clock AC timing specifications

This table provides the system clock (SYSCLK) AC timing specifications.

2.6.2 Spread-spectrum sources

Spread-spectrum clock sources are an increasingly popular way to control electromagnetic interference emissions (EMI) by spreading the emitted noise to a wider spectrum and reducing the peak noise magnitude in order to meet industry and government requirements. These clock sources intentionally add long-term jitter to diffuse the EMI spectral content. The jitter specification given in this table considers short-term (cycle-to-cycle) jitter only. The clock generator’s cycle-to-cycle output jitter should meet the chip’s input cycle-to-cycle jitter requirement. Frequency modulation and spread are separate concerns; the chip is compatible with spread spectrum sources if the recommendations listed in this table are observed.

CAUTION

The processor’s minimum and maximum SYSCLK and core/platform/DDR frequencies must not be exceeded regardless of the type of clock source. Therefore, systems in which the processor is operated at its maximum rated core/platform/DDR frequency should avoid violating the stated limits by using down-spreading only.

Table 9. SYSCLK AC timing specifications

At recommended operating conditions with OVDD = 1.8 V, see Table 4.

Parameter/Condition Symbol Min Typ Max Unit Notes

SYSCLK frequency fSYSCLK 66.667 — 133.333 MHz 1, 2

SYSCLK cycle time tSYSCLK 7.5 — 15 ns 1, 2

SYSCLK duty cycle tKHK/tSYSCLK 40 50 60 % 2

SYSCLK slew rate — 1 — 4 V/ns 3

SYSCLK peak period jitter — — — 150 ps —

SYSCLK jitter phase noise at –56 dBc — — — 500 KHz 4

AC Input Swing Limits at 1.8 V QVDD VAC 0.35 x QVDD — 0.65 x QVDD V —

Notes:1. Caution: The relevant clock ratio settings must be chosen such that the resulting SYSCLK frequency do not exceed their

respective maximum or minimum operating frequencies.2. Measured at the rising edge and/or the falling edge at QVDD/2. 3. Slew rate is measured from 10% ~ 90% of VIL to VIH.4. Phase noise is calculated as FFT of TIE jitter.

Table 10. Spread-spectrum clock source recommendations

At recommended operating conditions with OVDD = 1.8 V, see Table 4.

Parameter Min Max Unit Notes

Frequency modulation — 60 kHz —

Frequency spread — 1.0 % 1, 2

Notes: 1. SYSCLK frequencies that result from frequency spreading and the resulting core frequency must meet the minimum and

maximum specifications given in Table 9.2. Maximum spread spectrum frequency may not result in exceeding any maximum operating frequency of the device.

Page 73: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 73

2.6.3 Real-time clock timing

The real-time clock timing (RTC) input is sampled by the platform clock. The output of the sampling latch is then used as an input to the counters of the MPIC and the time base unit of the core; there is no need for jitter specification. The minimum period of the RTC signal should be greater than or equal to 16 the period of the platform clock with a 50% duty cycle. There is no minimum RTC frequency; RTC may be grounded if not needed.

2.6.4 DDR clock timing

2.6.4.1 DDR D1_DDRCLK clock DC timing specifications

This table provides the system clock (MCLK) DC specifications.

2.6.4.2 DDR D1_DDRCLK clock AC timing specifications

This table provides the system clock (D1_DDRCLK) AC timing specifications.

Table 11. D1_DDRCLK3 DC electrical characteristics

At recommended operating conditions with OVDD = 1.8v, see Table 4.

Parameter Symbol Min Typical Max Unit Notes

Input high voltage VIH 1.25 — — V 1

Input low voltage VIL — — 0.6 V 1

Input capacitance CIN — 7 12 pF —

Input current (OVIN= 0 V or OVIN = OVDD)

IIN — — 50 A 2

Note:

1. The min VILand max VIH values are based on the respective min and max OVIN values found in Table 4.

2. The symbol OVIN, in this case, represents the OVIN symbol referenced in Section 2.1.2, “Recommended operating conditions.”

3. D1_DDRCLK must toggle in order to get out of PORESET, even if the DDR1 interface is not required. AVDD_DDR1 voltage must be supplied.

Table 12. D1_DDRCLK AC timing specifications

At recommended operating conditions with OVDD = 1.8V, see Table 4.

Parameter/Condition Symbol Min Typ Max Unit Notes

D1_DDRCLK frequency fMCLK 66.667 — 133.333 MHz 1, 2

D1_DDRCLK cycle time tMCLK 7.5 — 15 ns 1, 2

D1_DDRCLK duty cycle tKHK/tMCLK 40 50 60 % 2

D1_DDRCLK slew rate — 1 — 4 V/ns 3

Page 74: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor74

2.6.5 Other input clocks

A description of the overall clocking of this device is available in the chip reference manual in the form of a clock subsystem block diagram. For information about the input clock requirements of functional modules sourced external of the chip, such as SerDes, I2C, eSDHC, IFC, USB, and 1588, see the specific interface section.

2.7 RESET initializationThis table describes the AC electrical specifications for the RESET initialization timing.

D1_DDRCLK peak period jitter — — — 150 ps —

D1_DDRCLK jitter phase noise at –56 dBc

— — — 500 KHz 4

AC Input Swing Limits at 1.8 V OVDD VAC 0.35 x OVDD — 0.65 x OVDD V —

Notes:1. Caution: The relevant clock ratio settings must be chosen such that the resulting D1_DDRCLK frequency do not exceed

their respective maximum or minimum operating frequencies.2. Measured at the rising edge and/or the falling edge at OVDD/2. 3. Slew rate is measured from 10% ~ 90% of VIL to VIH.4. Phase noise is calculated as FFT of TIE jitter.

Table 13. RESET Initialization timing specifications

Parameter/Condition Min Max Unit Notes

Required assertion time of PORESET_B 1 — ms 3

Required input assertion time of HRESET_B 32 — SYSCLKs 1, 2

Maximum rise/fall time of HRESET_B — 1 SYSCLK 4

PLL input setup time with stable SYSCLK before HRESET_B negation 100 — s —

Input setup time for POR configs with respect to negation of PORESET_B

4 — SYSCLKs 1

Input hold time for all POR configs with respect to negation of PORESET_B

2 — SYSCLKs 1

Maximum valid-to-high impedance time for actively driven POR configs with respect to negation of PORESET_B

— 5 SYSCLKs 1

Notes:

1. SYSCLK is the primary clock input for the chip.

2. The device asserts HRESET_B as an output when PORESET_B is asserted to initiate the power-on reset process. The device releases HRESET_B sometime after PORESET_B is deasserted. The exact sequencing of HRESET_B deassertion is documented in the “Power-On Reset Sequence” section of the chip reference manual.

3. PORESET_B must be driven asserted before the core and platform power supplies are powered up.

4. The system/board must be designed to ensure the input requirement to the device is achieved. Proper device operation is guaranteed for inputs meeting this requirement by design, simulation, characterization, or functional testing.

Table 12. D1_DDRCLK AC timing specifications (continued)

At recommended operating conditions with OVDD = 1.8V, see Table 4.

Parameter/Condition Symbol Min Typ Max Unit Notes

Page 75: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 75

This table provides the PLL lock times.

2.8 DDR3 and DDR3L SDRAM controllerThis section describes the DC and AC electrical specifications for the DDR3 and DDR3L SDRAM controller interface. Note that the required G1VDD(typ) voltage is 1.5 V when interfacing to DDR3 SDRAM and the G1VDD(typ) voltage is 1.35 V when interfacing to DDR3L SDRAM.

NOTE

When operating at DDR data rates of 1866 MT/s, only one dual-ranked module per memory controller is supported.

2.8.1 DDR3 and DDR3L SDRAM interface DC electrical characteristics

This table provides the recommended operating conditions for the DDR SDRAM controller when interfacing to DDR3 SDRAM.

Table 14. PLL lock times

Parameter/Condition Min Max Unit Notes

PLL lock times — 100 s —

Table 15. DDR3 SDRAM interface DC electrical characteristics (G1VDD = 1.5 V)1

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Note

I/O reference voltage M1VREF 0.49 G1VDD 0.51 G1VDD V 2, 3, 4

Input high voltage VIH M1VREF + 0.100 G1VDD V 5

Input low voltage VIL GND M1VREF – 0.100 V 5

I/O leakage current IOZ –100 100 A 6

Notes:

1. G1VDD is expected to be within 50 mV of the DRAM’s voltage supply at all times. The DRAM’s and memory controller’s voltage supply may or may not be from the same source.

2. M1VREF is expected to be equal to 0.5 G1VDD and to track G1VDD DC variations as measured at the receiver. Peak-to-peak noise on M1VREF may not exceed the M1VREF DC level by more than ±1% of the DC value (that is, ±15 mV).

3. VTT is not applied directly to the device. It is the supply to which far end signal termination is made, and it is expected to be equal to M1VREF with a min value of M1VREF – 0.04 and a max value of M1VREF + 0.04. VTT should track variations in the DC level of M1VREF.

4. The voltage regulator for M1VREF must meet the specifications stated in Table 18.

5. Input capacitance load for DQ, DQS, and DQS_B are available in the IBIS models.6. Output leakage is measured with all outputs disabled, 0 V VOUT G1VDD.

Page 76: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor76

This table provides the recommended operating conditions for the DDR SDRAM controller when interfacing to DDR3L SDRAM.

This table provides the DDR controller interface capacitance for DDR3 and DDR3L.

This table provides the current draw characteristics for M1VREF.

Table 16. DDR3L SDRAM interface DC electrical characteristics (G1VDD = 1.35 V)1

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Note

I/O reference voltage M1VREF 0.49 G1VDD 0.51 G1VDD V 2, 3, 4

Input high voltage VIH M1VREF + 0.090 G1VDD V 5

Input low voltage VIL GND M1VREF – 0.090 V 5

I/O leakage current IOZ –100 100 A 6

Output high current (VOUT = 0.641V) IOH — –23.3 mA 7, 8

Output low current (VOUT =0.641 V) IOL 23.3 — mA 7, 8

Notes:

1. G1VDD is expected to be within 50 mV of the DRAM’s voltage supply at all times. The DRAM’s and memory controller’s voltage supply may or may not be from the same source.

2. M1VREF is expected to be equal to 0.5 G1VDD and to track G1VDD DC variations as measured at the receiver. Peak-to-peak noise on M1VREF may not exceed the M1VREF DC level by more than ±1% of the DC value (that is, ±13.5mV).

3. VTT is not applied directly to the device. It is the supply to which far end signal termination is made, and it is expected to be equal to M1VREF with a min value of M1VREF – 0.04 and a max value of M1VREF + 0.04. VTT should track variations in the DC level of M1VREF.

4. The voltage regulator for M1VREF must meet the specifications stated in Table 18.

5. Input capacitance load for DQ, DQS, and DQS_B are available in the IBIS models.6. Output leakage is measured with all outputs disabled, 0 V VOUT G1VDD.

7. See the IBIS model for the complete output IV curve characteristics.

8. IOH and IOL are measured at G1VDD = 1.283 V.

Table 17. DDR3 and DDR3L SDRAM capacitance

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Notes

Input/output capacitance: DQ, DQS, DQS_B CIO 6 8 pF —

Delta input/output capacitance: DQ, DQS, DQS_B CDIO — 0.5 pF —

Table 18. Current draw characteristics for M1VREF

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Notes

Current draw for DDR3 SDRAM for M1VREF IM1VREF — 500 A —

Current draw for DDR3L SDRAM for M1VREF IM1VREF — 500 A —

Page 77: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 77

2.8.2 DDR3 and DDR3L SDRAM interface AC timing specifications

This section provides the AC timing specifications for the DDR SDRAM controller interface. The DDR controller supports DDR3 and DDR3L memories. Note that the required G1VDD(typ) voltage is 1.5 V when interfacing to DDR3 SDRAM and the required G1VDD(typ) voltage is 1.35 V when interfacing to DDR3L SDRAM.

2.8.2.1 DDR3 and DDR3L SDRAM interface input AC timing specifications

This table provides the input AC timing specifications for the DDR controller when interfacing to DDR3 SDRAM.

Table 19. DDR3 and DDR3L SDRAM interface input AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Notes

Controller Skew for MDQS—MDQ/MECC tCISKEW ps 1

1600 MT/s data rate –112 112

1333 MT/s data rate –125 125

1200 MT/s data rate –142 142

1066 MT/s data rate –170 170

Tolerated Skew for MDQS—MDQ/MECC tDISKEW ps 2

1600 MT/s data rate –200 200

1333 MT/s data rate –250 250

1200 MT/s data rate –275 275

1066 MT/s data rate –300 300

Note:

1. tCISKEW represents the total amount of skew consumed by the controller between MDQS[n] and any corresponding bit that is captured with MDQS[n]. This must be subtracted from the total timing budget.

2. The amount of skew that can be tolerated from MDQS to a corresponding MDQ signal is called tDISKEW.This can be determined by the following equation: tDISKEW = ±(T 4 – abs(tCISKEW)), where T is the clock period and abs(tCISKEW) is the absolute value of tCISKEW.

Page 78: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor78

This figure shows the DDR3 and DDR3L SDRAM interface input timing diagram.

Figure 9. DDR3 and DDR3L SDRAM interface input timing diagram

2.8.2.2 DDR3 and DDR3L SDRAM interface output AC timing specifications

This table contains the output AC timing targets for the DDR3 SDRAM interface.

Table 20. DDR3 and DDR3L SDRAM interface output AC timing specifications

For recommended operating conditions, see Table 4

Parameter Symbol1 Min Max Unit Notes

MCK[n] cycle time tMCK 1.072 1.876 ns 2

ADDR/CMD output setup with respect to MCK tDDKHAS ns 3

1600 MT/s data rate 0.495 —

1333 MT/s data rate 0.606 —

1200 MT/s data rate 0.675 —

1066 MT/s data rate 0.744 —

ADDR/CMD output hold with respect to MCK tDDKHAX ns 3

1600 MT/s data rate 0.495 —

1333 MT/s data rate 0.606 —

1200 MT/s data rate 0.675 —

1066 MT/s data rate 0.744 —

MCK to MDQS skew tDDKHMH ns 4

> 1600 MT/s data rate -0.150 -0.150 4,6

data rate > 1066MT/s & =< 1600 MT/s -0.245 0.245 4,6

MDQ/MECC/MDM output Data eye tDDKXDEYE ns 5

1600 MT/s data rate 0.400 —

MCK_B[n]

MCK[n]tMCK

MDQ[x]

MDQS[n]

tDISKEW

D1D0

tDISKEW

tDISKEW

Page 79: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 79

NOTE

For the ADDR/CMD setup and hold specifications in Table 20, it is assumed that the clock control register is set to adjust the memory clocks by ½ applied cycle.

This figure shows the DDR3 and DDR3L SDRAM interface output timing for the MCK to MDQS skew measurement (tDDKHMH).

1333 MT/s data rate 0.500 —

1200 MT/s data rate 0.550 —

1066 MT/s data rate 0.600 —

MDQS preamble tDDKHMP 0.9 x tMCK — ns —

MDQS postamble tDDKHME 0.4 x tMCK 0.6 x tMCK ns —

Note:

1. The symbols used for timing specifications follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. Output hold time can be read as DDR timing (DD) from the rising or falling edge of the reference clock (KH or KL) until the output went invalid (AX or DX). For example, tDDKHAS symbolizes DDR timing (DD) for the time tMCK memory clock reference (K) goes from the high (H) state until outputs (A) are setup (S) or output valid time.

2. All MCK/MCK_B and MDQS/MDQS_B referenced measurements are made from the crossing of the two signals.3. ADDR/CMD includes all DDR SDRAM output signals except MCK/MCK_B, MCS_B, and MDQ/MECC/MDM/MDQS.

4. Note that tDDKHMH follows the symbol conventions described in note 1. For example, tDDKHMH describes the DDR timing (DD) from the rising edge of the MCK[n] clock (KH) until the MDQS signal is valid (MH). tDDKHMH can be modified through control of the MDQS override bits (called WR_DATA_DELAY) in the TIMING_CFG_2 register. This is typically set to the same delay as in DDR_SDRAM_CLK_CNTL[CLK_ADJUST]. The timing parameters listed in the table assume that these two parameters have been set to the same adjustment value. See the chip reference manual for a description and explanation of the timing modifications enabled by use of these bits.

5. Available eye for data (MDQ), ECC (MECC), and data mask (MDM) outputs at the pin of the processor. Memory controller

will center the strobe (MDQS) in the available data eye at the DRAM (end point) during the initialization.

6. For data rates of 1200 MT/s and higher, it is required to program the start value of the DQS adjust for write leveling.

Table 20. DDR3 and DDR3L SDRAM interface output AC timing specifications (continued)

For recommended operating conditions, see Table 4

Parameter Symbol1 Min Max Unit Notes

MDQS

MCK[n]

MCK[n]tMCK

MDQS

tDDKHMH(max)

tDDKHMH(min)

Page 80: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor80

Figure 10. tDDKHMH timing diagram

This figure shows the DDR3 and DDR3L SDRAM output timing diagram.

Figure 11. DDR3 and DDR3L output timing diagram

ADDR/CMD

tDDKHAS, tDDKHCS

tDDKLDS

tDDKHDS

MDQ[x]

MDQS[n]

MCK_B

MCKtMCK

tDDKLDX

tDDKHDX

D1D0

tDDKHAX, tDDKHCX

Write A0 NOOP

tDDKHME

tDDKHMH

tDDKHMP

VTR

VCP

GND

G1VDD

VOX or VIX

G1VDD/2

Page 81: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 81

2.9 DC electrical characteristics

2.9.1 DC characteristics for 1.8 V IO cells

This table provides the DC electrical characteristics for the IFC, SPI, MPIC, Trust, power management, clocking, debug, JTAG, CPRI SYNC/RCLK, 1588, eSDHC, USB ULPI, DMA, Timers, UART and GPIO interface operating at VDDIO = OVDD/QVDD/DVDD= 1.8 V.

2.9.2 DC characteristics for 2.5 V IO cells

This table provides the DC electrical characteristics for the UART, Ethernet MI1, and GPIO interface operating at DVDD = 2.5 V.

Table 21. DC electrical characteristics (1.8 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

High-level output voltage VOH — — V —

IOH = 1 mA VDDIOMIN – 0.15

IOH = 2 mA VDDIOMIN x 0.8

IOH = -100uA VDDIOMIN – 0.2 3

Low-level output voltage VOL — — V —

IOL = 1 mA 0.15

IOL = 2 mA 0.2 x VDDIOMAX

IOL = 2 mA 0.3 3

Input current (VIN = 0 V or VIN = OVDD/QVDD/DVDD) IIN — — ±50 A 2

High-level DC input voltage VIH 0.7 x VDDIOMAX — — V 1

Low-level DC input voltage VIL — — 0.3 x VDDIOMIN V 1

Note:

1. The min VILand max VIH values are based on the respective min and max OVIN/QVIN values found in Table 4.

2. The symbol VIN, in this case, represents the OVIN/QVIN symbol referenced in Section 2.1.2, “Recommended operating conditions.”

3. eSDHC protocol open-drain mode for MMC cards only.

Table 22. DC electrical characteristics (2.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

High-level output voltage VOH — — V —

IOH = 1 mA VDDIOMIN – 0.15

IOH = 2 mA VDDIOMIN x 0.8

Low-level output voltage VOL — — V —

IOL = 1 mA 0.15

IOL = 2 mA 0.2 x VDDIOMAX

Page 82: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor82

2.10 eSPI interfaceThis section describes the AC electrical specifications for the eSPI interface.

2.10.1 eSPI AC timing specifications

This table provides the eSPI input and output AC timing specifications.

Input current (VIN = 0 V or VIN = OVDD/QVDD/DVDD) IIN — — ±50 A 2

High-level DC input voltage VIH 0.7 x VDDIOMAX — — V 1

Low-level DC input voltage VIL — — 0.3 x VDDIOMIN V 1

Note:

1. The min VILand max VIH values are based on the respective min and max QVIN values found in Table 4.

2. The symbol VIN, in this case, represents the QVIN symbol referenced in Section 2.1.2, “Recommended operating conditions.”

Table 23. eSPI AC timing specifications1

Characteristic Symbol 2 Min Max Unit Note

SPI_MOSI output—Master data (internal clock) hold time

tNIKHOX n1 + (tPLATFORM_CLK/2 * SPMODE[HO_ADJ])

— ns 2, 3, 4

SPI_MOSI output—Master data (internal clock) delay

tNIKHOV — n2 + (tPLATFORM_CLK/2 * SPMODE[HO_ADJ])

ns 2, 3, 4

SPI_CS outputs—Master data (internal clock) hold time

tNIKHOX2 0 — ns 2

SPI_CS outputs—Master data (internal clock) delay

tNIKHOV2 — 8.5 ns 2, 5

SPI inputs—Master data (internal clock) input setup time

tNIIVKH 5 — ns —

SPI inputs—Master data (internal clock) input hold time

tNIIXKH 0 — ns —

Clock-high/low time tNIKCKH/tNIKCKL

4 — ns —

Table 22. DC electrical characteristics (2.5 V) (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Page 83: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 83

This figure provides the AC test load for the eSPI.

Figure 12. eSPI AC test load

This figure provides the eSPI clock output timing diagram.

Figure 13. eSPI clock output timing diagram

CLKOUT period SPI_CLK 12 — ns —

Notes:

1. The symbols used for timing specifications follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tNIKHOV symbolizes the NMSI outputs internal timing (NI) for the time tSPI memory clock reference (K) goes from the high state (H) until outputs (O) are valid (V).

2. Output specifications are measured from the 50% level of the rising edge of SPI_CLK to the 50% level of the signal. Timings are measured at the pin.

3. See the chip reference manual for details about the SPMODE register.

4. The optimal n1 and n2 values are –1.0 and 1.0, respectively, based on the AC timing specifications for the majority of the SPI flash devices on the market.

5. The SPI_CS signal is asserted/negated long enough before/after the actual data transmit that there is no problem with SPI_CS timing constraints.

Table 23. eSPI AC timing specifications1 (continued)

Characteristic Symbol 2 Min Max Unit Note

Output Z0 = 50 OVDD/2RL = 50

Page 84: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor84

This figure represents the AC timing from Table 23 in master mode (internal clock). Note that although the specifications generally reference the rising edge of the clock, these AC timing diagrams also apply when the falling edge is the active edge. Also, note that the clock edge is selectable on eSPI.

Figure 14. eSPI AC timing in master mode (internal clock) diagram

2.11 DUART interfaceThis section describes the AC electrical specifications for the DUART interface.

2.11.1 UART AC electrical specifications

This table provides the AC timing parameters for the UART interface.

2.12 Ethernet interface, Ethernet management interface1, IEEE Std 1588™

This section provides the AC and DC electrical characteristics for the Ethernet controller and the Ethernet management interface.

Table 24. UART AC timing specifications

Parameter Value Unit Notes

Minimum baud rate fPLAT/(2 × 1,048,576) baud 1, 3

Maximum baud rate fPLAT/(2 × 16) baud 1, 2

Notes:

1. fPLAT refers to the internal platform clock. 2. The actual attainable baud rate is limited by the latency of interrupt processing.3. The middle of a start bit is detected as the eighth sampled 0 after the 1-to-0 transition of the start bit. Subsequent bit values

are sampled each 16th sample.

SPI_CLK (output)

tNIIXKH

tNIKHOV

Input signals:SPI_MISO

Output signals:SPI_MOSI

tNIIVKH

tNIKHOX

Output signals:SPI_CS[0:3]

tNIKHOV2 tNIKHOX2

Page 85: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 85

2.12.1 SGMII electrical specifications

See Section 2.23.6, “SGMII interface.”

2.12.2 Ethernet management interface (EMI)

This section discusses the electrical characteristics for the EMI1 interface.

EMI1 is the PHY management interface controlled by the MDIO controller associated with Frame Manager GMAC1-3.

Table 22, “DC electrical characteristics (2.5 V),” provides the Ethernet Management interface EMI1 DC electrical characteristics.

2.12.2.1 Ethernet management interface 1 AC electrical specifications

This table provides the Ethernet management interface 1 AC electrical characteristics.

Table 25. Ethernet management interface 1 AC timing specifications 6

Parameter/Condition Symbol1 Min Typ Max Unit Notes

MDC frequency fMDC — — 2.5 MHz 2

MDC clock pulse width high tMDCH 160 — — ns —

MDC to MDIO delay tMDKHDX (Y× tenet_clk) – 4 — (Y× tenet_clk) + 4 ns 3,4,5

MDIO to MDC setup time tMDDVKH 12.5 — — ns —

MDIO to MDC hold time tMDDXKH 0 — — ns —

Notes:

1. The symbols used for timing specifications follow the pattern of t(first two letters of functional block)(signal)(state)(reference)(state) for inputs and t(first

two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tMDKHDX symbolizes management data timing (MD) for the time tMDC from clock reference (K) high (H) until data outputs (D) are invalid (X) or data hold time.Also, tMDDVKH symbolizes management data timing (MD) with respect to the time data input signals (D) reach the valid state(V) relative to the tMDC

clock reference (K) going to the high (H) state or setup time.2. This parameter is dependent on the Ethernet clock frequency. MDIO_CFG [MDIO_CLK_DIV] field determines the clock

frequency of the MgmtClk Clock MDIO_MDC.In Rev2 the default value of MDIO_CFG [MDIO_CLK_DIV] is 0 means no clock is available. Recommended to configure this field in PBL.

3. This parameter is dependent on the Ethernet clock frequency. The delay is equal to Y x Ethernet clock periods ±4 ns. For example, with an Ethernet clock of 333 MHz, the min/max delay is (5 x 1/333M) = 15 ns ± 4 ns.Default values for Rev 1: silicon:MDIO_CFG[MDIO_HOLD] = 3’b010 which selects 5 tenet_clk cyclesDefault values for Rev 2 silicon:MDIO_CFG[MDIO_HOLD] = 3’b010 which selects 5 tenet_clk cyclesMDIO_CFG[NEG] = 1MDIO_CFG[EHOLD] = 0For Rev 1 silicon: Y = MDIO_CFG[MDIO_HOLD]For Rev 2 silicon:If MDIO_CFG[EHOLD] = 0 then Y = MDIO_CFG[MDIO_HOLD] If MDIO_CFG[EHOLD] = 1 then Y = 8 x MDIO_CFG[MDIO_HOLD] +14. tMDKHDX transition:

For Rev 1 silicon: tMDKHDX is MDC positive edge to MDIO transitionFor Rev 2 silicon:If MDIO_CFG[NEG] = 0 then tMDKHDX is MDC positive edge to MDIO transitionIf MDIO_CFG[NEG] = 1 then tMDKHDX is MDC negative edge to MDIO transition5. tenet_clk is the Ethernet clock period derived from Frame Manger clock, FM clock. tenet_clk=1/2 × FM_clock.6. For recommended operating conditions, see Table 4.

Page 86: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor86

This figure shows the Ethernet management interface timing diagram.

Figure 15. Ethernet management interface timing diagram

2.12.3 IEEE 1588 AC specifications

This table provides the IEEE 1588 AC timing specifications.

Table 26. IEEE 1588 AC timing specifications

For recommended operating conditions, see Table 4.

Parameter/Condition Symbol Min Typ Max Unit Notes

TSEC_1588_CLK_IN clock period tT1588CLK 6.4 — — ns 1, 3

TSEC_1588_CLK_IN duty cycle tT1588CLKH/tT1588CLK

40 50 60 % 2

TSEC_1588_CLK_IN peak-to-peak jitter tT1588CLKINJ — — 250 ps —

Rise time TSEC_1588_CLK_IN (20%–80%)

tT1588CLKINR 1.0 — 2.0 ns —

Fall time TSEC_1588_CLK_IN (80%–20%)

tT1588CLKINF 1.0 — 2.0 ns —

TSEC_1588_CLK_OUT clock period tT1588CLKOUT 2 tT1588CLK — — ns —

TSEC_1588_CLK_OUT duty cycle tT1588CLKOTH/tT1588CLKOUT

30 50 70 % —

TSEC_1588_PULSE_OUT hold time tT1588OV 0.5 — For rev 1, rev 2.0, rev 2.1:

Max tT1588OV =9.8ns

For rev 2.2: Max tT1588OV =

5.3ns

ns —

MDC

tMDDXKH

tMDC

tMDCH

tMDDVKH

tMDKHDX

MDIO

MDIO

(Input)

(Output)

Page 87: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 87

This figure shows the data and command output AC timing diagram.

Figure 16. IEEE 1588 output AC timing

This figure shows the data and command input AC timing diagram.

Figure 17. IEEE 1588 input AC timing

2.13 USB interfaceThis section provides the AC electrical specifications for the USB interface.

TSEC_1588_TRIG_IN pulse width tT1588TRIGH 2 tT1588CLK_MAX — — ns 3

Notes:1.TRX_CLK is the maximum clock period of Ethernet receiving clock selected by TMR_CTRL[CKSEL]. See the chip reference

manual for a description of TMR_CTRL registers.2. It needs to be at least two times the clock period of the clock selected by TMR_CTRL[CKSEL]. See the chip reference manual

for a description of the TMR_CTRL registers.3. The maximum value of tT1588CLK is not only defined by the value of TRX_CLK, but also defined by the recovered clock. For

example, for 10/100/1000 Mbps modes, the maximum value of tT1588CLK are 2800, 280, and 56 ns, respectively.

Table 26. IEEE 1588 AC timing specifications (continued)

For recommended operating conditions, see Table 4.

Parameter/Condition Symbol Min Typ Max Unit Notes

TSEC_1588_CLK_OUT

TSEC_1588_PULSE_OUTTSEC_1588_ALARM_OUT

tT1588OV

tT1588CLKOUT

tT1588CLKOUTH

Note: The output delay is counted starting at the rising edge if tT1588CLKOUT is non-inverting. Otherwise, itis counted starting at the falling edge.

TSEC_1588_TRIG_IN

tT1588TRIGH

tT1588CLK

tT1588CLKH

TSEC_1588_CLK

Page 88: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor88

2.13.1 USB AC electrical specifications

This table describes the general timing parameters of the USB interface of the device.

The following two figures provide the USB AC test load and signals, respectively.

Figure 18. USB AC test load

Table 27. USB general timing parameters (ULPI mode only) 1,6

For recommended operating conditions, see Table 4.

Parameter Symbol1 Min Max Unit Notes

USB clock cycle time tUSCK 15 — ns 2, 3, 4, 5

Input setup to USB clock—all inputs tUSIVKH 4 — ns 2, 3, 4, 5

Input hold to USB clock—all inputs tUSIXKH 1 — ns 2, 3, 4, 5

USB clock to output valid—all outputs tUSKHOV — 7 ns 2, 3, 4, 5

Output hold from USB clock—all outputs tUSKHOX 2 — ns 2, 3, 4, 5

Note:

1. The symbols for timing specifications follow the pattern of t(First two letters of functional block)(signal)(state) (reference)(state) for inputs and t(First two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tUSIXKH symbolizes USB timing (US) for the input (I) to go invalid (X) with respect to the time the USB clock reference (K) goes high (H). Also, tUSKHOX symbolizes USB timing (US) for the USB clock reference (K) to go high (H) with respect to the output (O) going invalid (X) or output hold time.

2. All timings are in reference to the USB clock.3. All signals are measured from OVDD/2 of the rising edge of the USB clock to OVDD/2 of the signal.

4. Input timings are measured at the pin.

5. For active/float timing measurements, the high impedance or off state is defined to be when the total current delivered through the component pin is less than or equal to that of the leakage current specification.

6. When switching the data pins from outputs to inputs using the USBn_DIR pin, the output timings are violated on that cycle because the output buffers are tristated asynchronously. This should not be a problem, because the PHY should not be functionally looking at these signals on that cycle as per the ULPI specifications.

Output Z0 = 50 OVDD/2RL = 50

Page 89: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 89

Figure 19. USB signals

2.14 Integrated flash controllerThis section describes the AC electrical specifications for the integrated flash controller.

2.14.1 Integrated flash controller AC timing specifications

This section describes the AC timing specifications for the integrated flash controller.

All output signal timings are relative to the falling edge of any IFC_CLK. The external circuit must use the rising edge of the IFC_CLKs to latch the data.

All input timings are relative to the rising edge of IFC_CLKs.

This table describes the timing specifications of the integrated flash controller interface.

Table 28. Integrated flash controller timing specifications (OVDD = 1.8 V)

For recommended operating conditions, see Table 4

Parameter Symbol1 Min Max Unit Notes

IFC_CLK cycle time tIBK 12 — ns —

IFC_CLK duty cycle tIBKH/tIBK 45 55 % —

IFC_CLK[n] skew to IFC_CLK[m] tIBKSKEW — ± 75 ps 2

Input setup tIBIVKH 4 — ns —

Input hold tIBIXKH 1 — ns —

Output signals:

tUSKHOV

USB_CLK

Input signals

tUSIXKHtUSIVKH

tUSKHOX

Page 90: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor90

This figure shows the AC timing diagram.

Figure 20. Integrated flash controller signals

Output delay tIBKLOV — 1.5 ns —

Output hold tIBKLOX –2 — ns 4

IFC_CLK to output high impedance for AD tIBKLOZ — 2 ns 3

Note:

1. All signals are measured from OVDD/2 of rising/falling edge of IFC_CLK to OVDD/2 of the signal in question.

2. Skew is measured between different IFC_CLK signals at OVDD/2.3. For purposes of active/float timing measurements, the high impedance or off state is defined to be when the total current

delivered through the component pin is less than or equal to the leakage current specification.4. Here the negative sign means that the output transit happens earlier than the falling edge of IFC_CLK.

Table 28. Integrated flash controller timing specifications (OVDD = 1.8 V) (continued)

For recommended operating conditions, see Table 4

Parameter Symbol1 Min Max Unit Notes

Output signals

tIBKLOX

IFC_CLK[m]

Input signals

tIBIXKHtIBIVKH

tIBIVKL

AD(data phase)

tIBKLOZ

tIBKLOV

tIBKLOX

Page 91: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 91

Figure 20 applies to all the controllers that IFC supports.

• For input signals, the AC timing data is used directly for all controllers.

• For output signals, each type of controller provides its own unique method to control the signal timing. The final signal delay value for output signals is the programmed delay plus the AC timing delay.

This figure shows how the AC timing diagram applies to GPCM. The same principle also applies to other controllers of IFC.

1 taco, trad, teahc, teadc, tacse, tcs, tch, twp are programmable. See the chip reference manual.2 For output signals, each type of controller provides its own unique method to control the signal timing. The final signal delay

value for output signals is the programmed delay plus the AC timing delay.

Figure 21. GPCM output timing diagram

2.14.2 Test condition

This figure provides the AC test load for the integrated flash controller.

Figure 22. Integrated flash controller AC test load

2.15 Enhanced secure digital host controller (eSDHC)This section describes the AC electrical specifications for the eSDHC interface. For the DC electrical specifications, see Table 21.

trad + tIBKHOV

IFC_CLK

AD

BCTL

CE_B

OE_B

address

taco + tIBKLOV

WE_B

tacse + tIBKLOV

address

tcs+ tIBKLOV

read data write data

tch + tIBKLOV

twp + tIBKLOV

writeread

AVDteadc + tIBKLOV

teahc + tIBKLOV

Output Z0 = 50 OVDD/2RL = 50

Page 92: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor92

2.15.1 eSDHC AC timing specifications

This table provides the eSDHC AC timing specifications as defined in Figure 23.

This figure provides the eSDHC clock input timing diagram.

Figure 23. eSDHC clock input timing diagram

Table 29. eSDHC AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol1 Min Max Unit Notes

SDHC_CLK clock frequency: eMMC Full-speed/high-speed mode

fSHSCK —20/52

MHz 2, 4

SDHC_CLK clock low time—full-speed/high-speed mode tSHSCKL 10/7 — ns 4

SDHC_CLK clock high time—full-speed/high-speed mode tSHSCKH 10/7 — ns 4

SDHC_CLK clock rise and fall times tSHSCKR/tSHSCKF

— 3 ns 4

Input setup times: SDHC_CMD, SDHC_DATx, SDHC_CD to SDHC_CLK

tSHSIVKH 2.5 — ns 3, 4, 5

Input hold times: SDHC_CMD, SDHC_DATx, SDHC_CD to SDHC_CLK

tSHSIXKH 2.5 — ns 4, 5

Output hold time: SDHC_CLK to SDHC_CMD, SDHC_DATx valid tSHSKHOX –3 — ns 4, 5

Output delay time: SDHC_CLK to SDHC_CMD, SDHC_DATx valid tSHSKHOV — 3 ns 4, 5

Notes:

1. The symbols used for timing specifications herein follow the pattern of t(first three letters of functional block)(signal)(state) (reference)(state) for inputs and t(first three letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tFHSKHOV symbolizes eSDHC high-speed mode device timing (SHS) clock reference (K) going to the high (H) state, with respect to the output (O) reaching the invalid state (X) or output hold time. Note that in general, the clock reference symbol is based on five letters representing the clock of a particular functional. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall).

2. In full-speed mode, the clock frequency value can be 0–20 MHz for an MMC card. In high-speed mode, the clock frequency value can be 0–52 MHz for an MMC card.

3. To satisfy setup timing, one-way board-routing delay between Host and Card, on SDHC_CLK, SDHC_CMD, and SDHC_DATx should not exceed 1 ns.

4. CCARD 10 pF, (1 card), and CL = CBUS + CHOST + CCARD 40 pF.

5. The parameter values apply to both full-speed and high-speed modes.

eSDHC

tSHSCKR

external clock VMVMVM

tSHSCK

tSHSCKFVM = Midpoint Voltage (OVDD/2)

operational mode tSHSCKL tSHSCKH

Page 93: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 93

This figure provides the data and command input/output timing diagram.

Figure 24. eSDHC data and command input/output timing diagram referenced to clock

2.16 Multicore programmable interrupt controller(MPIC) specifications

This section describes the AC electrical specifications for the multicore programmable interrupt controller.

2.16.1 MPIC AC timing specifications

This table provides the MPIC input and output AC timing specifications.

2.17 JTAG controllerThis section describes the AC electrical specifications for the IEEE 1149.6 (JTAG) interface.

Table 30. MPIC input AC timing specifications

For recommended operating conditions, see Table 4.

Characteristic Symbol Min Max Unit Notes

MPIC inputs—minimum pulse width tPIWID 3 — SYSCLKs 1

Note:

1. MPIC inputs and outputs are asynchronous to any visible clock. MPIC outputs must be synchronized before use by any external synchronous logic. MPIC inputs are required to be valid for at least tPIWID ns to ensure proper operation when working in edge triggered mode

Page 94: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor94

2.17.1 JTAG AC timing specifications

This table provides the JTAG AC timing specifications as defined in Figure 25 through Figure 28.

This figure provides the AC test load for TDO and the boundary-scan outputs of the device.

Figure 25. AC test load for the JTAG interface

Table 31. JTAG AC timing specifications

For recommended operating conditions, see Table 4

Parameter Symbol1 Min Max Unit Notes

JTAG external clock frequency of operation fJTG 0 20 MHz —

JTAG external clock cycle time tJTG 50 — ns —

JTAG external clock pulse width measured at 1.4 V tJTKHKL 25 — ns —

JTAG external clock rise and fall times tJTGR/tJTGF 0 2 ns —

TRST_B assert time tTRST 50 — ns 2

Input setup times tJTDVKH 4 — ns —

Input hold time for TDI/TMS tJTDXKH1 13 — ns —

Input hold time for boundary-scan data tJTDXKH2 15 — ns —

Output valid times

TCK to TDO output valid time tJTKLDV1 — 13 ns 4

TCK to boundary-scan data out times tJTKLDV2 — 34

Output hold times tJTKLDX 0 — ns 3

Note:

1. The symbols used for timing specifications follow the pattern t(first two letters of functional block)(signal)(state)(reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tJTDVKH symbolizes JTAG device timing (JT) with respect to the time data input signals (D) reaching the valid state (V) relative to the tJTG clock reference (K) going to the high (H) state or setup time. Also, tJTDXKH symbolizes JTAG timing (JT) with respect to the time data input signals (D) reaching the invalid state (X) relative to the tJTG clock reference (K) going to the high (H) state. Note that in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall).

2. TRST_B is an asynchronous level sensitive signal. The setup time is for test purposes only.

3. All outputs are measured from the midpoint voltage of the falling edge of tTCLK to the midpoint of the signal in question. The output timings are measured at the pins. All output timings assume a purely resistive 50-load. Time-of-flight delays must be added for trace lengths, vias, and connectors in the system.

4. Due to value of tJTKLDV1, after Update-IR or Update-DR transitions for EXTEST* or CLAMP instructions, a transition

through the optional Run-Test-Idle state is recommended to allow for board level propagation and setup times of observationpoints.

Output Z0 = 50 OVDD/2RL = 50

Page 95: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 95

This figure provides the JTAG clock input timing diagram.

Figure 26. JTAG clock input timing diagram

This figure provides the TRST_B timing diagram.

Figure 27. TRST_B timing diagram

This figure provides the TDI/TMS/TDO and boundary-scan data timing diagram.

Figure 28. TDI/TMS/TDO and boundary-scan timing diagram

JTAG

tJTKHKL tJTGR

external clock VMVMVM

tJTG tJTGF

VM = Midpoint voltage (OVDD/2)

TRST_B

VM = Midpoint Voltage (OVDD/2)

VM VM

tTRST

VM = midpoint voltage (OVDD/2)

VM VM

tJTDVKHtJTDXKH2

TDO and boundarydata outputs

JTAGexternal clock

boundarydata inputs

Output data valid

tJTKLDX

Inputdata valid

TDI/TMS and

tJTKLDV2

tJTKLDV1

tJTDXKH1

Page 96: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor96

2.18 I2C interfaceThis section describes the DC and AC electrical characteristics for the I2C interface.

2.18.1 I2C DC electrical characteristics

This table provides the DC electrical characteristics for the I2C interfaces operating at 2.5 V.

This table provides the DC electrical characteristics for the I2C interfaces operating at 1.8 V.

Table 32. I2C DC electrical characteristics (DVDD = 2.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Notes

Input high voltage VIH 1.7 — V 1

Input low voltage VIL — 0.7 V 1

Output low voltage (DVDD = min, IOL = 3 mA) VOL 0 0.4 V 2

Pulse width of spikes which must be suppressed by the input filter tI2KHKL 0 50 ns 3

Input current each I/O pin (input voltage is between 0.1 DVDD and 0.9 DVDD(max)

IIN — ±50 A 4

Capacitance for each I/O pin CI — 10 pF —

Notes:

1. The min VILand max VIH values are based on the respective min and max QVIN values found in Table 4.

2. Output voltage (open drain or open collector) condition = 2 mA sink current.

3. See the chip reference manual for information about the digital filter used.4. I/O pins obstruct the SDA and SCL lines if DVDD is switched off.

Table 33. I2C DC electrical characteristics (DVDD = 1.8 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Notes

Input high voltage VIH 1.25 — V 1

Input low voltage VIL — 0.6 V 1

Output low voltage (DVDD = min, IOL = 1 mA) VOL 0 0.36 V 2

Pulse width of spikes which must be suppressed by the input filter tI2KHKL 0 50 ns 3

Input current each I/O pin (input voltage is between 0.1 DVDD and 0.9 DVDD(max)

IIN — ±50 A 4

Capacitance for each I/O pin CI — 10 pF —

Notes:

1. The min VILand max VIH values are based on the respective min and max QVIN values found in Table 4.

2. Output voltage (open drain or open collector) condition = 2 mA sink current.

3. See the chip reference manual for information about the digital filter used.4. I/O pins obstruct the SDA and SCL lines if DVDD is switched off.

Page 97: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 97

2.18.2 I2C AC timing specifications

This table provides the AC timing parameters for the I2C interfaces.

Table 34. I2C AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol1 Min Max Unit Notes

SCL clock frequency fI2C 0 400 kHz 2

Low period of the SCL clock tI2CL 1.3 — s —

High period of the SCL clock tI2CH 0.6 — s —

Setup time for a repeated START condition tI2SVKH 0.6 — s —

Hold time (repeated) START condition (after this period, the first clock pulse is generated)

tI2SXKL 0.6 — s —

Data setup time tI2DVKH 100 — ns —

Data input hold time:CBUS compatible masters

I2C bus devices

tI2DXKL—0

——

s 3

Data output delay time tI2OVKL — 0.9 s 4

Setup time for STOP condition tI2PVKH 0.6 — s —

Bus free time between a STOP and START condition tI2KHDX 1.3 — s —

Noise margin at the LOW level for each connected device (including hysteresis)

VNL 0.1 DVDD — V —

Noise margin at the HIGH level for each connected device (including hysteresis)

VNH 0.2 DVDD — V —

Capacitive load for each bus line Cb — 400 pF —

Notes:

1. The symbols used for timing specifications herein follow the pattern t(first two letters of functional block)(signal)(state)(reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tI2DVKH symbolizes I2C timing (I2) with respect to the time data input signals (D) reaching the valid state (V) relative to the tI2C clock reference (K) going to the high (H) state or setup time. Also, tI2SXKL symbolizes I2C timing (I2) for the time that the data with respect to the START condition (S) went invalid (X) relative to the tI2C clock reference (K) going to the low (L) state or hold time. Also, tI2PVKH symbolizes I2C timing (I2) for the time that the data with respect to the STOP condition (P) reaches the valid state (V) relative to the tI2C clock reference (K) going to the high (H) state or setup time.

2. The requirements for I2C frequency calculation must be followed. See Determining the I2C Frequency Divider Ratio for SCL (AN2919).

3. As a transmitter, the chip provides a delay time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the undefined region of the falling edge of SCL to avoid unintended generation of a START or STOP condition. When the chip acts as the I2C bus master while transmitting, it drives both SCL and SDA. As long as the load on SCL and SDA are balanced, the chip does not generate an unintended START or STOP condition. Therefore, the 300 ns SDA output delay time is not a concern. If, under some rare condition, the 300 ns SDA output delay time is required for the chip as transmitter, see Determining the I2C Frequency Divider Ratio for SCL (AN2919).

4. The maximum tI2OVKL has to be met only if the device does not stretch the LOW period (tI2CL) of the SCL signal.

Page 98: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor98

This figure provides the AC test load for the I2C.

Figure 29. I2C AC test load

This figure shows the AC timing diagram for the I2C bus.

Figure 30. I2C Bus AC timing diagram

2.19 GPIO interfaceThis section describes the AC electrical characteristics for the GPIO interface.

2.19.1 GPIO AC timing specifications

This table provides the GPIO input and output AC timing specifications.

This figure provides the AC test load for the GPIO.

Figure 31. GPIO AC test load

Table 35. GPIO Input AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Unit Notes

GPIO inputs—minimum pulse width tPIWID 20 ns 1

Notes:

1. GPIO inputs and outputs are asynchronous to any visible clock. GPIO outputs should be synchronized before use by any external synchronous logic. GPIO inputs are required to be valid for at least tPIWID to ensure proper operation.

Output Z0 = 50 ODVDD/2RL = 50

SrS

SDA

SCL

tI2SXKL

tI2CL

tI2CHtI2DXKL,tI2OVKL

tI2DVKH

tI2SXKL

tI2SVKH

tI2KHKL

tI2PVKH

P S

tI2KHDX

Output Z0 = 50 (D/O)VDD/2RL = 50

Page 99: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 99

2.20 Timers interfaceThis section describes the AC electrical characteristics for the Timers interface.

2.20.1 Timers AC timing specifications

This table provides the Timers input AC timing specifications.

This figure provides the AC test load for the timers.

2.21 Asynchronous signal timingThis table provides AS specifications for the asynchronous signal timing specifications.

The following interfaces use the specified asynchronous signals:

• Debug port—Signals EVT_B[9–0]

• DMA signals

• Interrupt outputs—Signals IRQn, CKSTP_OUT_B

2.22 CPRI interface signalsThis section describes the DC and AC electrical characteristics for the CPRI interface signals.

Table 36. GPIO input AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Unit Notes

Timers inputs-minimum pulse width tTIWID timers clock/2

ns 1, 2

Notes:

1. The maximum allowed frequency of timer outputs is 1/(timers clock source/2). Configure the timer modules appropriately.2. Timer inputs and outputs are asynchronous to any visible clock. Timer outputs should be synchronized before use by any

external synchronous logic. Timer inputs are required to be valid for at least tTIWID ns to ensure proper operation.

Table 37. Signal timing

Characteristics Symbol Type Min

Input tIN Asynchronous One SYSCLK cycle

Output tOUT Asynchronous Application-dependent

Note: Input value relevant for DMA, EVT_B[9–0] only.

Output Z0 = 50 OVDD/2RL = 50

Page 100: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor100

2.22.1 CPRI signals DC electrical characteristics

This table provides the DC electrical characteristics for the CPRI LOS interfaces operating at 2.5 V.

2.22.2 CPRI signals AC specifications

This table provides the CPRI signals timing specifications.

2.23 High-speed serial interfaces (HSSI)The chip features a serializer/deserializer (SerDes) interface to be used for high-speed serial interconnect applications. The SerDes interface can be used for PCI Express, SGMII, CPRI, Aurora, and 2.5x SGMII data transfers.

This section describes the common portion of SerDes DC electrical specifications: the DC requirement for SerDes reference clocks. The SerDes data lane’s transmitter (Tx) and receiver (Rx) reference circuits are also shown.

2.23.1 Signal terms definition

The SerDes utilizes differential signaling to transfer data across the serial link. This section defines the terms that are used in the description and specification of differential signals.

Table 38. CPRI signals DC electrical characteristics (DVDD = 2.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Max Unit Notes

Input high voltage VIH 1.7 — V 1

Input low voltage VIL — 0.7 V 1

Output high voltage (OVDD/DVDD = min, IOH = –2 mA)

VOH 2.0 — V —

Output low voltage (OVDD/DVDD = min, IOL = 2 mA) VOL 0 0.4 V —

Input current for each I/O pin (input voltage is between 0.1 OVDD/DVDD and 0.9 OVDD/DVDD(max)

II –40 40 A —

Notes:

1. The min VILand max VIH values are based on the respective min and max DVIN values found in Table 4.

Table 39. CPRI signals timing specifications

For recommended operating conditions, see Table 4.

Parameter/condition Symbol Min Typ Max Unit Notes

CP_SYNC period tCP-SYNCCLK — 10 — ms 1, 3

CP_RCLK frequency tCP-RCLK — — — MHz 2

CP_RCLK jitter — — — — — 4

Notes:1.TCP-SYNCCLK is the required sync period for both input or output sync. 2. The recovery output clock frequency. See Table 41 for details on using CP_RCLK as RefClk for RE to SLAVE

configuration.3. CP_SYNC and CPRI SerDes reference clock are generated from a common source with the following ratio:

tCP_SYNCCLK = 1228800 * tREFCLK4. CP-RCLK jitter or other definition required for the JCPLL input requirements.

Page 101: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 101

This figure shows how the signals are defined. For illustration purposes only, one SerDes lane is used in the description. This figure shows the waveform for either a transmitter output (SD_TXn and SD_TXn_B) or a receiver input (SD_RXn and SD_RXn_B). Each signal swings between A volts and B volts where A > B.

Figure 32. Differential voltage definitions for transmitter or receiver

Using this waveform, the definitions are as shown in the following list. To simplify the illustration, the definitions assume that the SerDes transmitter and receiver operate in a fully symmetrical differential signaling environment:

Single-Ended Swing The transmitter output signals and the receiver input signals SD_TXn, SD_TXn_B, SD_RXn, and SD_RXn_B each have a peak-to-peak swing of A – B volts. This is also referred as each signal wire’s single-ended swing.

Differential Output Voltage, VOD (or Differential Output Swing)

The differential output voltage (or swing) of the transmitter, VOD, is defined as the difference of the two complimentary output voltages: VSD_TXn – VSD_TXn_B. The VOD value can be either positive or negative.

Differential Input Voltage, VID (or Differential Input Swing)

The differential input voltage (or swing) of the receiver, VID, is defined as the difference of the two complimentary input voltages: VSD_RXn – VSD_RXn_B. The VID value can be either positive or negative.

Differential Peak Voltage, VDIFFp

The peak value of the differential transmitter output signal or the differential receiver input signal is defined as the differential peak voltage, VDIFFp = |A – B| volts.

Differential Peak-to-Peak, VDIFFp-p

Since the differential output signal of the transmitter and the differential input signal of the receiver each range from A – B to –(A – B) volts, the peak-to-peak value of the differential transmitter output signal or the differential receiver input signal is defined as differential peak-to-peak voltage, VDIFFp-p = 2 VDIFFp = 2 |(A – B)| volts, which is twice the differential swing in amplitude, or twice of the differential peak. For example, the output differential peak-to-peak voltage can also be calculated as VTX-DIFFp-p = 2 |VOD|.

Differential Waveform

The differential waveform is constructed by subtracting the inverting signal (SD_TXn_B, for example) from the non-inverting signal (SD_TXn_B, for example) within a differential pair. There is only one signal trace curve in a differential waveform. The voltage represented in the differential waveform is not referenced to ground. See Figure 37 as an example for differential waveform.

Differential swing, VID or VOD = A – B

A volts

B volts

SD_TXn_B orSD_RXn_B

SD_TXn orSD_RXn

Differential peak voltage, VDIFFp = |A – B|

Differential peak-to-peak voltage, VDIFFpp = 2 VDIFFp (not shown)

Vcm = (A + B)/2

Page 102: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor102

Common Mode Voltage, Vcm

The common mode voltage is equal to half of the sum of the voltages between each conductor of a balanced interchange circuit and ground. In this example, for SerDes output, Vcm_out = (VSD_TXn + VSD_TXn_B) 2 = (A + B) 2, which is the arithmetic mean of the two complimentary output voltages within a differential pair. In a system, the common mode voltage may often differ from one component’s output to the other’s input. It may be different between the receiver input and driver output circuits within the same component. It is also referred to as the DC offset on some occasions.

To illustrate these definitions using real values, consider the example of a current mode logic (CML) transmitter that has a common mode voltage of 2.25 V and outputs, TD and TD_B. If these outputs have a swing from 2.0 V to 2.5 V, the peak-to-peak voltage swing of each signal (TD or TD_B) is 500 mV p-p, which is referred to as the single-ended swing for each signal. Because the differential signaling environment is fully symmetrical in this example, the transmitter output’s differential swing (VOD) has the same amplitude as each signal’s single-ended swing. The differential output signal ranges between 500 mV and –500 mV. In other words, VOD is 500 mV in one phase and –500 mV in the other phase. The peak differential voltage (VDIFFp) is 500 mV. The peak-to-peak differential voltage (VDIFFp-p) is 1000 mV p-p.

2.23.2 SerDes reference clocks

The SerDes reference clock inputs are applied to an internal PLL whose output creates the clock used by the corresponding SerDes lanes. The SerDes reference clocks inputs are SD1_REF1_CLK and SD1_REF1_CLK_B for SerDes 1, SD2_REF1_CLK and SD2_REF1_CLK_B for SerDes 2.

SerDes 1–2 may be used for various combinations of the following IP blocks based on the RCW Configuration field SRDS_PRTCLn:

• SerDes 1: SGMII (1.25 and 3.125 Gbps), CPRI (1.2288, 2.4576, 3.072, 4.9152, 6.144, 9.8304 Gbps), Aurora (2.5, 3.125, 5 Gbps)

• SerDes 2: SGMII (1.25 and 3.125 Gbps), PCIe (2.5, 5 Gbps), Aurora (2.5, 3.125, 5 Gbps).

The following sections describe the SerDes reference clock requirements and provide application information.

2.23.2.1 PCIe SerDes spread-spectrum clock source recommendations

SD2_REF1_CLK/SD2_REF1_CLK_B are designed to work with spread spectrum clock for PCI Express protocol only with the spreading specification defined in Table 40. When using spread spectrum clocking for PCI Express, both ends of the link partners should use the same reference clock. For best results, a source without significant unintended modulation must be used.

The spread spectrum clocking cannot be used if the same SerDes reference clock is shared with other non-spread spectrum supported protocols. For example, if the spread spectrum clocking is desired on a SerDes reference clock for PCI Express and the same reference clock is used for any other protocol such as SGMII or AURORA due to the SerDes lane usage mapping option, spread spectrum clocking cannot be used at all.

Table 40. SerDes spread-spectrum clock source recommendationsAt recommended operating conditions. See Table 4.

Parameter Min Max Unit Notes

Frequency modulation 30 33 kHz —

Frequency spread +0 –0.5 % 1

Note: 1. Only down-spreading is allowed.

Page 103: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 103

2.23.2.2 SerDes reference clock receiver characteristics

This figure shows a receiver reference diagram of the SerDes reference clocks.

Figure 33. Receiver of SerDes reference clocks

The characteristics of the clock signals are as follows:

• The SerDes receivers core power supply voltage requirements (SVDD) are as specified in Section 2.1.2, “Recommended operating conditions.”

• The SerDes reference clock receiver reference circuit structure is as follows:

— The SDn_REF1_CLK and SDn_REF1_CLK_B are internally AC-coupled differential inputs as shown in Figure 33. Each differential clock input (SDn_REF1_CLK or SDn_REF1_CLK_B) has on-chip 50- termination to SGND followed by on-chip AC-coupling.

— The external reference clock driver must be able to drive this termination.

— The SerDes reference clock input can be either differential or single-ended. See the differential mode and single-ended mode descriptions below for detailed requirements.

• The maximum average current requirement also determines the common mode voltage range.

— When the SerDes reference clock differential inputs are DC coupled externally with the clock driver chip, the maximum average current allowed for each input pin is 8 mA. In this case, the exact common mode input voltage is not critical as long as it is within the range allowed by the maximum average current of 8 mA because the input is AC-coupled on-chip.

— This current limitation sets the maximum common mode input voltage to be less than 0.4 V (0.4 V 50 = 8 mA) while the minimum common mode input level is 0.1 V above SGND. For example, a clock with a 50/50 duty cycle can be produced by a clock driver with output driven by its current source from 0 mA to 16 mA (0–0.8 V), such that each phase of the differential input has a single-ended swing from 0 V to 800 mV with the common mode voltage at 400 mV.

— If the device driving the SDn_REF1_CLK and SDn_REF1_CLK_B inputs cannot drive 50 to SGND DC or the drive strength of the clock driver chip exceeds the maximum input current limitations, it must be AC-coupled off-chip.

• The input amplitude requirement is described in detail in the following sections.

InputAmp

50

50

SDn_REF1_CLK

SDn_REF1_CLK_B

Page 104: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor104

2.23.2.3 DC-level requirement for SerDes reference clocks

The DC level requirement for the SerDes reference clock inputs is different depending on the signaling mode used to connect the clock driver chip and SerDes reference clock inputs, as described below:

• Differential Mode

— The input amplitude of the differential clock must be between 400 mV and 1600 mV differential peak-to-peak (or between 200 mV and 800 mV differential peak). In other words, each signal wire of the differential pair must have a single-ended swing of less than 800 mV and greater than 200 mV. This requirement is the same for both external DC-coupled or AC-coupled connection.

— For an external DC-coupled connection, as described in Section 2.23.2.2, “SerDes reference clock receiver characteristics,” the maximum average current requirements sets the requirement for average voltage (common mode voltage) as between 100 mV and 400 mV. Figure 34 shows the SerDes reference clock input requirement for DC-coupled connection scheme.

Figure 34. Differential reference clock input DC requirements (external DC-coupled)

— For an external AC-coupled connection, there is no common mode voltage requirement for the clock driver. Because the external AC-coupling capacitor blocks the DC level, the clock driver and the SerDes reference clock receiver operate in different common mode voltages. The SerDes reference clock receiver in this connection scheme has its common mode voltage set to SGND. Each signal wire of the differential inputs is allowed to swing below and above the common mode voltage (SGND). Figure 35 shows the SerDes reference clock input requirement for AC-coupled connection scheme.

Figure 35. Differential reference clock input DC requirements (external AC-coupled)

• Single-Ended Mode

— The reference clock can also be single-ended. The SDn_REF1_CLK input amplitude (single-ended swing) must be between 400 mV and 800 mV peak-to-peak (from VMIN to VMAX) with SDn_REF1_CLK_B either left unconnected or tied to ground.

SDn_REF1_CLK

SDn_REF1_CLK_B

Vmax < 800 mV

Vmin > 0 V

100 mV < Vcm < 400 mV

200 mV < Input amplitude or differential peak < 800 mV

SDn_REF1_CLK

SDn_REF1_CLK_B

Vcm

200 mV < Input amplitude or differential peak < 800 mV

Vmax < Vcm + 400 mV

Vmin > Vcm – 400 mV

Page 105: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 105

— The SDn_REF1_CLK input average voltage must be between 200 and 400 mV. Figure 36 shows the SerDes reference clock input requirement for single-ended signaling mode.

— To meet the input amplitude requirement, the reference clock inputs may need to be DC- or AC-coupled externally. For the best noise performance, the reference of the clock could be DC- or AC-coupled into the unused phase (SDn_REF1_CLK_B) through the same source impedance as the clock input (SDn_REF1_CLK) in use.

Figure 36. Single-ended reference clock input DC requirements

2.23.2.4 AC requirements for SerDes reference clocks

This table lists the AC requirements for SerDes reference clocks for protocols running at data rates up to 8 Gb/s.

This includes PCI Express (2.5, 5 GT/s), SGMII (1.25Gbps), 2.5x SGMII (3.125Gbps), Aurora (2.5, 3.125, 5 Gbps), CPRI (1.2288, 2.4576, 3.072, 4.9152, 6.144 Gbps). SerDes reference clocks to be guaranteed by the customer’s application design.

Table 41. SDn_REF1_CLK and SDn_REF1_CLK_B input clock requirements (SVDD = 1.0 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

SDn_REF1_CLK/SDn_REF1_CLK_B frequency range tCLK_REF — 100/122.88/125/156.25

— MHz 1

SDn_REF1_CLK/SDn_REF1_CLK_B clock frequency toleranceFor PEX Gen 1, 2

tCLK_TOL –300 — 300 ppm 7, 10

SDn_REF1_CLK/SDn_REF1_CLK_B clock frequency toleranceFor SGMII, 2.5x SGMII, Aurora, CPRI

tCLK_TOL –100 — 100 ppm 8, 10

SDn_REF1_CLK/SDn_REF1_CLK_B reference clock duty cycle (measured at 1.6 V)

tCLK_DUTY 40 50 60 % —

SDn_REF1_CLK/SDn_REF1_CLK_B max deterministic peak-to-peak jitter at 10-6 BER

tCLK_DJ — — 42 ps —

SDn_REF1_CLK/SDn_REF1_CLK_B total reference clock jitter at 10-6 BER (peak-to-peak jitter at refClk input)

tCLK_TJ — — 86 ps 2

SDn_REF1_CLK/SDn_REF1_CLK_B Allowed cut-off frequency of REC-slave synchronization mechanism

tCLK_TC — — 300 Hz 9

SDn_REF1_CLK/SDn_REF1_CLK_B df/f0 contribution of jitter between REC master to REC slave to the frequency accuracy budget

tCLK_TF –0.002 — 0.002 ppm 9

SDn_REF1_CLK

SDn_REF1_CLK_B

400 mV < SDn_REF1_CLK input amplitude < 800 mV

0 V

Page 106: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor106

This table lists the AC requirements for SerDes reference clocks for protocols running at data rates greater than 8 Gb/s. This includes CPRI (9.8304 Gbps). SerDes reference clocks to be guaranteed by the customer’s application design.

SDn_REF1_CLK/SDn_REF1_CLK_B rising/falling edge rate

tCLKRR/tCLKFR 1 — 4 V/ns 3

Differential input high voltage VIH VCM +200mV

— — mV 4

Differential input low voltage VIL — — VCM –200mV

mV 4

Rising edge rate (SDn_REF1_CLK) to falling edge rate (SDn_REF1_CLK_B) matching

Rise-Fall Matching

— — 20 % 5, 6

Notes:1. Caution: Only 100, 122.88, 125 and 156.25 have been tested. In-between values do not work correctly with the rest of the

system.

2. Limits from PCI Express CEM Rev 2.03. Measured from –200 mV to +200 mV on the differential waveform (derived from SDn_REF1_CLK minus

SDn_REF1_CLK_B). The signal must be monotonic through the measurement region for rise and fall time. The 400 mV measurement window is centered on the differential zero crossing. See Figure 37.

4. Measurement taken from differential waveform.VCM is the common mode voltage

5. Measurement taken from single-ended waveform

6. Matching applies to rising edge for SDn_REF1_CLK and falling edge rate for SDn_REF1_CLK_B. It is measured using a 200 mV window centered on the median cross point where SDn_REF1_CLK rising meets SDn_REF1_CLK_B falling. The median cross point is used to calculate the voltage thresholds that the oscilloscope uses for the edge rate calculations. The rise edge rate of SDn_REF1_CLK must be compared to the fall edge rate of SDn_REF1_CLK_B, the maximum allowed difference should not exceed 20% of the slowest edge rate. See Figure 38.

7. For PCI Express (2.5, 5 GT/s)

8. For SGMII, 2.5x SGMII, Aurora, CPRI.9. This spec is applied to CPRI protocol clocks only. The TCLK_TC is to comply with R-17 requirement in the protocol. TCLK_TF,

to comply to R-18 requirement.10. When two or more protocols share the same PLL on a SerDes module, the tightest SDn_REFn_CLK/ SDn_REFn_CLK_B

clock frequency tolerance must be followed.

Table 42. SD1_REF1_CLK and SD1_REF1_CLK_B input clock requirements (SVDD = 1.0 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

SDn_REF1_CLK/SDn_REF1_CLK_B frequency range tCLK_REF — 122.88/156.25

— MHz 1

SDn_REF1_CLK/SDn_REF1_CLK_B clock frequency tolerance tCLK_TOL –100 — 100 ppm 7

SDn_REF1_CLK/SDn_REF1_CLK_B reference clock duty cycle (measured at 1.6 V)

tCLK_DUTY 40 50 60 % —

SDn_REF1_CLK/SDn_REF1_CLK_B random jitter (1.2 MHz to 15 MHz)

tCLK_RJ — — 0.8 ps —

Table 41. SDn_REF1_CLK and SDn_REF1_CLK_B input clock requirements (SVDD = 1.0 V) (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Page 107: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 107

SDn_REF1_CLK/SDn_REF1_CLK_B total reference clock jitter at 10-12 BER (1.2 MHz to 15 MHz)

tCLK_TJ — — 11 ps —

SDn_REF1_CLK/SDn_REF1_CLK_B spurious noise (1.2 MHz to 15 MHz)

— — — –75 dBC —

SDn_REF1_CLK/SDn_REF1_CLK_B Allowed cut-off frequency of REC-slave synchronization mechanism

tCLK_TC — — 300 Hz 8

SDn_REF1_CLK/SDn_REF1_CLK_B df/f0 contribution of jitter between REC master to REC slave to the frequency accuracy budget

tCLK_TF –0.002 — 0.002 ppm 8

SDn_REF1_CLK/SDn_REF1_CLK_B rising/falling edge rate tCLKRR/tCLKFR

1 — 4 V/ns 3

Differential input high voltage VIH VCM +200mV

— — mV 4

Differential input low voltage VIL — — VCM -200mV

mV 4

Rising edge rate (SDn_REF1_CLK) to falling edge rate (SDn_REF1_CLK_B) matching

Rise-Fall Matching

— — 20 % 5, 6

Notes:1. Caution: Only 122.88 have been tested. In-between values do not work correctly with the rest of the system.3. Measured from –200 mV to +200 mV on the differential waveform (derived from SDn_REF1_CLK minus SDn_REF1_CLK_B).

The signal must be monotonic through the measurement region for rise and fall time. The 400 mV measurement window is centered on the differential zero crossing. See Figure 37.

4. Measurement taken from differential waveform5. Measurement taken from single-ended waveform

6. Matching applies to rising edge for SDn_REF1_CLK and falling edge rate for SDn_REF1_CLK_B. It is measured using a 200 mV window centered on the median cross point where SDn_REF1_CLK rising meets SDn_REF1_CLK_B falling. The median cross point is used to calculate the voltage thresholds that the oscilloscope uses for the edge rate calculations. The rise edge rate of SDn_REF1_CLK must be compared to the fall edge rate of SDn_REF1_CLK_B, the maximum allowed difference should not exceed 20% of the slowest edge rate. See Figure 38.

7. When two or more protocols share the same PLL on a SerDes module, the tightest SDn_REFn_CLK/ SDn_REFn_CLK_B clock frequency tolerance must be followed.

8. This spec is applied to CPRI protocol clocks only. The TCLK_TC is to comply with R-17 requirement in the protocol. TCLK_TF, to comply to R-18 requirement.

Table 42. SD1_REF1_CLK and SD1_REF1_CLK_B input clock requirements (SVDD = 1.0 V) (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

VIH = +200 mV

VIL = –200 mV

0.0 V

SDn_REFn_CLK – SDn_REFn_CLK_B

Fall edge rateRise edge rate

Page 108: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor108

Figure 37. Differential measurement points for rise and fall time

Figure 38. Single-ended measurement points for rise and fall time matching

2.23.3 SerDes transmitter and receiver reference circuits

This figure shows the reference circuits for SerDes data lane’s transmitter and receiver.

Figure 39. SerDes transmitter and receiver reference circuits

The DC and AC specification of SerDes data lanes are defined in each interface protocol section below based on the application usage

• Section 2.23.4, “PCI Express interface”

• Section 2.23.5, “Aurora interface”

• Section 2.23.6, “SGMII interface”

• Section 2.23.7, “CPRI interface”

Note that external AC-coupling capacitor is required for the above serial transmission protocols with the capacitor value defined in the specification of each protocol section.

SDn_REF1_CLK_B SDn_REF1_CLK_B

SDn_REF1_CLKSDn_REF1_CLK

VCROSS MEDIAN VCROSS MEDIAN

VCROSS MEDIAN + 100 mV

VCROSS MEDIAN – 100 mV

TFALL TRISE

50 ReceiverTransmitter

SDn_TXn_B

SDn_TXn SDn_RXn

SDn_RXn_B

100

50

Page 109: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 109

This figure shows the single-frequency sinusoidal jitter limits for 2.5 GBaud and 3.125 GBaud rates.

Figure 40. Single-frequency sinusoidal jitter limits

8.5 UI p-p

0.10 UI p-p

Sinusoidal

Jitter

Amplitude

baud/142000 baud/1667 20 MHzFrequency

20dB/dec

Page 110: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor110

This figure shows the single-frequency sinusoidal jitter limits for 5 GBaud rate.

Figure 41. Single-frequency sinusoidal jitter limits

2.23.4 PCI Express interface

This section describes the clocking dependencies as well as the DC and AC electrical specifications for the PCI Express bus.

2.23.4.1 Clocking dependencies

The ports on the two ends of a link must transmit data at a rate that is within 600 parts per million (ppm) of each other at all times. This is specified to allow bit rate clock sources with a ± 300 ppm tolerance.

2.23.4.2 PCI Express clocking requirements for SDn_REF1_CLK/SDn_REF1_CLK_B

SerDes 2 (SD2_REF1_CLK and SD2_REF1_CLK_B) may be used for various SerDes PCI Express configurations based on the RCW Configuration field SRDS_PRTCL_S2. PCI Express is supported on SerDes 2 only.

For more information on these specifications, see Section 2.23.2, “SerDes reference clocks.”

2.23.4.3 PCI Express DC physical layer specifications

This section contains the DC specifications for the physical layer of PCI Express on this chip.

2.23.4.3.1 PCI Express DC physical layer transmitter specifications

This section discusses the PCI Express DC physical layer transmitter specifications for 2.5 GT/s and 5 GT/s.

5 UI p-p

0.05 UI p-p

Sinusoidal

Jitter

Amplitude

35.2kHz 3MHz 20 MHzFrequency

20dB/dec

Page 111: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 111

This table defines the PCI Express 2.0 (2.5 GT/s) DC specifications for the differential output at all transmitters. The parameters are specified at the component pins.

This table defines the PCI Express 2.0 (5 GT/s) DC specifications for the differential output at all transmitters. The parameters are specified at the component pins.

2.23.4.3.2 PCI Express DC physical layer receiver specifications

This section discusses the PCI Express DC physical layer receiver specifications for 2.5 GT/s and 5 GT/s.

Table 43. PCI Express 2.0 (2.5 GT/s) differential transmitter output DC specifications (XVDD = 1.35 V or 1.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Units Notes

Differential peak-to-peak output voltage

VTX-DIFFp-p 800 1000 1200 mV VTX-DIFFp-p = 2 |VTX-D+ – VTX-D-|

De-emphasized differential output voltage (ratio)

VTX-DE-RATIO 3.0 3.5 4.0 dB Ratio of the VTX-DIFFp-p of the second and following bits after a transition divided by the VTX-DIFFp-p of the first bit after a transition.

DC differential transmitter impedance

ZTX-DIFF-DC 80 100 120 Transmitter DC differential mode low impedance

Transmitter DC impedance ZTX-DC 40 50 60 Required transmitter D+ as well as D– DC Impedance during all states

Table 44. PCI Express 2.0 (5 GT/s) differential transmitter output DC specifications (XVDD = 1.35 V or 1.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Units Notes

Differential peak-to-peak output voltage

VTX-DIFFp-p 800 1000 1200 mV VTX-DIFFp-p = 2 |VTX-D+ – VTX-D-|

Low power differential peak-to-peak output voltage

VTX-DIFFp-p_low 400 500 1200 mV VTX-DIFFp-p = 2 |VTX-D+ – VTX-D-|

De-emphasized differential output voltage (ratio)

VTX-DE-RATIO-3.5dB 3.0 3.5 4.0 dB Ratio of the VTX-DIFFp-p of the second and following bits after a transition divided by the VTX-DIFFp-p of the first bit after a transition.

De-emphasized differential output voltage (ratio)

VTX-DE-RATIO-6.0dB 5.5 6.0 6.5 dB Ratio of the VTX-DIFFp-p of the second and following bits after a transition divided by the VTX-DIFFp-p of the first bit after a transition.

DC differential transmitter impedance

ZTX-DIFF-DC 80 100 120 Transmitter DC differential mode low impedance

Transmitter DC Impedance ZTX-DC 40 50 60 Required transmitter D+ as well as D– DC impedance during all states

Page 112: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor112

This table defines the DC specifications for the PCI Express 2.0 (2.5 GT/s) differential input at all receivers. The parameters are specified at the component pins.

Table 45. PCI Express 2.0 (2.5 GT/s) differential receiver input DC specifications (SVDD = 1.0 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Differential input peak-to-peak voltage VRX-DIFFp-p 120 1000 1200 mV VRX-DIFFp-p = 2 |VRX-D+ – VRX-D-| See Note 1.

DC differential input impedance ZRX-DIFF-DC 80 100 120 Receiver DC differential mode impedance.See Note 2

DC single input impedance ZRX-DC 40 50 60 Required receiver D+ as well as D– DC Impedance (50 ±20% tolerance).See Notes 1 and 2.

Powered down DC input impedance ZRX-HIGH-IMP-DC 50 — — k Required receiver D+ as well as D– DC Impedance when the receiver terminations do not have power.See Note 3.

Electrical idle detect threshold VRX-IDLE-DET-DIF

Fp-p

65 — 175 mV VRX-IDLE-DET-DIFFp-p =2 |VRX-D+ – VRX-D–| Measured at the package pins of the receiver

Notes:1. Measured at the package pins with a test load of 50 to GND on each pin.

2. Impedance during all LTSSM states. When transitioning from a fundamental reset to detect (the initial state of the LTSSM) there is a 5 ms transition time before receiver termination values must be met on all unconfigured lanes of a port.

3. The receiver DC common mode impedance that exists when no power is present or fundamental reset is asserted. This helps ensure that the receiver detect circuit does not falsely assume a receiver is powered on when it is not. This term must be measured at 300 mV above the receiver ground.

Page 113: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 113

This table defines the DC specifications for the PCI Express 2.0 (5 GT/s) differential input at all receivers. The parameters are specified at the component pins.

2.23.4.4 PCI Express AC physical layer specifications

This section contains the AC specifications for the physical layer of PCI Express on this device.

2.23.4.4.1 PCI Express AC physical layer transmitter specifications

This section discusses the PCI Express AC physical layer transmitter specifications for 2.5 GT/s and 5 GT/s.

Table 46. PCI Express 2.0 (5 GT/s) differential receiver input DC specifications (SVDD = 1.0 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Differential input peak-to-peak voltage VRX-DIFFp-p 120 1000 1200 mV VRX-DIFFp-p = 2 |VRX-D+ – VRX-D–| See Note 1.

DC differential input impedance ZRX-DIFF-DC 80 100 120 Receiver DC differential mode impedance. See Note 2

DC input impedance ZRX-DC 40 50 60 Required receiver D+ as well as D– DC Impedance (50 ±20% tolerance). See Notes 1 and 2.

Powered down DC input impedance ZRX-HIGH-IMP-DC 50 — — k Required receiver D+ as well as D– DC Impedance when the receiver terminations do not have power. See Note 3.

Electrical idle detect threshold VRX-IDLE-DET-DIF

Fp-p

65 — 175 mV VRX-IDLE-DET-DIFFp-p = 2 |VRX-D+ – VRX-D–| Measured at the package pins of the receiver

Notes:1. Measured at the package pins with a test load of 50 to GND on each pin.

2. Impedance during all LTSSM states. When transitioning from a fundamental reset to detect (the initial state of the LTSSM) there is a 5 ms transition time before receiver termination values must be met on all unconfigured lanes of a port.

3. The receiver DC common mode impedance that exists when no power is present or fundamental reset is asserted. This helps ensure that the receiver detect circuit does not falsely assume a receiver is powered on when it is not. This term must be measured at 300 mV above the receiver ground.

Page 114: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor114

This table defines the PCI Express 2.0 (2.5 GT/s) AC specifications for the differential output at all transmitters. The parameters are specified at the component pins. The AC timing specifications do not include RefClk jitter.

This table defines the PCI Express 2.0 (5 GT/s) AC specifications for the differential output at all transmitters. The parameters are specified at the component pins. The AC timing specifications do not include RefClk jitter.

Table 47. PCI Express 2.0 (2.5 GT/s) differential transmitter output AC specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Unit interval UI 399.88 400 400.12 ps Each UI is 400 ps ± 300 ppm. UI does not account for spread-spectrum clock dictated variations.

Minimum transmitter eye width

TTX-EYE 0.75 — — UI The maximum transmitter jitter can be derived as TTX-MAX-JITTER = 1 – TTX-EYE = 0.25 UI. Does not include spread-spectrum or RefCLK jitter. Includes device random jitter at 10-12. See Notes 1 and 2.

Maximum time between the jitter median and maximum deviation from the median

TTX-EYE-MEDIAN-

to-

MAX-JITTER

— — 0.125 UI Jitter is defined as the measurement variation of the crossing points (VTX-DIFFp-p = 0 V) in relation to a recovered transmitter UI. A recovered transmitter UI is calculated over 3500 consecutive unit intervals of sample data. Jitter is measured using all edges of the 250 consecutive UI in the center of the 3500 UI used for calculating the transmitter UI.See Notes 1 and 2.

AC coupling capacitor CTX 75 — 200 nF All transmitters must be AC coupled. The AC coupling is required either within the media or within the transmitting component itself. See Note 3.

Notes:1. Specified at the measurement point into a timing and voltage test load as shown in Figure 43 and measured over any 250

consecutive transmitter UIs.

2. A TTX-EYE = 0.75 UI provides for a total sum of deterministic and random jitter budget of TTX-JITTER-MAX = 0.25 UI for the transmitter collected over any 250 consecutive transmitter UIs. The TTX-EYE-MEDIAN-to-MAX-JITTER median is less than half of the total transmitter jitter budget collected over any 250 consecutive transmitter UIs. It must be noted that the median is not the same as the mean. The jitter median describes the point in time where the number of jitter points on either side is approximately equal as opposed to the averaged time value.

3. The chip’s SerDes transmitter does not have CTX built-in. An external AC coupling capacitor is required.

Table 48. PCI Express 2.0 (5 GT/s) differential transmitter output AC specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Unit Interval UI 199.94 200.00 200.06 ps Each UI is 200 ps ± 300 ppm. UI does not account for spread-spectrum clock dictated variations.

Minimum transmitter eye width TTX-EYE 0.75 — — UI The maximum transmitter jitter can be derived as: TTX-MAX-JITTER = 1 – TTX-EYE = 0.25 UI.See Note 1.

Page 115: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 115

2.23.4.4.2 PCI Express AC physical layer receiver specifications.

This section discusses the PCI Express AC physical layer receiver specifications for 2.5 GT/s and 5 GT/s.

This table defines the AC specifications for the PCI Express 2.0 (2.5 GT/s) differential input at all receivers. The parameters are specified at the component pins. The AC timing specifications do not include RefClk jitter.

Transmitter RMS deterministic jitter > 1.5 MHz

TTX-HF-DJ-DD — — 0.15 ps —

Transmitter RMS deterministic jitter < 1.5 MHz

TTX-LF-RMS — 3.0 — ps Reference input clock RMS jitter (< 1.5 MHz) at pin < 1 ps

AC coupling capacitor CTX 75 — 200 nF All transmitters must be AC coupled. The AC coupling is required either within the media or within the transmitting component itself.See Note 2.

Notes:1. Specified at the measurement point into a timing and voltage test load as shown in Figure 43 and measured over any 250

consecutive transmitter UIs.

2. The chip’s SerDes transmitter does not have CTX built-in. An external AC coupling capacitor is required.

Table 49. PCI Express 2.0 (2.5 GT/s) differential receiver input AC specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Unit Interval UI 399.88 400.00 400.12 ps Each UI is 400 ps ± 300 ppm. UI does not account for spread spectrum clock dictated variations.

Minimum receiver eye width TRX-EYE 0.4 — — UI The maximum interconnect media and transmitter jitter that can be tolerated by the receiver can be derived as TRX-MAX-JITTER = 1 – TRX-EYE= 0.6 UI.See Notes 1 and 2.

Table 48. PCI Express 2.0 (5 GT/s) differential transmitter output AC specifications (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Page 116: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor116

This table defines the AC specifications for the PCI Express 2.0 (5 GT/s) differential input at all receivers. The parameters are specified at the component pins. The AC timing specifications do not include RefClk jitter. If spread spectrum clocking is desired, the common clock must be used.

Maximum time between the jitter median and maximum deviation from the median.

TRX-EYE-MEDIAN-

to-MAX-JITTER

— — 0.3 UI Jitter is defined as the measurement variation of the crossing points (VRX-DIFFp-p = 0 V) in relation to a recovered transmitter UI. A recovered transmitter UI is calculated over 3500 consecutive unit intervals of sample data. Jitter is measured using all edges of the 250 consecutive UI in the center of the 3500 UI used for calculating the transmitter UI. See Notes 1, 2 and 3.

Notes:1. Specified at the measurement point and measured over any 250 consecutive UIs. The test load in Figure 43 must be used as

the receiver device when taking measurements. If the clocks to the receiver and transmitter are not derived from the same reference clock, the transmitter UI recovered from 3500 consecutive UI must be used as a reference for the eye diagram.

2. A TRX-EYE = 0.40 UI provides for a total sum of 0.60 UI deterministic and random jitter budget for the transmitter and interconnect collected any 250 consecutive UIs. The TRX-EYE-MEDIAN-to-MAX-JITTER specification ensures a jitter distribution in which the median and the maximum deviation from the median is less than half of the total. UI jitter budget collected over any 250 consecutive transmitter UIs. It must be noted that the median is not the same as the mean. The jitter median describes the point in time where the number of jitter points on either side is approximately equal as opposed to the averaged time value. If the clocks to the receiver and transmitter are not derived from the same reference clock, the transmitter UI recovered from 3500 consecutive UI must be used as the reference for the eye diagram.

3. It is recommended that the recovered transmitter UI is calculated using all edges in the 3500 consecutive UI interval with a fit algorithm using a minimization merit function. Least squares and median deviation fits have worked well with experimental and simulated data.

Table 50. PCI Express 2.0 (5 GT/s) differential receiver input AC specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Unit Interval UI 199.40 200.00 200.06 ps Each UI is 200 ps ±300 ppm. UI does not account for spread spectrum clock dictated variations.

Max receiver inherent timing error

TRX-TJ-CC — — 0.4 UI The maximum inherent total timing error for common and separate RefClk receiver architecture.

Max receiver inherent deterministic timing error

TRX-DJ-DD-CC — — 0.30 UI The maximum inherent deterministic timing error for common and separate RefClk receiver architecture

Table 49. PCI Express 2.0 (2.5 GT/s) differential receiver input AC specifications (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Units Notes

Page 117: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 117

Figure 42. Swept sinusoidal jitter mask

2.23.4.5 Test and measurement load

The AC timing and voltage parameters must be verified at the measurement point. The package pins of the device must be connected to the test/measurement load within 0.2 inches of that load, as shown in the following figure.

NOTE

The allowance of the measurement point to be within 0.2 inches of the package pins is meant to acknowledge that package/board routing may benefit from D+ and D– not being exactly matched in length at the package pin boundary. If the vendor does not explicitly state where the measurement point is located, the measurement point is assumed to be the D+ and D– package pins.

Figure 43. Test/Measurement load

2.23.5 Aurora interface

This section describes the Aurora clocking requirements and its DC and AC electrical characteristics.

1.0 UI

0.1 UI

~ 3.0 ps RMS

0.01 MHz 0.1 MHz 1.0 MHz 10 MHz

100 MHz

1000 MHz

Sj

Rj

Rj (

ps R

MS

)S

j (U

I PP

)

0.03 MHz

Sj sweep range

100 MHz

20 dB decade

Transmittersilicon

+ package

C = CTX

C = CTX

R = 50 R = 50

D+ package pin

D– package pin

Page 118: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor118

2.23.5.1 Aurora clocking requirements for SDn _REF1 _CLK and SDn _REF1 _CLK_B

SerDes 1 and SerDes 2 (SD[1:2]_REF1_CLK and SD[1:2]_REF1_CLK_B) may be used for SerDes Aurora configurations based on the RCW Configuration field SRDS_PRTCL_Sn.

For more information on these specifications, see Section 2.23.2, “SerDes reference clocks.”

2.23.5.2 Aurora DC electrical characteristics

This section describes the DC electrical characteristics for the Aurora interface.

2.23.5.2.1 Aurora transmitter DC electrical characteristics

This table defines the Aurora transmitter DC electrical characteristics.

2.23.5.2.2 Aurora receiver DC electrical characteristics

This table defines the Aurora receiver DC electrical characteristics for the Aurora interface.

2.23.5.3 Aurora AC timing specifications

This section describes the AC timing specifications for Aurora.

Table 51. Aurora transmitter DC electrical characteristics (XVDD = 1.35 V or 1.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Unit

Differential output voltage VDIFFPP 800 1000 1600 mV p-p

DC Differential transmitter impedance ZTX-DIFF-DC 80 100 120

Table 52. Aurora receiver DC electrical characteristics (SVDD = 1.0 V )

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Unit Notes

Differential input voltage VIN 200 — 1600 mV p-p 1

DC Differential receiver impedance ZRX-DIFF-DC 80 100 120 2

Note:

1. Measured at receiver.

2. DC Differential receiver impedance

Page 119: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 119

2.23.5.3.1 Aurora transmitter AC timing specifications

This table defines the Aurora transmitter AC timing specifications. RefClk jitter is not included.

2.23.5.3.2 Aurora receiver AC timing specifications

This table defines the Aurora receiver AC timing specifications. RefClk jitter is not included.

2.23.6 SGMII interface

Each SGMII port features a 4-wire AC-coupled serial link from the SerDes interface of the chip, as shown in Figure 44, where CTX is the external (on board) AC-coupled capacitor. Each SerDes transmitter differential pair features100-output impedance. Each input of the SerDes receiver differential pair features 50- on-die termination to XGND. The reference circuit of the SerDes transmitter and receiver is shown in Figure 39.

Table 53. Aurora transmitter AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Unit

Deterministic jitter JD — — 0.17 UI p-p

Total jitter JT — — 0.35 UI p-p

Unit interval: 2.5 GBaud UI 400 – 100 ppm 400 400 + 100 ppm ps

Unit interval: 3.125 GBaud UI 320 – 100 ppm 320 320 + 100 ppm ps

Unit interval: 5.0 GBaud UI 200 – 100 ppm 200 200 + 100 ppm ps

Table 54. Aurora receiver AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Unit Notes

Deterministic jitter tolerance JD — — 0.37 UI p-p 1

Combined deterministic and random jitter tolerance

JDR — — 0.55 UI p-p 1

Total jitter tolerance JT — — 0.65 UI p-p 1, 2

Bit error rate BER — — 10–12 — —

Unit Interval: 2.5 GBaud UI 400 – 100 ppm 400 400 + 100 ppm ps —

Unit Interval: 3.125 GBaud UI 320 – 100 ppm 320 320 + 100 ppm ps —

Unit Interval: 5.0 GBaud UI 200 – 100 ppm 200 200 + 100 ppm ps —

Note:

1. Measured at receiver

2. Total jitter is composed of three components: deterministic jitter, random jitter, and single frequency sinusoidal jitter. The sinusoidal jitter may have any amplitude and frequency in the unshaded region of Figure 43. The sinusoidal jitter component is included to ensure margin for low frequency jitter, wander, noise, crosstalk and other variable system effects.

Page 120: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor120

2.23.6.1 SGMII clocking requirements for SDn_REF1_CLK and SDn_REF1_CLK_B

When operating in SGMII mode, a SerDes reference clock is required on SD[1:2]_REF1_CLK and SD[1:2]_REF1_CLK_B pins. SerDes 1–2 may be used for SerDes SGMII configurations based on the RCW Configuration field SRDS_PRTCL_Sn.

For more information on these specifications, see Section 2.23.2, “SerDes reference clocks.”

2.23.6.2 SGMII DC electrical characteristics

This section discusses the electrical characteristics for the SGMII interface.

2.23.6.2.1 SGMII and SGMII 2.5x transmit DC specifications

This table describes the SGMII SerDes transmitter AC-coupled DC electrical characteristics. Transmitter DC characteristics are measured at the transmitter outputs (SDn_TXn and SDn_TXn_B) as shown in Figure 45.

Table 55. SGMII DC transmitter electrical characteristics (XVDD = 1.35 V or 1.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Output high voltage VOH — — 1.5 x |VOD|-max mV 1

Output low voltage VOL |VOD|-min/2 — — mV 1

Page 121: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 121

Output differential voltage2, 3

(XVDD-Typ at 1.35 V and 1.5 V)|VOD| 320 500.0 725.0 mV Amp Setting:

SRDSxLNmTECR0 [AMP_RED] = 6b0

293.8 459.0 665.6 Amp Setting: SRDSxLNmTECR0 [AMP_RED] = 6b1

266.9 417.0 604.7 Amp Setting: SRDSxLNmTECR0 [AMP_RED] = 6b11

240.6 376.0 545.2 Amp Setting: SRDSxLNmTECR0 [AMP_RED] = 6b10

213.1 333.0 482.9 Amp Default Setting: SRDSxLNmTECR0 [AMP_RED] = 6b110

186.9 292.0 423.4 Amp Setting: SRDSxLNmTECR0 [AMP_RED] = 6b111

160.0 250.0 362.5 Amp Setting: SRDSxLNmTECR0 [AMP_RED] = 6b10000

Output impedance differential RO 80 100 120 —

Notes:1. This does not align to DC-coupled SGMII. 2. |VOD| = |VSD_TXn– VSD_TXn_B|. |VOD| is also referred to as output differential peak voltage. VTX-DIFFp-p = 2 × |VOD|.3. The |VOD| value shown in the Typ column is based on the condition of XVDD-Typ = 1.35 V or 1.5 V, no common mode offset

variation. SerDes transmitter is terminated with 100- differential load between SDn _TXn and SDn_TXn_B.

Table 55. SGMII DC transmitter electrical characteristics (XVDD = 1.35 V or 1.5 V) (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Page 122: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor122

This figure shows an example of a 4-wire AC-coupled SGMII serial link connection.

Figure 44. 4-wire AC-coupled SGMII serial link connection example

This figure shows the SGMII transmitter DC measurement circuit.

Figure 45. SGMII transmitter DC measurement circuit

SGMIISerDes Interface

50

50 Transmitter

SDn_TXn SDn_RXn

SDn_TXn_B SDn_RXn_B

Receiver

CTX

CTX

50

50

SDn_RXn

SDn_RXn_B

Receiver Transmitter

SDn_TXn

SDn_TXn_B

CTX

CTX

100

100

50

Transmitter

SDn_TXn

SDn_TXn_B50

VOD

SGMIISerDes Interface

100

Page 123: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 123

This table defines the SGMII 2.5x transmitter DC electrical characteristics for 3.125 GBaud.

2.23.6.2.2 SGMII and SGMII 2.5x DC receiver electrical characteristics

This table lists the SGMII DC receiver electrical characteristics. Source synchronous clocking is not supported. Clock is recovered from the data.

This table defines the SGMII 2.5x receiver DC electrical characteristics for 3.125 GBaud.

2.23.6.3 SGMII AC timing specifications

This section discusses the AC timing specifications for the SGMII interface.

Table 56. SGMII 2.5x transmitter DC electrical characteristics (XVDD = 1.35 V or 1.5 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Unit Notes

Output differential voltage |VOD| 400 — 600 mV Amp Setting: SRDSxLNmTECR0 [AMP_RED] = 6b0

Output impedance (differential) RO 80 100 120 —

Table 57. SGMII DC receiver electrical characteristics (SVDD = 1.0 V)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

DC input voltage range — N/A — 1

Input differential voltage — VRX_DIFFp-p 100 — 1200 mV 2, 4

— 175 —

Loss of signal threshold — VLOS 30 — 100 mV 3, 4

— 65 — 175

Receiver differential input impedance ZRX_DIFF 80 — 120 —

Notes:1. Input must be externally AC coupled.

2. VRX_DIFFp-p is also referred to as peak-to-peak input differential voltage.3. The concept of this parameter is equivalent to the electrical idle detect threshold parameter in PCI Express. See

Section 2.23.4.3.2, “PCI Express DC physical layer receiver specifications,” and Section 2.23.4.4.2, “PCI Express AC physical layer receiver specifications.,” for further explanation.

4. Default lost threshold sel = ‘001.’

Table 58. SGMII 2.5x receiver DC timing specifications (SVDD = 1.0 V )

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typical Max Unit Notes

Input differential voltage VRX_DIFFp-p 200 — 1200 mV —

Loss of signal threshold VLOS 75 — 200 mV —

Receiver differential input impedance ZRX_DIFF 80 — 120 —

Page 124: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor124

2.23.6.3.1 SGMII and SGMII 2.5x transmit AC timing specifications

This table provides the SGMII and SGMII 2.5x transmit AC timing specifications. A source synchronous clock is not supported. The AC timing specifications do not include RefClk jitter.

2.23.6.3.2 SGMII AC measurement details

Transmitter and receiver AC characteristics are measured at the transmitter outputs (SDn_TXn and SDn_TXn_B) or at the receiver inputs (SDn_RXn and SDn_RXn_B) respectively.

Figure 46. SGMII AC test/measurement load

2.23.6.3.3 SGMII and SGMII 2.5x receiver AC timing specifications

This table provides the SGMII and SGMII 2.5x receiver AC timing specifications. The AC timing specifications do not include RefClk jitter. Source synchronous clocking is not supported. Clock is recovered from the data.

Table 59. SGMII transmit AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Deterministic jitter JD — — 0.17 UI p-p —

Total jitter JT — — 0.35 UI p-p 2

Unit Interval: 1.25 GBaud (SGMII) UI 800 – 100 ppm 800 800 + 100 ppm ps 1

Unit Interval: 3.125 GBaud (2.5x SGMII) UI 320 – 100 ppm 320 320 + 100 ppm ps 1

AC coupling capacitor CTX 10 — 200 nF 3

Notes:

1. Each UI is 800 ps ± 100 ppm or 320 ps ± 100 ppm.2. See Figure 40 for single frequency sinusoidal jitter measurements.

3. The external AC coupling capacitor is required. It is recommended that it be placed near the device transmitter outputs.

Table 60. SGMII receive AC timing specifications

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Deterministic jitter tolerance JD — — 0.37 UI p-p 1

Combined deterministic and random jitter tolerance JDR — — 0.55 UI p-p 1

Total jitter tolerance JT — — 0.65 UI p-p 1, 2

Transmittersilicon

+ package

C = CTX

C = CTX

R = 50 R = 50

D+ package pin

D– package pin

Page 125: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 125

The sinusoidal jitter in the total jitter tolerance may have any amplitude and frequency in the unshaded region of Figure 40.

2.23.7 CPRI interface

This section describes the CPRI clocking requirements and its DC and AC electrical characteristics.

2.23.7.1 CPRI clocking requirements for SD1_REF1_CLK and SD1_REF1_CLK_B

Only SerDes 1 (SD1_REF1_CLK and SD1_REF1_CLK_B) may be used for SerDes CPRI configurations based on the RCW Configuration field SRDS_PRTCL_S1.

For more information on these specifications, see Section 2.23.2, “SerDes reference clocks.”

2.23.7.2 CPRI LV

This section describes the CPRI LV XAUI based interface, designed to work at 1.2288, 2.4576 and 3.072 GB/s.

2.23.7.2.1 Transmitter specifications

This table defines the DC specifications for the differential output at all transmitters (TXs). The parameters are specified at the component pins.

The following table defines the AC specifications for the differential output at all transmitters (TXs). The parameters are specified at the component pins.

Bit error ratio BER — — 10-12 — —

Unit Interval: 1.25 GBaud (SGMII) UI 800 – 100 ppm 800 800 + 100 ppm ps 1

Unit Interval: 3.125 GBaud (2.5x SGMII]) UI 320 – 100 ppm 320 320 + 100 ppm ps 1

Notes:

1. Measured at receiver

2. Total jitter is composed of three components: deterministic jitter, random jitter, and single frequency sinusoidal jitter. The sinusoidal jitter may have any amplitude and frequency in the unshaded region of Figure 40. The sinusoidal jitter component is included to ensure margin for low frequency jitter, wander, noise, crosstalk and other variable system effects.

Table 61. Transmitter DC specifications (XVDD = 1.35 V or 1.5 V)

Characteristic Symbol Min Nom Max Unit

Output voltage VO –0.40 — 2.30 Volts

Differential output voltage VDIFFPP 800 — 1600 mV p-p

Differential resistance T_Rd 80 100 120

Table 62. Transmitter AC specifications

Characteristic Symbol Min Nom Max Unit

Deterministic jitter JD — — 0.17 UI p-p

Total jitter JT — — 0.35 UI p-p

Table 60. SGMII receive AC timing specifications (continued)

For recommended operating conditions, see Table 4.

Parameter Symbol Min Typ Max Unit Notes

Page 126: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor126

2.23.7.2.2 Receiver specifications

This table defines the DC specifications for the differential input at all receivers (RXs). The parameters are specified at the component pins.

This table defines the AC specifications for the differential input at all receivers (RXs). The parameters are specified at the component pins.

2.23.7.3 CPRI LV-II/LV-III

This section describes the CPRI LV-II CEI-6G-LR based (1.2288, 2.4576, 3.072, 4.9152 and 6.144 Gb/s) and CPRI LV-III IEEE 802.3 [22], clause 72.7 based (9.8304 Gb/s)

Unit interval: 1.2288 GBaud

UI 1/1228.8-100ppm 1/1228.8 1/1228.8+100ppm us

Unit interval: 2.4576 GBaud

UI 1/2457.6-100ppm 1/2457.6 1/2457.6+100ppm us

Unit interval: 3.072 GBaud

UI 1/3072.0-100ppm 1/3072.0 1/3072.0+100ppm us

Note:

The AC specifications do not include Refclk jitter.

Table 63. Receiver DC specifications (SVDD = 1.0 V)

Characteristic Symbol Min Nom Max Unit

Differential input voltage VIN 200 — 1600 mV p-p

Differential resistance R_Rdin 80 — 120

Table 64. Receiver AC specifications

Characteristic Symbol Min Nom Max Unit Condition

Deterministic jitter tolerance JD — — 0.37 UI p-p Measured at receiver

Combined deterministic and random jitter tolerance

JDR — — 0.55 UI p-p Measured at receiver

Total jitter tolerance JT — — 0.65 UI p-p Measured at receiver

Bit error ratio BER — — 10-12 — —

Unit interval: 1.2288 GBaud UI 1/1228.8-100ppm 1/1228.8 1/1228.8+100ppm ps —

Unit interval: 2.4576 GBaud UI 1/2457.6-100ppm 1/2457.6 1/2457.6+100ppm ps —

Unit interval: 3.072 GBaud UI 1/3072.0-100ppm 1/3072.0 1/3072.0+100ppm ps —

Note:

1. Total random jitter is composed of deterministic jitter, random jitter and single frequency sinusoidal jitter. The sinusoidal jitter’s amplitude and frequency is defined in agreement with XAUI specification IEEE 802.3-2005 [1], clause 47.

2. The AC specifications do not include Refclk jitter. The sinusoidal jitter in the total jitter tolerance may have any amplitude and frequency in the unshaded region of Figure 43.

Table 62. Transmitter AC specifications (continued)

Page 127: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 127

2.23.7.3.1 CPRI LV-II and LV-III transmitter specifications

This table provides the CPRI-LV-II and LV-III transmitter DC specifications.

This table provides the CPRI-LV-II/LV-III transmitter AC specifications.

Table 65. CPRI LV-II and LV-III transmitter DC specifications (XVDD = 1.35 V or 1.5 V)

Parameter Symbols Min Nom Max Units Condition

Output differential voltage (into floating load Rload=100 )

T_Vdiff 800 — 1200 mV Amp Setting: SRDS1LNmTECR0 [AMP_RED] = 6b0

De-emphasized differential output voltage (ratio)

T_VTX-DE-RATIO-1.14dB -0.6 -1.1 -1.6 dB Ratio of full swing: SRDS1LNmTECR0 [RATIO_PST1Q] = 5b00011

De-emphasized differential output voltage (ratio)

T_VTX-DE-RATIO-3.5dB -3 -3.5 -4 dB Ratio of full swing: SRDS1LNmTECR0 [RATIO_PST1Q] = 5b01000

De-emphasized differential output voltage (ratio)

T_VTX-DE-RATIO-4.66dB -4.1 -4.6 -5.1 dB Ratio of full swing: SRDS1LNmTECR0 [RATIO_PST1Q] = 5b01010

De-emphasized differential output voltage (ratio)

T_VTX-DE-RATIO-6.0dB -5.5 -6.0 -6.5 dB Ratio of full swing: SRDS1LNmTECR0 [RATIO_PST1Q] = 5b01100

De-emphasized differential output voltage (ratio)

T_VTX-DE-RATIO-9.5dB -9 -9.5 -10 dB Ratio of full swing: SRDS1LNmTECR0 [RATIO_PST1Q] = 5b10000

Differential resistance T_Rd 80 100 120

Table 66. CPRI LV-II/LV-III transmitter AC specifications

Parameter Symbols Min Nom Max Units

Uncorrelated high-probability jitter/random jitter

T_UHPJ/T_RJ — — 0.15 UI p-p

Deterministic jitter T_DJ — — 0.15 UI p-p

Total jitter T_TJ — — 0.30 UI p-p

Unit interval: 1.2288 GBaud UI 1/1228.8-100ppm 1/1228.8 1/1228.8+100ppm us

Unit interval: 2.4576 GBaud UI 1/2457.6-100ppm 1/2457.6 1/2457.6+100ppm us

Unit interval: 3.072 GBaud UI 1/3072.0-100ppm 1/3072.0 1/3072.0+100ppm us

Unit interval: 4.9152 GBaud UI 1/4915.2-100ppm 1/4915.2.0 1/4915.2+100ppm us

Unit interval: 9.8304 GBaud UI 1/9830.4-100ppm 1/9.8304 1/9830.4+100ppm us

Note:

1. The Refclk jitter measured using Golden PLL is to be less than 0.05UI. The Golden PLL should have at maximum a bandwidth of baud rate over 1667, with a maximum of 20dB/dec rolloff, until at least baud rate over 16.67, with no peaking around the corner frequency.

Page 128: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Electrical characteristics

Freescale Semiconductor128

This table provides the CPRI-LV-II/LV-III transmitter AC specifications for 6.144 GBaud.

2.23.7.3.2 CPRI LV-II and LV-III receiver specifications

This table provides the CPRI LV-II and the CPRI LV-III receiver DC timing specifications.

Table 67. CPRI LV-II/LV-III transmitter AC specifications (6.144 GBaud)

Parameter Symbols Min Nom Max Units

Uncorrelated high-probability jitter/random jitter

T_UHPJ/T_RJ — — 0.2 UI p-p

Deterministic jitter T_DJ — — 0.17 UI p-p

Total jitter T_TJ — — 0.37 UI p-p

Unit interval: 6.144 GBaud UI 1/6144.0-100ppm 1/61440 1/6144.0+100ppm us

Note:

1. The Refclk jitter measured using Golden PLL is to be less than 0.05UI. The Golden PLL should have at maximum a bandwidth of baud rate over 1667, with a maximum of 20dB/dec rolloff, until at least baud rate over 16.67, with no peaking around the corner frequency.

Table 68. CPRI-LV-II receiver DC specifications (SVDD = 1.0 V)

Parameter Symbols Min Nom Max Units

Input differential voltage R_Vdiff N/A — 1200 mV

Differential Resistance R_Rdin 80 — 120

Note:

1. It is assumed that for the R_diff Min spec, the eye can be closed at the receiver after passing the signal through a CEI/CPRI Level II LR-compliant channel.

Table 69. CPRI LV-II receiver AC specifications

Parameter Symbols Min Nom Max Units

Gaussian jitter R_GJ — — 0.275 UI p-p

Uncorrelated bounded high-probability jitter R_UBHPJ — — 0.150 UI p-p

Correlated bounded high-probability jitter R_CBHPJ — — 0.525 UI p-p

Bounded high-probability jitter R_BHPJ — — 0.675 UI p-p

Sinusoidal jitter, maximum R_SJ-max — — 5.000 UI p-p

Sinusoidal jitter, high frequency R_SJ-hf — — 0.050 UI p-p

Total jitter does not include sinusoidal jitter R_Tj — — 0.950 UI p-p

Unit Interval: 1.2288 GBaud UI 1/1228.8-100ppm 1/1228.8 1/1228.8+100ppm us

Unit Interval: 2.4576 GBaud UI 1/2457.6-100ppm 1/2457.6 1/2457.6+100ppm us

Unit Interval: 3.072 GBaud UI 1/3072.0-100ppm 1/3072.0 1/3072.0+100ppm us

Page 129: B4420EC

Electrical characteristics

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 129

This table provides the CPRI LV-II receiver AC specifications for 6.144 GBaud.

This table provides the LV-III RX parameters guided by 10GBase-KR electrical interface (IEEE 802.3 [22], clause 72.7.2).

Unit Interval: 4.9152 GBaud UI 1/4915.2-100ppm 1/4915.2.0 1/4915.2+100ppm us

Note:

1. The AC specifications do not include Refclk jitter. The sinusoidal jitter in the total jitter tolerance may have any amplitude and frequency in the unshaded region of Figure 43.

2. The ISI jitter (R_CBHPJ) and amplitude have to be correlated for example by a PCB trace.

3. The intended application is as a point-to-point interface of approximately 100cm and up to two connectors. The maximum allowed total loss (channel + interconnect+ other loss) is 20.6dB @ 6.144 Gb/s.

Table 70. CPRI LV-II receiver AC specifications (6.144 GBaud)

Parameter Symbols Min Nom Max Units

Gaussian jitter R_GJ — — 0.2 UI p-p

Uncorrelated bounded high-probability jitter R_UBHPJ — — 0.05 UI p-p

Correlated bounded high-probability jitter R_CBHPJ — — 0.35 UI p-p

Bounded high-probability jitter R_BHPJ — — 0.40 UI p-p

Sinusoidal jitter, maximum R_SJ-max — — 5.000 UI p-p

Sinusoidal jitter, high frequency R_SJ-hf — — 0.125 UI p-p

Total jitter does not include sinusoidal jitter R_Tj — — 0.6 UI p-p

Unit Interval: 6.144 GBaud UI 1/6144.0-100ppm 1/61440 1/6144.0+100ppm us

Note:

1. The AC specifications do not include Refclk jitter. The sinusoidal jitter in the total jitter tolerance may have any amplitude and frequency in the unshaded region of Figure 43.

2. The ISI jitter (R_CBHPJ) and amplitude have to be correlated for example by a PCB trace.

3. The intended application is as a point-to-point interface of approximately 60cm and up to two connectors. The maximum allowed total loss (channel + interconnect+ other loss) is 12.2dB @ 6.144 Gb/s.

4. R_Tj total jitter is measured at receiver inputs without post-equalizer.

Table 71. CPRI LV-III receiver AC specifications

Symbols Parameter Min Nom Max Units

Gaussian Jitter R_GJ — — 0.130 UI p-p

Sinusoidal Jitter, maximum R_SJ-max — — 0.115 UI p-p

DCD - Duty Cycle Distortion R_dcd — — 0.035 UI p-p

Total jitter R_Tj — — See Note 1. UI p-p

Table 69. CPRI LV-II receiver AC specifications (continued)

Parameter Symbols Min Nom Max Units

Page 130: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor130

3 Hardware design considerations

3.1 System clockingThis section describes the PLL configuration of the chip.

3.1.1 PLL characteristics

Characteristics of the chip’s PLLs include the following:

• There are a total of 11 PLLs on the chip.

• There are two selectable e6500 core cluster PLLs which generate a core clock from the externally supplied SYSCLK input. The e6500 core complex can select from CGA1 PLL or CGA2 PLL. The frequency ratio between e6500 core cluster PLLs and SYSCLK is selected using the configuration bits as described in the applicable chip reference manual.

• There are two selectable SC3900 core clusters PLLs which generate a core clock from the externally supplied SYSCLK input. The SC3900 core clusters can select from CGB1 PLL or CGB2 PLL. The frequency ratio between SC3900 core clusters PLLs and SYSCLK is selected using the configuration bits as described in the applicable chip reference manual.

• The platform PLL generates the platform clock from the externally supplied SYSCLK input. The frequency ratio between the platform and SYSCLK is selected using the platform PLL ratio configuration bits as described in the applicable chip reference manual.

• The DDR PLLs generate the DDR clock, from the externally supplied D1_DDRCLK input (asynchronous mode). The frequency ratio is selected using the Memory Controller Complex PLL multiplier/ratio configuration bits as described in the applicable chip reference manual.

• Each of the two SerDes blocks has 1 PLLs which generate a core clock from their respective externally supplied SDn_REF1_CLK/SDn_REF1_CLK_B inputs. The frequency ratio is selected using the SerDes PLL ratio configuration bits as described in Section 3.1.5, “SerDes PLL ratio.”

Unit Interval: 9.8304 GBaud UI 1/9.8304-100ppm 1/9.8304 1/9.8304+100ppm us

Note:

1. The R_Tj is per Interference Tolerance Test IEEE Std 802.3ap-2007 specified in Annex 69A.

2. The AC specifications do not include Refclk jitter.

3. The maximum channel insertion loss is achieved by manual tuning TX equalization.

Table 71. CPRI LV-III receiver AC specifications (continued)

Page 131: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 131

3.1.2 Clock ranges

This table provides the clocking specifications for the e6500 core, SC3900 core, Maple ETVPE, Maple/CPRI, Maple ULB, platform, and DDR memory.

NOTE

Hardware accelerators cannot run at core/3 and core/4 speeds if the core speed is configured to less than 1 GHz. When the core speed is configured to less than 1 GHz, core/4 speed is not feasible for DFS. Cluster PLL maximum output frequency is 1800 MHz if SYSCLK is lower than 100 MHz.

3.1.3 Platform to SYSCLK PLL ratio

The allowed platform clock to SYSCLK ratio is from 3:1 to 12:1.

3.1.4 PPC core cluster to SYSCLK PLL ratio

The allowed e6500 core cluster or SC3900 cluster PLL clock to SYSCLK ratio are from 6:1 to 27:1.

3.1.5 SerDes PLL ratio

The allowed platform clock to SYSCLK ratio are from 3:1 to 12:1.

Table 72. Clocking specifications

Characteristic Frequency

UnitNotes

Min Max —

e6500 core frequency 250 1600 MHz 1,2,3

SC3900 core frequency 250 1200 MHz 1,2,3

Maple ETVPE frequency 250 1000 MHz —

Maple/CPRI frequency 250 600 MHz —

Maple ULB frequency 250 800 MHz —

Platform clock frequency 400 667 MHz 1, 5

DDR memory bus clock frequency 1067 1600 MHz —

FM frequency 450 667 MHz 4

Notes:

1. Caution: The platform clock to SYSCLK ratio and any core to SYSCLK ratio settings must be chosen such that the resulting cores frequency, and platform clock frequency do not exceed their respective maximum or minimum operating frequencies.

2.The core can run at core complex PLL/1, PLL/2 or PLL/4 with a minimum PLL frequency of 1000.0 MHz. This results in a minimum allowable core frequency of 250 MHz for PLL/4.

3. The e6500 and SC3900 clusters frequency must be at least the (Platform frequency)/2 and higher.

4. FM minimum frequency is 450 MHz when only SGMII at 1 Gbps ports are required (for example, no usage of SGMII2.5). Otherwise, FM minimum frequency is 625 MHz.

5. 5G SRIO port operation is not supported for platform frequencies below 528 MHz.

Page 132: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor132

The clock ratio between each of the three SerDes PLLs and their respective externally supplied SDn_REF1_CLK/SDn_REF1_CLK_B inputs is determined by a set of RCW Configuration fields—SRDS_PRTCL_S1, SRDS_PLL_REF_CLK_SEL_S1 — as shown in this table.

Table 73. Valid SerDes RCW encoding and reference clocks

SerDes protocol (given lane)Valid reference clock frequency

Legal setting for SRDS_PRTCL_S1

Legal setting for SRDS_PLL_REF_CLK_SEL_S1

Notes

High-speed serial and debug interfaces

PCI Express 2.5 Gbps(doesn’t negotiate upwards)

100 MHz Any PCIe 0b0: 100 MHz 1

125 MHz 0b1: 125 MHz 1

PCI Express 5 Gbps(can negotiate up to 5 Gbps)

100 MHz Any PCIe 0b0: 100 MHz 1

125 MHz 0b1: 125 MHz 1

CPRI 1.2288 Gbps 122.88 MHz CPRI @ 1.2288 Gbps 0b0: 122.88 MHz —

CPRI 2.4576 Gbps 122.88 MHz CPRI @ 2.4576 Gbps 0b0: 122.88 MHz —

CPRI 3.072 Gbps 122.88 MHz CPRI @ 3.072 Gbps 0b0: 122.88 MHz —

CPRI 4.9152 Gbps 122.88 MHz CPRI @ 4.9152 Gbps 0b0: 122.88 MHz —

CPRI 6.144 Gbps 122.88 MHz CPRI @ 6.144 Gbps 0b0: 122.88 MHz —

CPRI 9.8304 Gbps 122.88 MHz CPRI @ 9.8304 Gbps 0b0: 122.88 MHz —

Debug (2.5 Gbps) 100 MHz Aurora @ 2.5/5 Gbps 0b0: 100 MHz —

125 MHz 0b1: 125 MHz —

Debug (3.125 Gbps) 125 MHz Aurora @ 3.125 Gbps 0b0: 125 MHz —

156.25 MHz 0b1: 156.25 MHz —

Debug (5 Gbps) 100 MHz Aurora @ 2.5/5 Gbps 0b0: 100 MHz —

125 MHz 0b1: 125 MHz —

Networking interfaces

SGMII (1.25 Gbps) 100 MHz SGMII @ 1.25 Gbps 0b0: 100 MHz —

125 MHz 0b1: 125 MHz —

2.5x SGMII (3.125 Gbps) 125 MHz SGMII @ 3.125 Gbps 0b0: 125 MHz —

156.25 MHz 0b1: 156.25 MHz —

Note:

1. A spread-spectrum reference clock is permitted for PCI Express. However, if any other high-speed interfaces such as debug is used concurrently on the same SerDes bank, spread-spectrum clocking is not permitted.

Page 133: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 133

3.1.5.1 D1_DDRCLK and DDR1 memory frequency options

This table shows the expected frequency options for Dn_DDRCLK and DDRn memory frequencies.

3.2 Power supply design

3.2.1 Voltage ID (VID) controllable supply

To guarantee performance and power specifications, a specific method of selecting the optimum voltage-level must be implemented when the chip is used. As part of the chip's boot process, software must read the VID efuse values stored in the Fuse Status register (DCFG_CCSR_FUSESR) and then configure the external voltage regulator based on this information. This method requires an adjustable point of load voltage regulator (POL).

NOTE

During the power-on reset process, the fuse values are read and stored in the DCFG_CCSR_FUSESR. It is expected that the chip's boot code reads the DCFG_CCSR_FUSESR register very early in the boot sequence and updates the regulator accordingly.

Table 74. D1_DDRCLK and DDR1 data rate options

DDR1 data rate: D1_DDRCLK

D1_DDRCLK (MHz)

66.667 100.000 125.000 133.333

DDR1 data rate (MT/s)1

8:1 1067

9:1

10:1 1333

11:1

12:1 1600

13:1 1300

14:1

15:1

16:1 1067 1600

17:1

18:1 1200

19:1

20:1 1333

Notes:

1. DDR data rate values are shown rounded to the nearest whole number (decimal place accuracy removed)

Page 134: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor134

The default voltage regulator setting that is safe for the system to boot is the recommended operating VDD at initial start-up of 1.05 V. It is highly recommended to select a regulator with a Vout range of at least 0.9 V to 1.1 V, with a resolution of 12.5 mV or better, when implementing a VID solution.

For additional information on VID, see the chip reference manual.

3.2.1.1 Options for system design

There are several widely-accepted options available to the system designer for obtaining the benefits of a VID solution. The most common option is to use the VID solution to drive a system's controllable voltage-regulators through a sideband interface such as a simple parallel bus or PMBus interface. PMBus is similar to I2C but with extensions to improve robustness and address shortcomings of I2C; the PMBus specification can be found at www.pmbus.org. The simple parallel bus is supported by the chip through GPIO pins and the PMBus interface is supported by an I2C interface. Other VID solutions may be to access an FPGA/ASIC or separate power management chip through the IFC, SPI, or other chip-specific interface, where the other device then manages the voltage regulator. The method chosen for implementing the chip-specific voltage in the system is decided by the user.

3.2.1.1.1 Example 1: Regulators supporting parallel bus configuration

In this example, a user builds a VID solution using controllable regulators with a parallel bus. In this implementation, the user chooses to utilize any subset of the available GPIO pins on the chip except those noted below.

NOTE

GPIO pins that are muxed on an interface used by the application for loading RCW information are not available for VID use.

It is recommended that all GPIO pins used for VID are located in the same 32-bit GPIO IP block so that all bits can be accessed with a single read or write.

The general procedure for setting the core voltage regulator to the desired operating voltage is as follows:

1. The GPIO pins are released to high-impedance at POR. Because GPIO pins default to being inputs, they do not begin automatically driving after POR, and only work as outputs under software control.

2. The board is responsible for a default voltage regulator setting that is "safe" for the system to boot. To achieve this, the user puts pull-up and/or pull-down resistors on the GPIO pins as needed for that specific system. For the case where the regulator's interface operates at a different voltage than OVDD, the chip's GPIO module can be operated in an open drain configuration.

3. There is no direct connection between the Fuse Status Register (FUSESR) and the chip's pins. As part of the chip's boot process, software must read the efuse values stored in the FUSESR and then configure the voltage regulator based on this information. The software determines the proper value for the parallel interface and writes it to the GPIO block data (GPDAT) register. It then changes the GPIO direction (GPDIR) register from input to output to drive the new value on the device pins, thus overriding the board configuration default value. Note that some regulators may require a series of writes so that the voltage is slowly stepped from its old to its new value.

4. When the voltage has stabilized, software adjusts the operating frequencies as desired.

Upon completion of configuration, some regulators may have a write-protect pin to prevent undesired data changes after configuration is complete. A single GPIO pin on the chip could be allocated for this task if desired.

3.2.1.1.2 Example 2: Regulators supporting PMBus configuration

In this example, a user builds a VID solution using controllable regulators with a PMBus interface. For the case where the regulator's interface operates at a different voltage than DVDD, the chip's I2C module can be operated in an open-drain configuration.

In this implementation, the user chooses to utilize any I2C interface available on the chip. These regulators have a means for setting a safe, default, operating value either through strapping pins or through a default, non-volatile store.

Page 135: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 135

NOTE

If I2C1 controller is selected, it is important that its calling address is different than the 7-bit value of 0x50h used by the pre-boot loader (PBL) for RCW and pre-boot initialization.

The general procedure for setting the core voltage regulator to the desired operating voltage is as follows:

1. The board is responsible for configuring a safe default value for the controllable regulator either through dedicated pins or its non-volatile store.

2. As part of the chip's boot process, software must read the efuse values stored in the FUSESR register and then configure the voltage regulator based on this information. The software decides on a new configuration and sends this value across the I2C interface connected to the regulator's PMBus interface. Note that some regulators may require a series of writes so that the voltage is slowly stepped from its old to its new value.

3. When the voltage has stabilized, software adjusts the operating frequencies as desired.

Upon completion of configuration, some regulators may have a write-protect pin to prevent undesired data changes after configuration is complete. A single GPIO pin on the chip could be allocated for this task, if desired.

3.2.1.1.3 Example 3: Regulators supporting FPGA/ASIC or separate power management device configuration

In this example, a user builds a VID solution using controllable regulators that are managed by a FPGA/ASIC or a separate power-management device. In this implementation, the user chooses to utilize the IFC, eSPI or any other available chip interface to connect to the power-management device.

The general procedure for setting the core voltage regulator to the desired operating voltage is as follows:

1. The board is responsible for configuring a safe default value for the controllable regulator either through dedicated pins or its non-volatile store.

2. As part of the chip's boot process, software must read the efuse values stored in the FUSESR and then configure the voltage regulator based on this information. The software decides on a new configuration and sends this value across the IFC, eSPI, or any other interface that is used to connect to the FPGA/ASIC or separate power-management device that manages the regulator. Note that some regulators may require a series of writes so that the voltage is slowly stepped from its old to its new value.

3. When the voltage has stabilized, software adjusts the operating frequencies as desired.

Upon completion of configuration, some regulators may have a write-protect pin to prevent undesired data changes after configuration is complete. A single GPIO pin on the chip could be allocated for this task, if desired.

3.2.2 Core supply voltage filtering

The VDD supply is normally derived from a high current capacity or switching power supply which can regulate its output voltage very accurately despite changes in current demand from the chip within the regulator’s relatively low bandwidth. Several bulk decoupling capacitors must be distributed around the PCB to supply transient current demand above the bandwidth of the voltage regulator.

These bulk capacitors should have a low ESR (equivalent series resistance) rating to ensure the quick response time necessary. They should also be connected to the power and ground planes through two vias to minimize inductance. However, customers should work directly with their power regulator vendor for best values and types of bulk capacitors.

As a guideline for customers and their power regulator vendors, Freescale recommends that these bulk capacitors be chosen to maintain the power supply voltage within ± 30 mV.

These bulk decoupling capacitors ideally supply a stable voltage for current transients into the megahertz range. Above that, see Section 3.3, “Decoupling recommendations for further decoupling recommendations.

Page 136: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor136

3.2.3 PLL power supply filtering

Each of the PLLs described in Section 3.1, “System clocking,” is provided with power through independent power supply pins (AVDD_PLAT, AVDD_CGAn, AVDD_CGBn and AVDD_DDR1 and AVDD_SRDSn_PLL1). AVDD_PLAT, AVDD_CGAn, AVDD_CGBn and AVDD_DDR1 voltages must be derived directly from a 1.8 V voltage source through a low frequency filter scheme. AVDD_SRDSn_PLL1 voltage must be derived directly from the XVDD voltage source through a low frequency filter scheme. The recommended solution for PLL filtering is to provide independent filter circuits per PLL power supply, as illustrated in Figure 47, one for each of the AVDD pins. By providing independent filters to each PLL, the opportunity to cause noise injection from one PLL to the other is reduced. This circuit is intended to filter noise in the PLL’s resonant frequency range from a 500 kHz to 10 MHz range.

Each circuit should be placed as close as possible to the specific AVDD pin being supplied to minimize noise coupled from nearby circuits. It should be possible to route directly from the capacitors to the AVDD pin, which is on the periphery of the footprint, without the inductance of vias.

This figure shows the PLL power supply filter circuit.

Where:

R = 5 ± 5%

C1 = 10 F ± 10%, 0603, X5R, with ESL 0.5 nH

C2 = 1.0 F ± 10%, 0402, X5R, with ESL 0.5 nH

NOTE

A higher capacitance value for C2 may be used to improve the filter as long as the other C2 parameters do not change (0402 body, X5R, ESL 0.5 nH).

Voltage for AVDD is defined at the input of the PLL supply filter and not the pin of AVDD.

Figure 47. PLL power supply filter circuit

The AVDD_SRDSn_PLL1 signals provides power for the analog portions of the SerDes PLL. To ensure stability of the internal clock, the power supplied to the PLL is filtered using a circuit similar to the one shown in following Figure 48. For maximum effectiveness, the filter circuit is placed as closely as possible to the AVDD_SRDSn_PLL1 balls to ensure it filters out as much noise as possible. The ground connection should be near the AVDD_SRDSn_PLL1 balls. The 0.003-µF capacitors closest to the balls, followed by a 4.7-µF and 47-µF capacitor, and finally the 0.33 resistor to the board supply plane. The capacitors are connected from AVDD_SRDSn_PLL1 to the ground plane. Use ceramic chip capacitors with the highest possible self-resonant frequency. All traces should be kept short, wide, and direct.

Figure 48. SerDes PLL power supply filter circuit

Note the following:

• AVDD_SRDSn_PLL1 should be a filtered version of XVDD.

AVDD_PLAT, AVDD_CGAn, AVDD_CGBn, AVDD_DDR1

C1 C2

GNDLow-ESL surface-mount capacitors

R1.8 V source

4.7 µF 0.003 µF

0.33

47 µF

AGND_SRDSn_PLL1

XVDD AVDD_SRDSn_PLL1

Page 137: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 137

• Signals on the SerDes interface are fed from the XVDD power plane.

• Voltage for AVDD_SRDSn_PLL1 is defined at the PLL supply filter and not the pin of AVDD_SRDSn_PLL1.

• A 47-µF 0805 XR5 or XR7, 4.7-µF, and 0.003-µF or smaller capacitor are recommended. The size and material type are important. A 0.33-± 1% resistor is recommended.

• There needs to be dedicated analog ground, AGND_SRDSn_PLL1 for each AVDD_SRDSn_PLL1 pin up to the physical local of the filters themselves.

3.2.4 SVDD power supply filtering

SVDD should be supplied by a dedicated linear regulator. Systems may design to allow flexibility to address system noise dependencies.

NOTE

For initial system bring-up, the linear regulator option is highly recommended.

An example solution for SVDD filtering, where SVDD is sourced from linear regulator, is illustrated in Figure 49. The component values in this example filter are system dependent and are still under characterization, component values may need adjustment based on the system or environment noise.

Where:

C1 = 0.003F ± 10%, X5R, with ESL 0.5 nH

C2 and C3 = 2.2 F ± 10%, X5R, with ESL 0.5 nH

F1 to F4 are 0603 sized Ferrite SMD, like the Murata part BLM18PG121SH1. Its maximum DC resistance is 0.05, or 0.0125 for the parallel resultant, and each has about a 120+-25% of AC impedance at 100 MHz, which will be quarter valued for the parallel resultant, with individual maximum DC current carrying capacity of 2Amps. Bulk and decoupling capacitors are added, as needed, per power supply design.

Figure 49. SVDD power supply filter circuit

Note the following:

• See Section 2.5, “Power-on ramp rate for maximum SVDD power-up ramp rate.

• There must be enough output capacitance or a soft start feature to ensure that the ramp rate requirement is met.

3.2.5 XVDD power supply filtering

XVDD must be supplied by a linear regulator or sourced by a filtered G1VDD. Systems may design in both options to allow flexibility to address system noise dependencies.

NOTE

For initial system bring-up, the linear regulator option is highly recommended.

An example solution for XVDD filtering, where XVDD is sourced from a linear regulator, is illustrated in Figure 50. The component values in this example filter are system dependent and are still under characterization, component values may need adjustment based on the system or environment noise.

Where:

C1 = 0.003F ± 10%, X5R, with ESL 0.5 nH

SVDD Liner regulator output

C1 C2

GND

C3

Bulk anddecouplingcapacitors

F1

F2

Page 138: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor138

C2 and C3 = 2.2 F ± 10%, X5R, with ESL 0.5 nH

F1 to F4 are 0603 sized Ferrite SMD, like the Murata part BLM18PG121SH1. Its maximum DC resistance is 0.05, or 0.0125 for the parallel resultant, and each has about a 120+-25% of AC impedance at 100 MHz, which will be quarter valued for the parallel resultant, with individual maximum DC current carrying capacity of 2Amps.Bulk and decoupling capacitors are added, as needed, per power supply design.

Figure 50. XVDD power supply filter circuit

Note the following:

• See Section 2.5, “Power-on ramp rate for maximum XVDD power-up ramp rate.

• There must be enough output capacitance or a soft start feature to ensure the ramp rate requirement is met.

3.2.6 Remote power-supply sense recommendations

There is a practice of connecting the remote sense signal of an on-board power supply to one of power supply pins of an IC device. The advantage of this connection is the ability to compensate for the slow components of the IR droop caused by the resistive supply current path from the on-board power supply to the C5 pins layer on-package (for flip-chip packages).

However, not every C5 pin is selected to be the remote sense pin. It may be a reserved pin that requires a connection to be a supply or ground pin, and therefore must remain connected to the corresponding supply. Alternatively, the C5 pin may be supplying the critical power-consuming area of the IC die whose usage as non-supply pin may cause shortage in the supply current during high-current peaks.

It is recommended that these pins be used as the board supply remote sense output, because they do not degrade the power and ground supply quality:

• VDD/VSS sense pair: K9/J9 or AE12/AD11

Connect to either sense pair and leave the other pair unconnected.

3.3 Decoupling recommendationsDue to large address and data buses, and high operating frequencies, the device can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. This noise must be prevented from reaching other components in the chip system, and the chip itself requires a clean, tightly regulated source of power. Therefore, it is recommended that the system designer place decoupling capacitors at each VDD, OVDD, QVDD, DVDD and G1VDD pin of the device. These decoupling capacitors should receive their power from separate VDD, OVDD, QVDD, DVDD and G1VDD and GND power planes in the PCB, utilizing short traces to minimize inductance. Capacitors may be placed directly under the device using a standard escape pattern. Others may surround the part.

These capacitors should have a value of 0.1 µF. Only ceramic SMT (surface mount technology) capacitors should be used to minimize lead inductance, preferably 0402 or 0603 sizes.

As presented in Section 3.2.2, “Core supply voltage filtering,” it is recommended that there be several bulk storage capacitors distributed around the PCB, feeding the VDD and other planes (For example, OVDD, QVDD, DVDD and G1VDD), to enable quick recharging of the smaller chip capacitors.

XVDDLinear regulator output

C1 C2

GND

F1

F2C3

Bulk anddecouplingcapacitors

Page 139: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 139

3.4 SerDes block power supply decouplingrecommendations

The SerDes block requires a clean, tightly regulated source of power (SVDD and XVDD) to ensure low jitter on transmit and reliable recovery of data in the receiver. An appropriate decoupling scheme is outlined below.

NOTE

Only SMT capacitors should be used to minimize inductance. Connections from all capacitors to power and ground should be done with multiple vias to further reduce inductance.

1. The board should have at least 1 0.1-µF SMT ceramic chip capacitor placed as close as possible to each supply ball of the device. Where the board has blind vias, these capacitors should be placed directly below the chip supply and ground connections. Where the board does not have blind vias, these capacitors should be placed in a ring around the device as close to the supply and ground connections as possible.

2. Between the device and any SerDes voltage regulator there should be a lower bulk capacitor, for example, a 10-µF, low ESR SMT tantalum or ceramic chip capacitor and a higher bulk capacitor, for example, a 100-µF–300-µF low ESR SMT tantalum or ceramic chip capacitor.

3.5 Connection recommendations for unused pinsTo ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level. All unused active low inputs and open-drain I/O should be tied to VDD, QVDD, DVDD, OVDD and G1VDD as required. All unused active high inputs should be connected to GND. All NC (no connect) signals must remain unconnected. Power and ground connections must be made to all external VDD, QVDD, DVDD, OVDD, G1VDD and GND pins of the chip.

Unused LVCMOS pins recommendations:

• For unused input only and bidirectional pins, connect with a pull-down resistor of 10 k.

• Unused output only pins can be left floating.

Unused DDR pins recommendations:

• When the following conditions are met, clocks, address, control, mask, cmd, data, strobes, MAPAR_OUT pin, and MAPAR_ERR_B pins can be left floating:

— the output buffer is tristated,

— the receiver is in sleep mode,

— and termination is off.

• When the conditions above are not met, connect the clocks, address, control, mask, cmd, data, positive strobes, MAPAR_OUT pin, and MAPAR_ERR_B pins to GND via a 1 kresistor. Negative strobes should be pulled-up to GnVDD via a 1 kresistor.

3.5.1 Legacy JTAG configuration signals

Correct operation of the JTAG interface requires configuration of a group of system control pins as demonstrated in Figure 52. Care must be taken to ensure that these pins are maintained at a valid deasserted state under normal operating conditions as most have asynchronous behavior and spurious assertion gives unpredictable results.

Boundary-scan testing is enabled through the JTAG interface signals. The TRST_B signal is optional in the IEEE Std 1149.1 specification, but it is provided on all processors built on Power Architecture technology. The device requires TRST_B to be asserted during power-on reset flow to ensure that the JTAG boundary logic does not interfere with normal chip operation. While the TAP controller can be forced to the reset state using only the TCK and TMS signals, generally systems assert TRST_B during the power-on reset flow. Simply tying TRST_B to PORESET_B is not practical because the JTAG interface is also used for accessing the common on-chip processor (COP), which implements the debug interface to the chip.

Page 140: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor140

The COP function of these processors allow a remote computer system (typically, a PC with dedicated hardware and debugging software) to access and control the internal operations of the processor. The COP interface connects primarily through the JTAG port of the processor, with some additional status monitoring signals. The COP port requires the ability to independently assert PORESET_B or TRST_B in order to fully control the processor. If the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the COP reset signals must be merged into these signals with logic.

The arrangement shown in Figure 52 allows the COP port to independently assert PORESET_B or TRST_B, while ensuring that the target can drive PORESET_B as well.

The COP interface has a standard header, shown in Figure 51, for connection to the target system, and is based on the 0.025" square-post, 0.100" centered header assembly (often called a Berg header). The connector typically has pin 14 removed as a connector key.

The COP header adds many benefits such as breakpoints, watchpoints, register and memory examination/modification, and other standard debugger features. An inexpensive option can be to leave the COP header unpopulated until needed.

There is no standardized way to number the COP header; so emulator vendors have issued many different pin numbering schemes. Some COP headers are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-bottom. Still others number the pins counter-clockwise from pin 1 (as with an IC). Regardless of the numbering scheme, the signal placement recommended in Figure 51 is common to all known emulators.

3.5.1.1 Termination of unused signals

If the JTAG interface and COP header are not used, Freescale recommends the following connections:

• TRST_B should be tied to PORESET_B through a 0 k isolation resistor so that it is asserted when the system reset signal (PORESET_B) is asserted, ensuring that the JTAG scan chain is initialized during the power-on reset flow. Freescale recommends that the COP header be designed into the system as shown in Figure 52. If this is not possible, the isolation resistor will allow future access to TRST_B in case a JTAG interface may need to be wired onto the system in future debug situations.

• No pull-up/pull-down is required for TDI, TMS or TDO.

Figure 51. Legacy COP Connector Physical Pinout

3

13

9

5

1

6

10

15

11

7

16

12

8

4

KEYNo pin

1 2COP_TDO

COP_TDI

NC

NC

COP_TRST_B

COP_VDD_SENSE

COP_CHKSTP_IN_B

NC

NC

GND

COP_TCK

COP_TMS

COP_SRESET_B

COP_HRESET_B

COP_CHKSTP_OUT_B

Page 141: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 141

Figure 52. Legacy JTAG interface connection

PORESET_B

From targetboard sources

COP_HRESET13

COP_SRESET

HRESET_B

NC

11

COP_VDD_SENSE26

15

10

10 k

COP_CHKSTP_IN_B8

COP_TMS

COP_TDO

COP_TDI

COP_TCK

TMS

TDO

TDI

9

1

3

4COP_TRST

7

16

2

10

12

(if any)

CO

P H

ead

er

14 3

Notes:

10 k

TRST_B110 k

10 k

10 k

CKSTP_OUT_BCOP_CHKSTP_OUT_B

3

13

9

5

1

6

10

15

11

7

16

12

8

4

KEYNo pin

COP ConnectorPhysical Pinout

1 2

NC

HRESET_B6

2. Populate this with a 10 resistor for short-circuit/current-limiting protection.

NC

OVDD

10 kPORESET_B1

in order to fully control the processor as shown here.

1. The COP port and target board should be able to independently assert POREST_B and TRST_B to the processor

signal integrity.

TCK

4

5

5.This switch is included as a precaution for BSDL testing. The switch should be closed to position A during BSDL testing

to position B.

10 k

6. Asserting HRESET_B causes a hard reset on the chip.

3. The KEY location (pin 14) is not physically present on the COP header.4. Although pin 12 is defined as a No-Connect, some debug tools may use pin 12 as an additional GND pin for improved

to avoid accidentally asserting the TRST_B line. If BSDL testing is not being performed, this switch should be closed

AB

5

System logic

7. This gate is an open-drain gate.

1 k7

Page 142: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor142

3.5.2 Aurora configuration signals

Correct operation of the Aurora interface requires configuration of a group of system control pins as demonstrated in Figure 54. Care must be taken to ensure that these pins are maintained at a valid deasserted state under normal operating conditions as most have asynchronous behavior and spurious assertion gives unpredictable results.

Freescale recommends the Aurora 34 or 70 pin duplex connectors be designed into the system as shown in the following figures.

If the Aurora interface is not used, Freescale recommends the legacy COP header be designed into the system as described in Section 3.5.1.1, “Termination of unused signals.”

Figure 53. Aurora 34 pin connector duplex pinout

3

13

9

5

1

6

10

15

11

7

16

12

8

4

1 2TX0_P

TX0_N

GND

VIO (VSense)

TCK

TMS

TDI

TDO

TRST

Vendor I/O 1

TX1_P

TX1_N

GND

RX0_P

RX0_N

17 18

2019

21 22

GND

RX1_P

RX1_N

Vendor I/O 014

Vendor I/O 3

Vendor I/O 2

RESET

25

31

27

23

28

32

33

29

34

30

26

24GND

TX2_P

TX2_N

GND

CLK_P

CLK_N

GND

Vendor I/O 4

Vendor I/O 5

GND

TX3_P

TX3_N

Page 143: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 143

Figure 54. Aurora 70 pin connector duplex pinout

3

13

9

5

1

6

10

15

11

7

16

12

8

4

1 2TX0_P

TX0_N

GND

VIO (VSense)

TCK

TMS

TDI

TDO

TRST

Vendor I/O 1

TX1_P

TX1_N

GND

RX0_P

RX0_N

17 18

2019

21 22

GND

RX1_P

RX1_N

Vendor I/O 014

Vendor I/O 3

Vendor I/O 2

RESET

25

35

31

27

23

28

32

37

33

29

38

34

30

26

24GND

TX2_P

TX2_N

GND

CLK+

CLK-

GND

Vendor I/O 4

Vendor I/O 5

N/C

GND

TX3_P

TX3_N

GND

RX2_P

39 40

4241

43 44

RX2_N

GND

RX3_P

GND36

GND

N/C

N/C

47

57

53

49 50

54

59

55

51

60

56

52

48

45 46RX3_N

GND

TX4_P

N/C

GND

N/C

N/C

GND

N/C

GND

TX4_N

GND

TX5_P

TX5_N

GND

61 62

6463

65 66

TX6_P

TX6_N

GND

N/C58

N/C

N/C

GND

6867

69 70

TX7_P

TX7_N

N/C

N/C

Page 144: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor144

Figure 55. Aurora 34 pin connector duplex interface connection

PORESET_B

From TargetBoard Sources

RESET22

VIO VSense22

1 k

AURORA_TMS

AURORA_TDO

AURORA_TDI

AURORA_TCK

TMS

TDO

TDI

6

10

8

12

4

29,3023,24

(if any)

Au

rora

Head

er

Notes:

10 k

TRST_B110 k

10 k

10 k

Duplex 34 ConnectorPhysical Pinout

HRESET

OVDD

10 k PORESET_B1

1. The Aurora port and target board should be able to independently assert PORESET_B and TRST_B to the processor

TCK

3 10 k

_B4

AB

34 Vendor I/O 5 (Aurora_HRESET_B)

18 Vendor I/O 2 (Aurora_Event_Out_B)

16Vendor I/O 1 (Aurora_Event_In_B)

14Vendor I/O 0 (Aurora_HALT_B)

5,11,17

EVT[4]

EVT[1]

EVT[0]

1TX0_P

3TX0_N

SD1_TX3_P

SD1_TX3_N

7TX1_P

9TX1_N

SD1_TX2_P

SD1_TX2_N

13RX0_P

15RX0_N

SD1_RX3_P

SD1_RX3_N

19RX1_P

21RX1_N

SD1_RX2_P

SD1_RX2_N

0.01 uF0.01 uF

0.01 uF0.01 uF

20,25 NC

REF_CLK_B

SD1_REF1_CLKSD1_REF1_CLK_B

2628

3

13

9

5

1

6

10

15

11

7

16

12

8

4

1 2

17 18

2019

21 22

14

25

31

27

23

28

32

33

29

34

30

26

24

32,3327,31

10 k

HRESET_B

1 k

5

CLK_NCLK_P

REF_CLKREF_CLK1REF_CLK1_B

100 nF

100 nF

66

AURORA_TRST_B

in order to fully control the processor as shown here.2. Populate this with a 1 k resistor for short-circuit/current-limiting protection.3. This switch is included as a precaution for BSDL testing. Close the switch to position A during BSDL testing to avoid

accidentally asserting the TRST_B line. If BSDL testing is not being performed, close this switch to position B.4. Asserting HRESET_B causes a hard reset on the device. 5. This is an open-drain output gate.6. REF_CLK/REF_CLK_B and REF_CLK1/REF_CLK1_B are buffered clocks from the same common source.

Page 145: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 145

Figure 56. Aurora 70 pin connector duplex interface connection

PORESET_B

From TargetBoard Sources

RESET22

HRESET_B

VIO VSense22

1 k

COP_TMS

COP_TDO

COP_TDI

COP_TCK

6

10

8

12

4

42,47,48,53,54,29,30,35,36,41,

(if any)

CO

P H

ead

er

Notes:

10 k

10 k

10 k

Duplex 70

2. Populate this with a 1 k resistor for short-circuit/current-limiting protection.

OVDD

10 k

in order to fully control the processor as shown here.1. The Aurora port and target board should be able to independently assert PORESET_B and TRST_B to the processor

3

3.This switch is included as a precaution for BSDL testing. Close the switch to position A during BSDL testing to avoid

10 k

accidentally asserting the TRST_B line. If BSDL testing is not being performed, close this switch to position B.

AB

28 CLK_N

18 Vendor I/O 2 (Aurora Event Out)

16Vendor I/O 1 (Aurora Event In)

14Vendor I/O 0 (Aurora HALT)

5,11,17,23,24,

1TX0_P

3TX0_N

7TX1_P

9TX1_N

13RX0_P

15RX0_N

19RX1_P

21RX1_N

3

13

9

5

1

6

10

15

11

7

16

12

8

4

1 2

17 18

2019

21 22

14

25

35

31

27

23

28

32

37

33

29

38

34

30

26

24

39 40

4241

43 44

36

47

57

53

49 50

54

59

55

51

60

56

52

48

45 46

61 62

6463

65 66

58

6867

69 70

34Vendor I/O 5 (Aurora HRESET)

26 CLK_P

32,33,37,38,

N/C39,40,43,44,45,46,49,50,51,52,55,56,57,58,61,62,63,64,67,68,

20,25,27,31,

69,70

59,60,65,66

ConnectorPhysical Pinout

10 k

10 k

REF_CLK1REF_CLK1_B

0.01 uF

0.01 uF

0.01 uF

0.01 uF

5

REF_CLKREF_CLK_B 6

6

TRST

100 nF100 nF

4. Asserting HRESET_B causes a hard reset on the device. 5. This is an open-drain gate.6. REF_CLK/REF_CLK_B and REF_CLK1/REF_CLK1_B are buffered clocks from the same common source.

HRESET_B4 1 k

PORESET_B1

TRST_B1

TMS

TDO

TCK

TDI

SD2_REF1_CLK_B

SD2_REF1_CLK

EVT[4]EVT[1]EVT[0]

SD2_TX3

SD2_TX3_BSD2_TX2

SD2_TX2_BSD2_RX3

SD2_RX3_B

SD2_RX2

SD2_RX2_B

Page 146: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor146

3.5.3 Guidelines for high-speed interface termination

3.5.3.1 SerDes interface entirely unused

If the high-speed SerDes interface is not used at all, the unused pin should be terminated as described in this section.

Note that both SVDD and XVDD must remain powered.

The following pins must be left unconnected:

• SD1_TX[5:2]

• SD1_TX[5:2]_B

• SD2_TX[3:0]

• SD2_TX[3:0]_B

The following pins must be connected to SGND:

• SDn_REF1_CLK

• SDn_REF1_CLK_B

• SD1_RX[5:2]

• SD1_RX[5:2]_B

• SD2_RX[3:0]

• SD2_RX[3:0]_B

The following pins must be left unconnected:

• SDn_IMP_CAL_RX

• SDn_IMP_CAL_TX

In the RCW configuration fields SRDS_PLL_PD_S2 must be set to power down mode in any case, SRDS_PLL_PD_S1 will be set to power down if the SerDes is completely not used.

3.5.3.2 SerDes interface partly unused

If only part of the high speed SerDes interface pins are used, the remaining high-speed serial I/O pins should be terminated as described in this section.

The following unused pins must be left unconnected:

• SDn_TX[n]

• SDn_TX[n]_B

The following unused pins must be connected to SGND:

• SDn_RX[n]

• SDn_RX[n]_B

In the RCW configuration field SRDS_PLL_PD_Sn, the respective bits for each unused module must be set to power down PLL1 of the corresponding SerDes module.

After POR, if an entire SerDes module is unused, it can be powered down by clearing the SDEN fields of its corresponding PLL1 reset control registers (SRDSn_PLL1RSQCTL).

Unused lanes can be powered down by clearing the RRST and TRST fields and setting the RX_PD and TX_PD fields in the corresponding lane’s general control register (SRDSn_LxGCR0).

Page 147: B4420EC

Hardware design considerations

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 147

3.6 ThermalThis table shows the thermal characteristics for the chip.

3.7 Thermal management informationThis section provides thermal management information for the flip-chip, plastic-ball, grid array (FC-PBGA) package for air-cooled applications. Proper thermal control design is primarily dependent on the system-level design—the heat sink, airflow, and thermal interface material. The recommended attachment method to the heat sink is illustrated in Figure 57. The heat sink

Table 75. Package thermal characteristics 6

Rating Board Symbol Value Unit Notes

Junction to ambient, natural convection Single-layer board (1s) RJA 16 °C/W 1, 2

Junction to ambient, natural convection Four-layer board (2s2p) RJA 11 °C/W 1, 3

Junction to ambient (at 200 ft./min.) Single-layer board (1s) RJMA 10 °C/W 1, 2

Junction to ambient (at 200 ft./min.) Four-layer board (2s2p) RJMA 7 °C/W 1, 2

Junction to board — RJB 3.3 °C/W 3

Junction-to-case top — RJCtop 0.37 °C/W 4

Junction-to-lid top — RJClid 0.18 °C/W 5

Note:

1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal resistance.

2. Per JEDEC JESD51–3 and JESD51–6 with the board (JESD51–9) horizontal.3. Thermal resistance between the die and the printed-circuit board per JEDEC JESD51–8. Board temperature is measured on

the top surface of the board near the package.4. Junction-to-case-top at the top of the package determined using MIL-STD 883 Method 1012.1. The cold plate temperature is

used for the case temperature. Reported value includes the thermal resistance of the interface layer.5. Junction-to-lid-top thermal resistance is determined using the MIL-STD 883 Method 1012.1. However, instead of the cold

plate, the lid-top temperature is used here for the reference case temperature. Reported value does not include the thermal resistance of the interface layer between the package and the cold plate.

6. See Section 3.7, “Thermal management information,” for additional details.

Page 148: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Hardware design considerations

Freescale Semiconductor148

should be attached to the printed-circuit board with the spring force centered over the die. This spring force should not exceed 31 lbs (137 Newton).

Figure 57. Package exploded, cross-sectional view—FC-PBGA (with lid)

The system board designer can choose between several types of heat sinks to place on the device. There are several commercially-available thermal interfaces to choose from in the industry. Ultimately, the final selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost.

3.7.1 Internal package conduction resistance

For the package, the intrinsic internal conduction thermal resistance paths are as follows:

• The die junction-to-case thermal resistance

• The die junction-to-lid-top thermal resistance

• The die junction-to-board thermal resistance

This figure depicts the primary heat transfer path for a package with an attached heat sink mounted to a printed-circuit board.

Figure 58. Package with heat sink mounted to a printed-circuit board

Adhesive or

Heat sink FC-PBGA package (with lid)

Heat sink clip

Printed-circuit board

thermal interface materialDie

Die lid

Lid adhesive

External resistance

External Resistance

Internal resistance

Radiation Convection

Radiation Convection

Heat sink

Printed-circuit board

Thermal interface material

Package/Solder ballsDie junctionDie/Package

(Note the internal versus external package resistance)

Junction to lid top

Junction to case top

Page 149: B4420EC

Package information

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 149

The heat sink removes most of the heat from the device. Heat generated on the active side of the chip is conducted through the silicon and through the heat sink attach material (or thermal interface material), and finally to the heat sink. The junction-to-case thermal resistance is low enough that the heat sink attach material and heat sink thermal resistance are the dominant terms.

3.7.2 Thermal interface materials

A thermal interface material is required at the package-to-heat sink interface to minimize the thermal contact resistance. The performance of thermal interface materials improves with increasing contact pressure; this performance characteristic chart is generally provided by the thermal interface vendor. The recommended method of mounting heat sinks on the package is by means of a spring clip attachment to the printed-circuit board (see Figure 57).

The system board designer can choose among several types of commercially-available thermal interface materials.

3.8 Temperature diodeThe chip has temperature diodes that can be used to monitor its temperature using external temperature monitoring devices (such as Analog Devices, ADT7461A™). These on-chip temperature diodes have pins that may be connected to test points, or left as a no connect when they are not used.

The following are specifications of the chip temperature diodes:

• Operating range: 10–230A

• Non-ideality factor over entire temperature range: n = 1.006 ± 0.003

4 Package information

4.1 Package parameters for the FC-PBGAThe package parameters are as provided in the following list. The package type is 33 mm 33 mm, 1020 flip-chip, plastic-ball, grid array (FC-PBGA). The device part is designed to be RoHS and Pb-free compliant.

Package outline 33 mm 33 mm

Interconnects 1020

Ball Pitch 1.0 mm

Ball Diameter (typical) 0.60 mm

Solder Balls 96.5% Sn, 3% Ag, 0.5% Cu

Module height (typical) 2.63 mm to 2.93 mm (maximum)

Page 150: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Package information

Freescale Semiconductor150

4.2 Mechanical dimensions of the B4420 FC-PBGAThis figure shows the mechanical dimensions and bottom surface nomenclature of the chip.

Figure 59. Mechanical dimensions of the FC-PBGA with full lid

NOTES:

1. All dimensions are in millimeters.2. Dimensions and tolerances per ASME Y14.5M-1994.

3. All dimensions are symmetric across the package center lines unless dimensioned otherwise.

4. Maximum solder ball diameter measured parallel to datum A.5. Datum A, the seating plane, is determined by the spherical crowns of the solder balls.

6. Parallelism measurement excludes any effect of mark on top surface of package.

Page 151: B4420EC

Security fuse processor

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Freescale Semiconductor 151

5 Security fuse processorThis chip implements the trust architecture, supporting capabilities such as secure boot. Use of the trust architecture features is dependent on programming fuses in the Security Fuse Processor (SFP). The details of the trust architecture and SFP can be found in the chip reference manual.

To program SFP fuses, the user is required to supply 1.8 V to the POVDD pin per Section 2.2, “Power sequencing.” POVDD should only be powered for the duration of the fuse programming cycle, with a per device limit of two fuse programming cycles. All other times POVDD should be connected to GND. The sequencing requirements for raising and lowering POVDD are shown in Figure 8. To ensure device reliability, fuse programming must be performed within the recommended fuse programming temperature range per Table 4.

NOTE

Users not implementing the QorIQ platform’s trust architecture features should connect POVDD to GND.

6 Ordering informationContact your local Freescale sales office or regional marketing team for order information.

6.1 Part numbering nomenclatureThis table provides the Freescale QorIQ Qonverge platform part numbering nomenclature.

Note:

1. One XVDD = 1.35V option is available for part ‘X’ extended temperature range.

Table 76. Part numbering nomenclature

B 4 4 2 0 N S1 E 7 Q U M A

Platform

Numberof Power

corethreads

Number of DSP cores

Deriv-ative

Qualstatus

Temperature

range and power levels

Encryp-tion

Packagetype

CPUspeed

DDR speed

DSPspeed

Dierevision

B =Base-bandPB =ProtoBase-band

4 =Macro

4 = 4 core threads

2 = 2 cores 0 = First product

P =Prototype

N =Indust tier

S =Standard temperature (0 to 105) and standard powerX =Extended temperature (–40 to 105) and standard power

E =SEC presentN =No SEC

7 =FC-PBGAC4/C5Pb-free

Q =1600 MHz

Q =1600 MHz

M =1200 MHz

A =Rev 1.0B =Rev 2.0C =Rev 2.1D =Rev 2.2

Page 152: B4420EC

B4420 QorIQ Qonverge Data Sheet, Rev. 0

Revision history

Freescale Semiconductor152

6.1.1 Part marking

Parts are marked as in the example shown in this figure.

Figure 60. Part marking for FC-PBGA chip

7 Revision historyThis table summarizes changes to this document.

Table 77. Revision history

Rev.Number

Date Description

0 08/2015 • Initial public release

Notes:

MMMMM is the mask number.

ATWLYYWW is the test traceability code.

FC-PBGA

B4420XXX7XXMX

B4420XXX7XXMX represents the orderable part number.

CCCCC is the assembly country code.

MMMMM

YWWLAZ

YWWLAZ is the assembly traceability code.

ATWLYYWW

CCCCC

Page 153: B4420EC

Document Number: B4420Rev. 0

08/2015

How to Reach Us:

Home Page:freescale.com

Web Support:freescale.com/support

Information in this document is provided solely to enable system and software

implementers to use Freescale products. There are no express or implied copyright

licenses granted hereunder to design or fabricate any integrated circuits based on the

information in this document.

Freescale reserves the right to make changes without further notice to any products

herein. Freescale makes no warranty, representation, or guarantee regarding the

suitability of its products for any particular purpose, nor does Freescale assume any

liability arising out of the application or use of any product or circuit, and specifically

disclaims any and all liability, including without limitation consequential or incidental

damages. “Typical” parameters that may be provided in Freescale data sheets and/or

specifications can and do vary in different applications, and actual performance may

vary over time. All operating parameters, including “typicals,” must be validated for each

customer application by customer’s technical experts. Freescale does not convey any

license under its patent rights nor the rights of others. Freescale sells products pursuant

to standard terms and conditions of sale, which can be found at the following address:

freescale.com/SalesTermsandConditions.

Freescale, the Freescale logo, QorIQ, QorIQ Qonverge, and StarCore trademarks of

Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. CoreNet is a trademark of

Freescale Semiconductor, Inc. All other product or service names are the property of

their respective owners. The Power Architecture and Power.org word marks and the

Power and Power.org logos and related marks are trademarks and service marks

licensed by Power.org.

© 2015 Freescale Semiconductor, Inc.