+ All Categories
Home > Documents > BC556/557/558/559/560 - Acervos Digitales...

BC556/557/558/559/560 - Acervos Digitales...

Date post: 14-Apr-2020
Category:
Upload: others
View: 4 times
Download: 0 times
Share this document with a friend
308
©2002 Fairchild Semiconductor Corporation Rev. A2, August 2002 BC556/557/558/559/560 PNP Epitaxial Silicon Transistor Absolute Maximum Ratings T a =25°C unless otherwise noted Electrical Characteristics T a =25°C unless otherwise noted h FE Classification Symbol Parameter Value Units V CBO Collector-Base Voltage : BC556 : BC557/560 : BC558/559 -80 -50 -30 V V V V CEO Collector-Emitter Voltage : BC556 : BC557/560 : BC558/559 -65 -45 -30 V V V V EBO Emitter-Base Voltage -5 V I C Collector Current (DC) -100 mA P C Collector Power Dissipation 500 mW T J Junction Temperature 150 °C T STG Storage Temperature -65 ~ 150 °C Symbol Parameter Test Condition Min. Typ. Max. Units I CBO Collector Cut-off Current V CB = -30V, I E =0 -15 nA h FE DC Current Gain V CE = -5V, I C =2mA 110 800 V CE (sat) Collector-Emitter Saturation Voltage I C = -10mA, I B = -0.5mA I C = -100mA, I B = -5mA -90 -250 -300 -650 mV mV V BE (sat) Collector-Base Saturation Voltage I C = -10mA, I B = -0.5mA I C = -100mA, I B = -5mA -700 -900 mV mV V BE (on) Base-Emitter On Voltage V CE = -5V, I C = -2mA V CE = -5V, I C = -10mA -600 -660 -750 -800 mV mV f T Current Gain Bandwidth Product V CE = -5V, I C = -10mA, f=10MHz 150 MHz C ob Output Capacitance V CB = -10V, I E =0, f=1MHz 6 pF NF Noise Figure : BC556/557/558 : BC559/560 : BC559 : BC560 V CE = -5V, I C = -200µA f=1KHz, R G =2KV CE = -5V, I C = -200µA R G =2KΩ, f=30~15000MHz 2 1 1.2 1.2 10 4 4 2 dB dB dB dB Classification A B C h FE 110 ~ 220 200 ~ 450 420 ~ 800 BC556/557/558/559/560 Switching and Amplifier High Voltage: BC556, V CEO = -65V Low Noise: BC559, BC560 Complement to BC546 ... BC 550 1. Collector 2. Base 3. Emitter TO-92 1
Transcript
Page 1: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

©2002 Fairchild Semiconductor Corporation Rev. A2, August 2002

BC

556/557/558/559/560

PNP Epitaxial Silicon TransistorAbsolute Maximum Ratings Ta=25°C unless otherwise noted

Electrical Characteristics Ta=25°C unless otherwise noted

hFE Classification

Symbol Parameter Value UnitsVCBO Collector-Base Voltage

: BC556 : BC557/560: BC558/559

-80-50-30

VVV

VCEO Collector-Emitter Voltage: BC556 : BC557/560: BC558/559

-65-45-30

VVV

VEBO Emitter-Base Voltage -5 VIC Collector Current (DC) -100 mAPC Collector Power Dissipation 500 mWTJ Junction Temperature 150 °CTSTG Storage Temperature -65 ~ 150 °C

Symbol Parameter Test Condition Min. Typ. Max. UnitsICBO Collector Cut-off Current VCB= -30V, IE=0 -15 nAhFE DC Current Gain VCE= -5V, IC=2mA 110 800VCE (sat)

Collector-Emitter Saturation Voltage IC= -10mA, IB= -0.5mAIC= -100mA, IB= -5mA

-90-250

-300-650

mVmV

VBE (sat) Collector-Base Saturation Voltage IC= -10mA, IB= -0.5mAIC= -100mA, IB= -5mA

-700-900

mVmV

VBE (on) Base-Emitter On Voltage

VCE= -5V, IC= -2mAVCE= -5V, IC= -10mA

-600 -660 -750-800

mVmV

fT Current Gain Bandwidth Product VCE= -5V, IC= -10mA, f=10MHz 150 MHzCob Output Capacitance VCB= -10V, IE=0, f=1MHz 6 pFNF Noise Figure : BC556/557/558

: BC559/560: BC559: BC560

VCE= -5V, IC= -200µAf=1KHz, RG=2KΩVCE= -5V, IC= -200µARG=2KΩ, f=30~15000MHz

21

1.21.2

10442

dBdBdBdB

Classification A B ChFE 110 ~ 220 200 ~ 450 420 ~ 800

BC556/557/558/559/560

Switching and Amplifier• High Voltage: BC556, VCEO= -65V• Low Noise: BC559, BC560• Complement to BC546 ... BC 550

1. Collector 2. Base 3. Emitter

TO-921

Page 2: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

©2002 Fairchild Semiconductor Corporation Rev. A2, August 2002

BC

556/557/558/559/560Typical Characteristics

Figure 1. Static Characteristic Figure 2. DC current Gain

Figure 3. Base-Emitter Saturation VoltageCollector-Emitter Saturation Voltage

Figure 4. Base-Emitter On Voltage

Figure 5. Collector Output Capacitance Figure 6. Current Gain Bandwidth Product

-2 -4 -6 -8 -10 -12 -14 -16 -18 -20-0

-5

-10

-15

-20

-25

-30

-35

-40

-45

-50

IB = -50µA

IB = -100µA

IB = -150µA

IB = -200µA

IB = -250µA

IB = -300µA

IB = -350µA

IB = -400µA

I C[m

A], C

OLL

ECTO

R C

UR

REN

T

VCE[V], COLLECTOR-EMITTER VOLTAGE

-0.1 -1 -10 -1001

10

100

1000

VCE = -5V

h FE,

DC

CU

RR

ENT

GAI

N

IC[mA], COLLECTOR CURRENT

-0.1 -1 -10 -100-0.01

-0.1

-1

-10

IC = -10 IB

VCE(sat)

VBE(sat)

V BE(

sat),

VC

E(sa

t)[V]

, SAT

UR

ATIO

N V

OLT

AGE

IC[mA], COLLECTOR CURRENT

-0.2 -0.4 -0.6 -0.8 -1.0 -1.2-0.1

-1

-10

-100

VCE = -5V

I C

[mA]

, CO

LLEC

TOR

CU

RR

ENT

VBE[V], BASE-EMITTER VOLTAGE

-1 -10 -1001

10

f=1MHzIE = 0

Cob

(pF)

, CAP

ACIT

ANC

E

VCB[V], COLLECTOR-BASE VOLTAGE

-1 -1010

100

1000

VCE = -5V

f T[M

Hz]

, CU

RR

ENT

GAI

N-B

AND

WID

TH P

RO

DU

CT

IC[mA], COLLECTOR CURRENT

Page 3: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Package DimensionsB

C556/557/558/559/560

0.46 ±0.10

1.27TYP

(R2.29)

3.86

MA

X

[1.27 ±0.20]

1.27TYP

[1.27 ±0.20]

3.60 ±0.20

14.4

7 ±0

.40

1.02

±0.

10

(0.2

5)4.

58 ±

0.20

4.58+0.25–0.15

0.38+0.10–0.05

0.38

+0.1

0–0

.05

TO-92

Dimensions in Millimeters

©2002 Fairchild Semiconductor Corporation Rev. A2, August 2002

Page 4: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

©2002 Fairchild Semiconductor Corporation Rev. I1

TRADEMARKS

The following are registered and unregistered trademarks Fairchild Semiconductor owns or is authorized to use and is notintended to be an exhaustive list of all such trademarks.

DISCLAIMERFAIRCHILD SEMICONDUCTOR RESERVES THE RIGHT TO MAKE CHANGES WITHOUT FURTHER NOTICE TO ANYPRODUCTS HEREIN TO IMPROVE RELIABILITY, FUNCTION OR DESIGN. FAIRCHILD DOES NOT ASSUME ANYLIABILITY ARISING OUT OF THE APPLICATION OR USE OF ANY PRODUCT OR CIRCUIT DESCRIBED HEREIN;NEITHER DOES IT CONVEY ANY LICENSE UNDER ITS PATENT RIGHTS, NOR THE RIGHTS OF OTHERS.

LIFE SUPPORT POLICY

FAIRCHILD’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORTDEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF FAIRCHILD SEMICONDUCTORCORPORATION.As used herein:1. Life support devices or systems are devices or systemswhich, (a) are intended for surgical implant into the body,or (b) support or sustain life, or (c) whose failure to performwhen properly used in accordance with instructions for useprovided in the labeling, can be reasonably expected toresult in significant injury to the user.

2. A critical component is any component of a life supportdevice or system whose failure to perform can bereasonably expected to cause the failure of the life supportdevice or system, or to affect its safety or effectiveness.

PRODUCT STATUS DEFINITIONS

Definition of Terms

Datasheet Identification Product Status Definition

Advance Information Formative or In Design

This datasheet contains the design specifications forproduct development. Specifications may change inany manner without notice.

Preliminary First Production This datasheet contains preliminary data, andsupplementary data will be published at a later date.Fairchild Semiconductor reserves the right to makechanges at any time without notice in order to improvedesign.

No Identification Needed Full Production This datasheet contains final specifications. FairchildSemiconductor reserves the right to make changes atany time without notice in order to improve design.

Obsolete Not In Production This datasheet contains specifications on a productthat has been discontinued by Fairchild semiconductor.The datasheet is printed for reference information only.

FACT™FACT Quiet series™FAST®

FASTr™FRFET™GlobalOptoisolator™GTO™HiSeC™I2C™

ImpliedDisconnect™ISOPLANAR™LittleFET™MicroFET™MicroPak™MICROWIRE™MSX™MSXPro™OCX™OCXPro™OPTOLOGIC®

OPTOPLANAR™

PACMAN™POP™Power247™PowerTrench®

QFET™QS™QT Optoelectronics™Quiet Series™RapidConfigure™RapidConnect™SILENT SWITCHER®

SMART START™

SPM™Stealth™SuperSOT™-3SuperSOT™-6SuperSOT™-8SyncFET™TinyLogic™TruTranslation™UHC™UltraFET®

VCX™

ACEx™ActiveArray™Bottomless™CoolFET™CROSSVOLT™DOME™EcoSPARK™E2CMOS™EnSigna™Across the board. Around the world.™The Power Franchise™Programmable Active Droop™

Page 5: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

DAC08088-Bit D/A ConverterGeneral DescriptionThe DAC0808 is an 8-bit monolithic digital-to-analog con-verter (DAC) featuring a full scale output current settling timeof 150 ns while dissipating only 33 mW with ±5V supplies.No reference current (IREF) trimming is required for most ap-plications since the full scale output current is typically ±1LSB of 255 IREF/256. Relative accuracies of better than±0.19% assure 8-bit monotonicity and linearity while zerolevel output current of less than 4 µA provides 8-bit zero ac-curacy for IREF≥2 mA. The power supply currents of theDAC0808 is independent of bit codes, and exhibits essen-tially constant device characteristics over the entire supplyvoltage range.

The DAC0808 will interface directly with popular TTL, DTL orCMOS logic levels, and is a direct replacement for theMC1508/MC1408. For higher speed applications, seeDAC0800 data sheet.

Featuresn Relative accuracy: ±0.19% error maximumn Full scale current match: ±1 LSB typn Fast settling time: 150 ns typn Noninverting digital inputs are TTL and CMOS

compatiblen High speed multiplying input slew rate: 8 mA/µsn Power supply voltage range: ±4.5V to ±18Vn Low power consumption: 33 mW @ ±5V

Block and Connection Diagrams

DS005687-1

Dual-In-Line Package

DS005687-2

Top ViewOrder Number DAC0808

See NS Package M16A or N16A

May 1999

DA

C0808

8-BitD

/AC

onverter

© 1999 National Semiconductor Corporation DS005687 www.national.com

Page 6: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Block and Connection Diagrams (Continued)

Ordering Information

ACCURACY OPERATING

TEMPERATURE RANGE N PACKAGE (N16A)(Note 1)

SO PACKAGE(M16A)

8-bit 0˚C≤TA≤+75˚C DAC0808LCN MC1408P8 DAC0808LCM

Note 1: Devices may be ordered by using either order number.

Small-Outline Package

DS005687-13

www.national.com 2

Page 7: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Absolute Maximum Ratings (Note 2)

If Military/Aerospace specified devices are required,please contact the National Semiconductor Sales Office/Distributors for availability and specifications.

Power Supply VoltageVCC +18 VDC

VEE −18 VDC

Digital Input Voltage, V5–V12 −10 VDC to +18 VDC

Applied Output Voltage, VO −11 VDC to +18 VDC

Reference Current, I14 5 mAReference Amplifier Inputs, V14, V15 VCC, VEE

Power Dissipation (Note 4) 1000 mWESD Susceptibility (Note 5) TBD

Storage Temperature Range −65˚C to +150˚CLead Temp. (Soldering, 10 seconds)

Dual-In-Line Package (Plastic) 260˚CDual-In-Line Package (Ceramic) 300˚CSurface Mount Package

Vapor Phase (60 seconds) 215˚CInfrared (15 seconds) 220˚C

Operating RatingsTemperature Range TMIN ≤ TA ≤ TMAX

DAC0808 0 ≤TA ≤ +75˚C

Electrical Characteristics(VCC = 5V, VEE = −15 VDC, VREF/R14 = 2 mA, and all digital inputs at high logic level unless otherwise noted.)

Symbol Parameter Conditions Min Typ Max Units

Er Relative Accuracy (Error Relative (Figure 4) %

to Full Scale IO)

DAC0808LC (LM1408-8) ±0.19 %

Settling Time to Within 1⁄2 LSB TA=25˚C (Note 7), 150 ns

(Includes tPLH) (Figure 5)

tPLH, tPHL Propagation Delay Time TA = 25˚C, (Figure 5) 30 100 ns

TCIO Output Full Scale Current Drift ±20 ppm/˚C

MSB Digital Input Logic Levels (Figure 3)

VIH High Level, Logic “1” 2 VDC

VIL Low Level, Logic “0” 0.8 VDC

MSB Digital Input Current (Figure 3)

High Level VIH = 5V 0 0.040 mA

Low Level VIL = 0.8V −0.003 −0.8 mA

I15 Reference Input Bias Current (Figure 3) −1 −3 µA

Output Current Range (Figure 3)

VEE = −5V 0 2.0 2.1 mA

VEE = −15V, TA = 25˚C 0 2.0 4.2 mA

IO Output Current VREF = 2.000V,

R14 = 1000Ω,

(Figure 3) 1.9 1.99 2.1 mA

Output Current, All Bits Low (Figure 3) 0 4 µA

Output Voltage Compliance (Note 3) Er ≤ 0.19%, TA = 25˚C

VEE=−5V, IREF=1 mA −0.55, +0.4 VDC

VEE Below −10V −5.0, +0.4 VDC

SRIREF Reference Current Slew Rate (Figure 6) 4 8 mA/µs

Output Current Power Supply −5V ≤ VEE ≤ −16.5V 0.05 2.7 µA/V

Sensitivity

Power Supply Current (All Bits (Figure 3)

Low)

ICC 2.3 22 mA

IEE −4.3 −13 mA

Power Supply Voltage Range TA = 25˚C, (Figure 3)

VCC 4.5 5.0 5.5 VDC

VEE −4.5 −15 −16.5 VDC

Power Dissipation

www.national.com3

Page 8: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Electrical Characteristics (Continued)

(VCC = 5V, VEE = −15 VDC, VREF/R14 = 2 mA, and all digital inputs at high logic level unless otherwise noted.)

Symbol Parameter Conditions Min Typ Max Units

All Bits Low VCC = 5V, VEE = −5V 33 170 mW

VCC = 5V, VEE = −15V 106 305 mW

All Bits High VCC = 15V, VEE = −5V 90 mW

VCC = 15V, VEE = −15V 160 mW

Note 2: Absolute Maximum Ratings indicate limits beyond which damage to the device may occur. DC and AC electrical specifications do not apply when operatingthe device beyond its specified operating conditions.

Note 3: Range control is not required.

Note 4: The maximum power dissipation must be derated at elevated temperatures and is dictated by TJMAX, θJA, and the ambient temperature, TA. The maximumallowable power dissipation at any temperature is PD = (TJMAX − TA)/θJA or the number given in the Absolute Maixmum Ratings, whichever is lower. For this device,TJMAX = 125˚C, and the typical junction-to-ambient thermal resistance of the dual-in-line J package when the board mounted is 100˚C/W. For the dual-in-line N pack-age, this number increases to 175˚C/W and for the small outline M package this number is 100˚C/W.

Note 5: Human body model, 100 pF discharged through a 1.5 kΩ resistor.

Note 6: All current switches are tested to guarantee at least 50% of rated current.

Note 7: All bits switched.

Note 8: Pin-out numbers for the DAL080X represent the dual-in-line package. The small outline package pinout differs from the dual-in-line package.

Typical Application

Typical Performance Characteristics VCC = 5V, VEE = −15V, TA = 25˚C, unless otherwise noted

DS005687-3

DS005687-23

FIGURE 1. +10V Output Digital to Analog Converter (Note 8)

Logic Input Current vsInput Voltage

DS005687-14

Bit Transfer Characteristics

DS005687-15

Logic Threshold Voltage vsTemperature

DS005687-16

www.national.com 4

Page 9: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Typical Performance Characteristics VCC = 5V, VEE = −15V, TA = 25˚C, unless otherwisenoted (Continued)

Unless otherwise specified: R14 = R15 = 1 kΩ, C = 15 pF, pin 16 to VEE; RL = 50Ω, pin 4 to ground.

Curve A: Large Signal Bandwidth Method of Figure 7, VREF = 2 Vp-p offset 1V above ground.

Curve B: Small Signal Bandwidth Method of Figure 7, RL = 250Ω, VREF = 50 mVp-p offset 200 mV above ground.

Curve C: Large and Small Signal Bandwidth Method of Figure 9 (no op amp, RL = 50Ω), RS = 50Ω, VREF = 2V, VS = 100 mVp-pcentered at 0V.

Output Current vs OutputVoltage (Output VoltageCompliance)

DS005687-17

Output Voltage Compliancevs Temperature

DS005687-18

Typical Power SupplyCurrent vs Temperature

DS005687-19

Typical Power SupplyCurrent vs V EE

DS005687-20

Typical Power SupplyCurrent vs V CC

DS005687-21

Reference InputFrequency Response

DS005687-22

www.national.com5

Page 10: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

DS

0056

87-4

FIG

UR

E2.

Equ

ival

ent

Circ

uit

ofth

eD

AC

0808

Ser

ies

(Not

e8)

www.national.com 6

Page 11: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Test Circuits

DS005687-6

VI and I1 apply to inputs A1–A8.The resistor tied to pin 15 is to temperature compensate the bias current and may not be necessary for all applications.

and AN = “1” if AN is at high levelAN = “0” if AN is at low level

FIGURE 3. Notation Definitions Test Circuit (Note 8)

DS005687-7

FIGURE 4. Relative Accuracy Test Circuit (Note 8)

www.national.com7

Page 12: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Test Circuits (Continued)

DS005687-8

FIGURE 5. Transient Response and Settling Time (Note 8)

DS005687-9

FIGURE 6. Reference Current Slew Rate Measurement (Note 8)

DS005687-10

FIGURE 7. Positive V REF (Note 8)

www.national.com 8

Page 13: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Test Circuits (Continued)

Application Hints

REFERENCE AMPLIFIER DRIVE AND COMPENSATION

The reference amplifier provides a voltage at pin 14 for con-verting the reference voltage to a current, and a turn-aroundcircuit or current mirror for feeding the ladder. The referenceamplifier input currrent, I14, must always flow into pin 14, re-gardless of the set-up method or reference voltage polarity.

Connections for a positive voltage are shown in Figure 7.The reference voltage source supplies the full current I14.For bipolar reference signals, as in the multiplying mode,

R15 can be tied to a negative voltage corresponding to theminimum input level. It is possible to eliminate R15 with onlya small sacrifice in accuracy and temperature drift.

The compensation capacitor value must be increased withincreases in R14 to maintain proper phase margin; for R14values of 1, 2.5 and 5 kΩ, minimum capacitor values are 15,37 and 75 pF. The capacitor may be tied to either VEE orground, but using VEE increases negative supply rejection.

A negative reference voltage may be used if R14 isgrounded and the reference voltage is applied to R15 asshown in Figure 8. A high input impedance is the main ad-vantage of this method. Compensation involves a capacitor

DS005687-11

FIGURE 8. Negative V REF (Note 8)

DS005687-12

FIGURE 9. Programmable Gain Amplifier orDigital Attenuator Circuit (Note 8)

www.national.com9

Page 14: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Application Hints (Continued)

to VEE on pin 16, using the values of the previous paragraph.The negative reference voltage must be at least 4V abovethe VEE supply. Bipolar input signals may be handled by con-necting R14 to a positive reference voltage equal to the peakpositive input level at pin 15.

When a DC reference voltage is used, capacitive bypass toground is recommended. The 5V logic supply is not recom-mended as a reference voltage. If a well regulated 5V supplywhich drives logic is to be used as the reference, R14 shouldbe decoupled by connecting it to 5V through another resistorand bypassing the junction of the 2 resistors with 0.1 µF toground. For reference voltages greater than 5V, a clamp di-ode is recommended between pin 14 and ground.

If pin 14 is driven by a high impedance such as a transistorcurrent source, none of the above compensation methodsapply and the amplifier must be heavily compensated, de-creasing the overall bandwidth.

OUTPUT VOLTAGE RANGE

The voltage on pin 4 is restricted to a range of −0.55 to 0.4Vwhen VEE = −5V due to the current switching methods em-ployed in the DAC0808.

The negative output voltage compliance of the DAC0808 isextended to −5V where the negative supply voltage is morenegative than −10V. Using a full-scale current of 1.992 mAand load resistor of 2.5 kΩ between pin 4 and ground willyield a voltage output of 256 levels between 0 and −4.980V.Floating pin 1 does not affect the converter speed or powerdissipation. However, the value of the load resistor deter-mines the switching time due to increased voltage swing.Values of RL up to 500Ω do not significantly affect perfor-mance, but a 2.5 kΩ load increases worst-case settling timeto 1.2 µs (when all bits are switched ON). Refer to the sub-sequent text section on Settling Time for more details on out-put loading.

OUTPUT CURRENT RANGE

The output current maximum rating of 4.2 mA may be usedonly for negative supply voltages more negative than −8V,due to the increased voltage drop across the resistors in thereference current amplifier.

ACCURACY

Absolute accuracy is the measure of each output currentlevel with respect to its intended value, and is dependentupon relative accuracy and full-scale current drift. Relativeaccuracy is the measure of each output current level as afraction of the full-scale current. The relative accuracy of theDAC0808 is essentially constant with temperature due to theexcellent temperature tracking of the monolithic resistor lad-

der. The reference current may drift with temperature, caus-ing a change in the absolute accuracy of output current.However, the DAC0808 has a very low full-scale current driftwith temperature.

The DAC0808 series is guaranteed accurate to within ±1⁄2LSB at a full-scale output current of 1.992 mA. This corre-sponds to a reference amplifier output current drive to theladder network of 2 mA, with the loss of 1 LSB (8 µA) whichis the ladder remainder shunted to ground. The input currentto pin 14 has a guaranteed value of between 1.9 and 2.1 mA,allowing some mismatch in the NPN current source pair. Theaccuracy test circuit is shown in Figure 4. The 12-bit con-verter is calibrated for a full-scale output current of 1.992mA. This is an optional step since the DAC0808 accuracy isessentially the same between 1.5 and 2.5 mA. Then theDAC0808 circuits’ full-scale current is trimmed to the samevalue with R14 so that a zero value appears at the error am-plifier output. The counter is activated and the error bandmay be displayed on an oscilloscope, detected by compara-tors, or stored in a peak detector.

Two 8-bit D-to-A converters may not be used to construct a16-bit accuracy D-to-A converter. 16-bit accuracy implies atotal error of ±1⁄2 of one part in 65,536 or ±0.00076%, whichis much more accurate than the ±0.019% specification pro-vided by the DAC0808.

MULTIPLYING ACCURACY

The DAC0808 may be used in the multiplying mode with8-bit accuracy when the reference current is varied over arange of 256:1. If the reference current in the multiplyingmode ranges from 16 µA to 4 mA, the additional error contri-butions are less than 1.6 µA. This is well within 8-bit accu-racy when referred to full-scale.

A monotonic converter is one which supplies an increase incurrent for each increment in the binary word. Typically, theDAC0808 is monotonic for all values of reference currentabove 0.5 mA. The recommended range for operation with aDC reference current is 0.5 to 4 mA.

SETTLING TIME

The worst-case switching condition occurs when all bits areswitched ON, which corresponds to a low-to-high transitionfor all bits. This time is typically 150 ns for settling to within±1⁄2 LSB, for 8-bit accuracy, and 100 ns to 1⁄2 LSB for 7 and6-bit accuracy. The turn OFF is typically under 100 ns. Thesetimes apply when RL ≤ 500Ω and CO ≤ 25 pF.

Extra care must be taken in board layout since this is usuallythe dominant factor in satisfactory test results when measur-ing settling time. Short leads, 100 µF supply bypassing forlow frequencies, and minimum scope lead length are allmandatory.

www.national.com 10

Page 15: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Physical Dimensions inches (millimeters) unless otherwise noted

Small Outline PackageOrder Number DAC0808LCMNS Package Number M16A

Dual-In-Line PackageOrder Number DAC0808

NS Package Number N16A

www.national.com11

Page 16: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Notes

LIFE SUPPORT POLICY

NATIONAL’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORTDEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF THE PRESIDENT AND GENERALCOUNSEL OF NATIONAL SEMICONDUCTOR CORPORATION. As used herein:

1. Life support devices or systems are devices orsystems which, (a) are intended for surgical implantinto the body, or (b) support or sustain life, andwhose failure to perform when properly used inaccordance with instructions for use provided in thelabeling, can be reasonably expected to result in asignificant injury to the user.

2. A critical component is any component of a lifesupport device or system whose failure to performcan be reasonably expected to cause the failure ofthe life support device or system, or to affect itssafety or effectiveness.

National SemiconductorCorporationAmericasTel: 1-800-272-9959Fax: 1-800-737-7018Email: [email protected]

National SemiconductorEurope

Fax: +49 (0) 1 80-530 85 86Email: [email protected]

Deutsch Tel: +49 (0) 1 80-530 85 85English Tel: +49 (0) 1 80-532 78 32Français Tel: +49 (0) 1 80-532 93 58Italiano Tel: +49 (0) 1 80-534 16 80

National SemiconductorAsia Pacific CustomerResponse GroupTel: 65-2544466Fax: 65-2504466Email: [email protected]

National SemiconductorJapan Ltd.Tel: 81-3-5639-7560Fax: 81-3-5639-7507

www.national.com

DA

C08

088-

Bit

D/A

Con

verte

r

National does not assume any responsibility for use of any circuitry described, no circuit patent licenses are implied and National reserves the right at any time without notice to change said circuitry and specifications.

Page 17: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

General DescriptionThe MAX220–MAX249 family of line drivers/receivers isintended for all EIA/TIA-232E and V.28/V.24 communica-tions interfaces, particularly applications where ±12V isnot available. These parts are especially useful in battery-powered sys-tems, since their low-power shutdown mode reducespower dissipation to less than 5µW. The MAX225,MAX233, MAX235, and MAX245/MAX246/MAX247 useno external components and are recommended for appli-cations where printed circuit board space is critical.

________________________ApplicationsPortable Computers

Low-Power Modems

Interface Translation

Battery-Powered RS-232 Systems

Multidrop RS-232 Networks

____________________________FeaturesSuperior to Bipolar Operate from Single +5V Power Supply

(+5V and +12V—MAX231/MAX239) Low-Power Receive Mode in Shutdown

(MAX223/MAX242) Meet All EIA/TIA-232E and V.28 Specifications Multiple Drivers and Receivers 3-State Driver and Receiver Outputs Open-Line Detection (MAX243)

Ordering Information

Ordering Information continued at end of data sheet.*Contact factory for dice specifications.

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

________________________________________________________________ Maxim Integrated Products 1

Selection Table

19-4323; Rev 11; 2/03

PARTMAX220CPEMAX220CSEMAX220CWE 0°C to +70°C

0°C to +70°C0°C to +70°C

TEMP RANGE PIN-PACKAGE16 Plastic DIP16 Narrow SO16 Wide SO

MAX220C/D 0°C to +70°C Dice*MAX220EPEMAX220ESEMAX220EWE -40°C to +85°C

-40°C to +85°C-40°C to +85°C 16 Plastic DIP

16 Narrow SO16 Wide SO

MAX220EJE -40°C to +85°C 16 CERDIPMAX220MJE -55°C to +125°C 16 CERDIP

Power No. of Nominal SHDN RxPart Supply RS-232 No. of Cap. Value & Three- Active in Data RateNumber (V) Drivers/Rx Ext. Caps (µF) State SHDN (kbps) FeaturesMAX220 +5 2/2 4 0.1 No — 120 Ultra-low-power, industry-standard pinoutMAX222 +5 2/2 4 0.1 Yes — 200 Low-power shutdownMAX223 (MAX213) +5 4/5 4 1.0 (0.1) Yes 120 MAX241 and receivers active in shutdownMAX225 +5 5/5 0 — Yes 120 Available in SOMAX230 (MAX200) +5 5/0 4 1.0 (0.1) Yes — 120 5 drivers with shutdownMAX231 (MAX201) +5 and 2/2 2 1.0 (0.1) No — 120 Standard +5/+12V or battery supplies;

+7.5 to +13.2 same functions as MAX232MAX232 (MAX202) +5 2/2 4 1.0 (0.1) No — 120 (64) Industry standardMAX232A +5 2/2 4 0.1 No — 200 Higher slew rate, small capsMAX233 (MAX203) +5 2/2 0 — No — 120 No external capsMAX233A +5 2/2 0 — No — 200 No external caps, high slew rateMAX234 (MAX204) +5 4/0 4 1.0 (0.1) No — 120 Replaces 1488MAX235 (MAX205) +5 5/5 0 — Yes — 120 No external capsMAX236 (MAX206) +5 4/3 4 1.0 (0.1) Yes — 120 Shutdown, three stateMAX237 (MAX207) +5 5/3 4 1.0 (0.1) No — 120 Complements IBM PC serial portMAX238 (MAX208) +5 4/4 4 1.0 (0.1) No — 120 Replaces 1488 and 1489MAX239 (MAX209) +5 and 3/5 2 1.0 (0.1) No — 120 Standard +5/+12V or battery supplies;

+7.5 to +13.2 single-package solution for IBM PC serial portMAX240 +5 5/5 4 1.0 Yes — 120 DIP or flatpack packageMAX241 (MAX211) +5 4/5 4 1.0 (0.1) Yes — 120 Complete IBM PC serial portMAX242 +5 2/2 4 0.1 Yes 200 Separate shutdown and enableMAX243 +5 2/2 4 0.1 No — 200 Open-line detection simplifies cablingMAX244 +5 8/10 4 1.0 No — 120 High slew rateMAX245 +5 8/10 0 — Yes 120 High slew rate, int. caps, two shutdown modesMAX246 +5 8/10 0 — Yes 120 High slew rate, int. caps, three shutdown modesMAX247 +5 8/9 0 — Yes 120 High slew rate, int. caps, nine operating modesMAX248 +5 8/8 4 1.0 Yes 120 High slew rate, selective half-chip enablesMAX249 +5 6/10 4 1.0 Yes 120 Available in quad flatpack package

For pricing, delivery, and ordering information, please contact Maxim/Dallas Direct! at 1-888-629-4642, or visit Maxim’s website at www.maxim-ic.com.

Page 18: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

2 _______________________________________________________________________________________

ABSOLUTE MAXIMUM RATINGS—MAX220/222/232A/233A/242/243

ELECTRICAL CHARACTERISTICS—MAX220/222/232A/233A/242/243(VCC = +5V ±10%, C1–C4 = 0.1µF‚ MAX220, C1 = 0.047µF, C2–C4 = 0.33µF, TA = TMIN to TMAX‚ unless otherwise noted.)

Note 1: Input voltage measured with TOUT in high-impedance state, SHDN or VCC = 0V.Note 2: For the MAX220, V+ and V- can have a maximum magnitude of 7V, but their absolute difference cannot exceed 13V.Stresses beyond those listed under “Absolute Maximum Ratings” may cause permanent damage to the device. These are stress ratings only, and functionaloperation of the device at these or any other conditions beyond those indicated in the operational sections of the specifications is not implied. Exposure toabsolute maximum rating conditions for extended periods may affect device reliability.

Supply Voltage (VCC) ...............................................-0.3V to +6VInput VoltagesTIN..............................................................-0.3V to (VCC - 0.3V)RIN (Except MAX220) ........................................................±30VRIN (MAX220).....................................................................±25VTOUT (Except MAX220) (Note 1) .......................................±15VTOUT (MAX220)...............................................................±13.2V

Output VoltagesTOUT...................................................................................±15VROUT.........................................................-0.3V to (VCC + 0.3V)

Driver/Receiver Output Short Circuited to GND.........ContinuousContinuous Power Dissipation (TA = +70°C)16-Pin Plastic DIP (derate 10.53mW/°C above +70°C)....842mW18-Pin Plastic DIP (derate 11.11mW/°C above +70°C)....889mW

20-Pin Plastic DIP (derate 8.00mW/°C above +70°C) ..440mW16-Pin Narrow SO (derate 8.70mW/°C above +70°C) ...696mW16-Pin Wide SO (derate 9.52mW/°C above +70°C)......762mW18-Pin Wide SO (derate 9.52mW/°C above +70°C)......762mW20-Pin Wide SO (derate 10.00mW/°C above +70°C)....800mW20-Pin SSOP (derate 8.00mW/°C above +70°C) ..........640mW16-Pin CERDIP (derate 10.00mW/°C above +70°C).....800mW18-Pin CERDIP (derate 10.53mW/°C above +70°C).....842mW

Operating Temperature RangesMAX2_ _AC_ _, MAX2_ _C_ _.............................0°C to +70°CMAX2_ _AE_ _, MAX2_ _E_ _ ..........................-40°C to +85°CMAX2_ _AM_ _, MAX2_ _M_ _.......................-55°C to +125°C

Storage Temperature Range .............................-65°C to +160°CLead Temperature (soldering, 10s) .................................+300°C

V1.4 0.8Input Logic Threshold Low

UNITSMIN TYP MAXPARAMETER CONDITIONS

Input Logic Threshold HighAll devices except MAX220 2 1.4

V

All except MAX220, normal operation 5 40Logic Pull-Up/lnput Current

SHDN = 0V, MAX222/242, shutdown, MAX220 ±0.01 ±1µA

VCC = 5.5V, SHDN = 0V, VOUT = ±15V, MAX222/242 ±0.01 ±10Output Leakage Current

VCC = SHDN = 0V, VOUT = ±15V ±0.01 ±10µA

200 116Data Rate kbps

Transmitter Output Resistance VCC = V+ = V- = 0V, VOUT = ±2V 300 10M ΩOutput Short-Circuit Current VOUT = 0V ±7 ±22 mA

RS-232 Input Voltage Operating Range ±30 V

All except MAX243 R2IN 0.8 1.3RS-232 Input Threshold Low VCC = 5V

MAX243 R2IN (Note 2) -3V

All except MAX243 R2IN 1.8 2.4RS-232 Input Threshold High VCC = 5V

MAX243 R2IN (Note 2) -0.5 -0.1V

All except MAX243, VCC = 5V, no hysteresis in shdn. 0.2 0.5 1RS-232 Input Hysteresis

MAX243 1V

RS-232 Input Resistance 3 5 7 kΩTTL/CMOS Output Voltage Low IOUT = 3.2mA 0.2 0.4 V

TTL/CMOS Output Voltage High IOUT = -1.0mA 3.5 VCC - 0.2 V

Sourcing VOUT = GND -2 -10mATTL/CMOS Output Short-Circuit Current

Shrinking VOUT = VCC 10 30

V±5 ±8Output Voltage Swing All transmitter outputs loaded with 3kΩ to GND

RS-232 TRANSMITTERS

RS-232 RECEIVERS

2.4MAX220: VCC = 5.0V

Page 19: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

_______________________________________________________________________________________ 3

Note 3: MAX243 R2OUT is guaranteed to be low when R2IN is ≥ 0V or is floating.

ELECTRICAL CHARACTERISTICS—MAX220/222/232A/233A/242/243 (continued)(VCC = +5V ±10%, C1–C4 = 0.1µF‚ MAX220, C1 = 0.047µF, C2–C4 = 0.33µF, TA = TMIN to TMAX‚ unless otherwise noted.)

Operating Supply Voltage

SHDN Threshold High

4.5 5.5 V

MAX222/242

Transmitter-Output Enable Time (SHDN Goes High), Figure 4

2.0 1.4 V

MAX220 0.5 2

tET

No loadMAX222/232A/233A/242/243 4 10

MAX222/232A/233A/242/243 6 12 30

MAX220 12VCC Supply Current (SHDN = VCC),Figures 5, 6, 11, 19 3kΩ load

both inputs MAX222/232A/233A/242/243 15

mA

Transition Slew Rate

TA = +25°C 0.1 10

CL = 50pF to 2500pF, RL = 3kΩ to 7kΩ, VCC = 5V, TA = +25°C,measured from +3V to -3V or -3V to +3V

TA = 0°C to +70°C

CONDITIONS

2 50

MAX220 1.5 3 30

V/µs

TA = -40°C to +85°C 2 50

MAX222/242, 0.1µF caps(includes charge-pump start-up)

Shutdown Supply Current MAX222/242

TA = -55°C to +125°C 35 100

µA

SHDN Input Leakage Current MAX222/242 ±1 µA

SHDN Threshold Low MAX222/242 1.4 0.8 V

250

MAX222/232A/233A/242/243 1.3 3.5

µs

tPHLTMAX220 4 10

Transmitter-Output Disable Time (SHDN Goes Low), Figure 4

tDT

MAX222/232A/233A/242/243 1.5 3.5

Transmitter Propagation DelayTLL to RS-232 (Normal Operation), Figure 1 tPLHT

MAX220 5 10

µs

V2.0 1.4

MAX222/242, 0.1µF caps

µA±0.05 ±10

600

TTL/CMOS Output Leakage Current

EN Input Threshold High

MAX222/232A/233A/242/243 0.5 1

ns

tPHLRMAX220 0.6 3

tPLHRMAX222/232A/233A/242/243 0.6 1

Receiver Propagation DelayRS-232 to TLL (Normal Operation),Figure 2

tPHLT - tPLHT

MAX220 0.8 3

µs

MAX222/232A/233A/242/243

tPHLS MAX242 0.5 10Receiver Propagation Delay RS-232 to TLL (Shutdown), Figure 2 tPLHS MAX242 2.5 10

µs

Receiver-Output Enable Time, Figure 3 tER MAX242

UNITSMIN TYP MAX

125 500

PARAMETER

MAX242

ns

SHDN = VCC or EN = VCC (SHDN = 0V for MAX222),0V ≤ VOUT ≤ VCC

Receiver-Output Disable Time, Figure 3 tDR MAX242 160 500 ns

300ns

Transmitter + to - Propagation Delay Difference (Normal Operation) MAX220 2000

tPHLR - tPLHRMAX222/232A/233A/242/243 100

nsReceiver + to - Propagation Delay Difference (Normal Operation) MAX220 225

V1.4 0.8EN Input Threshold Low MAX242

Page 20: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

4 _______________________________________________________________________________________

__________________________________________Typical Operating Characteristics

MAX220/MAX222/MAX232A/MAX233A/MAX242/MAX243

10

8

-100 5 15 25

OUTPUT VOLTAGE vs. LOAD CURRENT

-4

-6

-8

-2

6

4

2

MAX

220-

01

LOAD CURRENT (mA)

OUTP

UT V

OLTA

GE (V

)

10

0

20

0.1µF

EITHER V+ OR V- LOADED

VCC = ±5VNO LOAD ONTRANSMITTER OUTPUTS(EXCEPT MAX220, MAX233A)

V- LOADED, NO LOAD ON V+

V+ LOADED, NO LOAD ON V-

1µF

1µF0.1µF

11

10

40 10 40 60

AVAILABLE OUTPUT CURRENTvs. DATA RATE

6

5

7

9

8

MAX

220-

02

DATA RATE (kbits/sec)

OUTP

UT C

URRE

NT (m

A)

20 30 50

OUTPUT LOAD CURRENTFLOWS FROM V+ TO V-

VCC = +5.25V

ALL CAPS1µF

ALL CAPS0.1µF

VCC = +4.75V

+10V

-10V

MAX222/MAX242ON-TIME EXITING SHUTDOWN

+5V+5V

0V

0V

MAX

220-

03

500µs/div

V+, V

- VOL

TAGE

(V)

1µF CAPSV+

V+

V-V-

SHDN

0.1µF CAPS

1µF CAPS

0.1µF CAPS

Page 21: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

_______________________________________________________________________________________ 5

VCC...........................................................................-0.3V to +6VV+................................................................(VCC - 0.3V) to +14VV- ............................................................................+0.3V to -14VInput VoltagesTIN ............................................................-0.3V to (VCC + 0.3V)RIN......................................................................................±30V

Output VoltagesTOUT ...................................................(V+ + 0.3V) to (V- - 0.3V)ROUT.........................................................-0.3V to (VCC + 0.3V)

Short-Circuit Duration, TOUT ......................................ContinuousContinuous Power Dissipation (TA = +70°C)14-Pin Plastic DIP (derate 10.00mW/°C above +70°C)....800mW16-Pin Plastic DIP (derate 10.53mW/°C above +70°C)....842mW20-Pin Plastic DIP (derate 11.11mW/°C above +70°C)....889mW24-Pin Narrow Plastic DIP

(derate 13.33mW/°C above +70°C) ..........1.07W24-Pin Plastic DIP (derate 9.09mW/°C above +70°C)......500mW16-Pin Wide SO (derate 9.52mW/°C above +70°C).........762mW

20-Pin Wide SO (derate 10 00mW/°C above +70°C).......800mW24-Pin Wide SO (derate 11.76mW/°C above +70°C).......941mW28-Pin Wide SO (derate 12.50mW/°C above +70°C) .............1W44-Pin Plastic FP (derate 11.11mW/°C above +70°C) .....889mW14-Pin CERDIP (derate 9.09mW/°C above +70°C) ..........727mW16-Pin CERDIP (derate 10.00mW/°C above +70°C) ........800mW20-Pin CERDIP (derate 11.11mW/°C above +70°C) ........889mW24-Pin Narrow CERDIP

(derate 12.50mW/°C above +70°C) ..............1W24-Pin Sidebraze (derate 20.0mW/°C above +70°C)..........1.6W28-Pin SSOP (derate 9.52mW/°C above +70°C).............762mW

Operating Temperature RangesMAX2 _ _ C _ _......................................................0°C to +70°CMAX2 _ _ E _ _ ...................................................-40°C to +85°CMAX2 _ _ M _ _ ...............................................-55°C to +125°C

Storage Temperature Range .............................-65°C to +160°CLead Temperature (soldering, 10s) .................................+300°C

ABSOLUTE MAXIMUM RATINGS—MAX223/MAX230–MAX241

ELECTRICAL CHARACTERISTICS—MAX223/MAX230–MAX241(MAX223/230/232/234/236/237/238/240/241, VCC = +5V ±10; MAX233/MAX235, VCC = 5V ±5%‚ C1–C4 = 1.0µF; MAX231/MAX239,VCC = 5V ±10%; V+ = 7.5V to 13.2V; TA = TMIN to TMAX; unless otherwise noted.)

Stresses beyond those listed under “Absolute Maximum Ratings” may cause permanent damage to the device. These are stress ratings only, and functionaloperation of the device at these or any other conditions beyond those indicated in the operational sections of the specifications is not implied. Exposure toabsolute maximum rating conditions for extended periods may affect device reliability.

CONDITIONS MIN TYP MAX UNITS

Output Voltage Swing All transmitter outputs loaded with 3kΩ to ground ±5.0 ±7.3 V

VCC Power-Supply CurrentNo load,TA = +25°C

5 10

mA7 15

0.4 1

V+ Power-Supply Current1.8 5

mA5 15

Shutdown Supply Current TA = +25°C15 50

VInput Logic Threshold High

TIN 2.0

EN, SHDN (MAX223);EN, SHDN (MAX230/235/236/240/241)

2.4

Logic Pull-Up Current TIN = 0V 1.5 200

Receiver Input VoltageOperating Range

-30 30 V

µA

µA1 10

VInput Logic Threshold Low TIN; EN, SHDN (MAX233); EN, SHDN (MAX230/235–241) 0.8

MAX231/239

MAX223/230/234–238/240/241

MAX232/233

PARAMETER

MAX239

MAX230/235/236/240/241

MAX231

MAX223

Page 22: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

mA

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

6 _______________________________________________________________________________________

V

0.8 1.2

PARAMETER MIN TYP MAX UNITSCONDITIONS

Normal operationSHDN = 5V (MAX223)SHDN = 0V (MAX235/236/240/241)

1.7 2.4

RS-232 Input Threshold LowTA = +25°C, VCC = 5V

0.6 1.5

VRS-232 Input Threshold HighTA = +25°C,VCC = 5V Shutdown (MAX223)

SHDN = 0V,EN = 5V (R4IN‚ R5IN)

1.5 2.4

ELECTRICAL CHARACTERISTICS—MAX223/MAX230–MAX241 (continued)(MAX223/230/232/234/236/237/238/240/241, VCC = +5V ±10; MAX233/MAX235, VCC = 5V ±5%‚ C1–C4 = 1.0µF; MAX231/MAX239,VCC = 5V ±10%; V+ = 7.5V to 13.2V; TA = TMIN to TMAX; unless otherwise noted.)

Shutdown (MAX223)SHDN = 0V,EN = 5V (R4IN, R5IN)

Normal operationSHDN = 5V (MAX223)SHDN = 0V (MAX235/236/240/241)

RS-232 Input Hysteresis VCC = 5V, no hysteresis in shutdown 0.2 0.5 1.0 V

RS-232 Input Resistance TA = +25°C, VCC = 5V 3 5 7 kΩ

TTL/CMOS Output Voltage Low IOUT = 1.6mA (MAX231/232/233, IOUT = 3.2mA) 0.4 V

TTL/CMOS Output Voltage High IOUT = -1mA 3.5 VCC - 0.4 V

TTL/CMOS Output Leakage Current0V ≤ ROUT ≤ VCC; EN = 0V (MAX223); EN = VCC (MAX235–241 )

0.05 ±10 µA

MAX223 600nsReceiver Output Enable Time

Normal operation MAX235/236/239/240/241 400

MAX223 900nsReceiver Output Disable Time

Normal operation MAX235/236/239/240/241 250

Normal operation 0.5 10

µsSHDN = 0V(MAX223)

4 40Propagation DelayRS-232 IN toTTL/CMOS OUT,CL = 150pF 6 40

3 5.1 30

V/µsMAX231/MAX232/MAX233, TA = +25°C, VCC = 5V, RL = 3kΩ to 7kΩ, CL = 50pF to 2500pF, measured from+3V to -3V or -3V to +3V

4 30

Transmitter Output Resistance VCC = V+ = V- = 0V, VOUT = ±2V 300 Ω

Transmitter Output Short-CircuitCurrent

±10 mA

tPHLS

tPLHS

Transition Region Slew Rate

MAX223/MAX230/MAX234–241, TA = +25°C, VCC = 5V, RL = 3kΩ to 7kΩ‚ CL = 50pF to 2500pF, measured from+3V to -3V or -3V to +3V

Page 23: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

_______________________________________________________________________________________ 7

8.5

6.54.5 5.5

TRANSMITTER OUTPUTVOLTAGE (VOH) vs. VCC

7.0

8.0

MAX

220-

04

VCC (V)

V OH

(V)

5.0

7.5

1 TRANSMITTERLOADED

3 TRANS-MITTERSLOADED

4 TRANSMITTERSLOADED

2 TRANSMITTERSLOADED

TA = +25°CC1–C4 = 1µFTRANSMITTERLOADS =3kΩ || 2500pF

7.4

6.00 2500

TRANSMITTER OUTPUT VOLTAGE (VOH)vs. LOAD CAPACITANCE AT

DIFFERENT DATA RATES

6.4

6.2

7.2

7.0

MAX

220-

05

LOAD CAPACITANCE (pF)

V OH

(V)

15001000500 2000

6.8

6.6

160kbits/sec80kbits/sec20kbits/sec

TA = +25°CVCC = +5V3 TRANSMITTERS LOADEDRL = 3kΩC1–C4 = 1µF

12.0

4.00 2500

TRANSMITTER SLEW RATEvs. LOAD CAPACITANCE

6.0

5.0

11.0

9.0

10.0

MAX

220-

06

LOAD CAPACITANCE (pF)

SLEW

RAT

E (V

/µs)

15001000500 2000

8.0

7.0

TA = +25°CVCC = +5VLOADED, RL = 3kΩC1–C4 = 1µF

1 TRANSMITTER LOADED

2 TRANSMITTERS LOADED

3 TRANSMITTERS LOADED

4 TRANSMITTERS LOADED

-6.0

-9.04.5 5.5

TRANSMITTER OUTPUTVOLTAGE (VOL) vs. VCC

-8.0

-8.5

-6.5

-7.0

MAX

220-

07

VCC (V)

V OL (

V)

5.0

-7.5

4 TRANS-MITTERSLOADED

TA = +25°CC1–C4 = 1µFTRANSMITTERLOADS =3kΩ || 2500pF

1 TRANS-MITTERLOADED

2 TRANS-MITTERSLOADED

3 TRANS-MITTERSLOADED

-6.0

-7.60 2500

TRANSMITTER OUTPUT VOLTAGE (VOL) vs. LOAD CAPACITANCE AT

DIFFERENT DATA RATES

-7.0

-7.2

-7.4

-6.2

-6.4

MAX

220-

08

LOAD CAPACITANCE (pF)

V OL (

V)

15001000500 2000

-6.6

-6.8 160kbits/sec80kbits/sec20Kkbits/sec

TA = +25°CVCC = +5V3 TRANSMITTERS LOADEDRL = 3kΩC1–C4 = 1µF

10

-100 5 10 15 20 25 30 35 40 45 50

TRANSMITTER OUTPUT VOLTAGE (V+, V-)vs. LOAD CURRENT

-2

-6

-4

-8

8

6

MAX

220-

09

CURRENT (mA)

V+, V

- (V)

4

2

0V+ AND V-EQUALLYLOADED

V- LOADED,NO LOADON V+

TA = +25°CVCC = +5VC1–C4 = 1µF

ALL TRANSMITTERS UNLOADED

V+ LOADED,NO LOADON V-

__________________________________________Typical Operating CharacteristicsMAX223/MAX230–MAX241

*SHUTDOWN POLARITY IS REVERSED FOR NON MAX241 PARTS

V+, V- WHEN EXITING SHUTDOWN(1µF CAPACITORS)

MAX220-13

SHDN*

V-

O

V+

500ms/div

Page 24: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Input Logic Threshold Low

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

8 _______________________________________________________________________________________

ABSOLUTE MAXIMUM RATINGS—MAX225/MAX244–MAX249

ELECTRICAL CHARACTERISTICS—MAX225/MAX244–MAX249(MAX225, VCC = 5.0V ±5%; MAX244–MAX249, VCC = +5.0V ±10%, external capacitors C1–C4 = 1µF; TA = TMIN to TMAX; unless oth-erwise noted.)

Note 4: Input voltage measured with transmitter output in a high-impedance state, shutdown, or VCC = 0V.Stresses beyond those listed under “Absolute Maximum Ratings” may cause permanent damage to the device. These are stress ratings only, and functionaloperation of the device at these or any other conditions beyond those indicated in the operational sections of the specifications is not implied. Exposure toabsolute maximum rating conditions for extended periods may affect device reliability.

Supply Voltage (VCC) ...............................................-0.3V to +6VInput VoltagesTIN‚ ENA, ENB, ENR, ENT, ENRA,ENRB, ENTA, ENTB..................................-0.3V to (VCC + 0.3V)RIN .....................................................................................±25VTOUT (Note 3).....................................................................±15VROUT ........................................................-0.3V to (VCC + 0.3V)

Short Circuit (one output at a time)TOUT to GND............................................................ContinuousROUT to GND............................................................Continuous

Continuous Power Dissipation (TA = +70°C)28-Pin Wide SO (derate 12.50mW/°C above +70°C) .............1W40-Pin Plastic DIP (derate 11.11mW/°C above +70°C) ...611mW44-Pin PLCC (derate 13.33mW/°C above +70°C) ...........1.07W

Operating Temperature RangesMAX225C_ _, MAX24_C_ _ ..................................0°C to +70°CMAX225E_ _, MAX24_E_ _ ...............................-40°C to +85°C

Storage Temperature Range .............................-65°C to +160°CLead Temperature (soldering,10s) ..................................+300°C

VCC = 0V, VOUT = ±15V

µATables 1a–1d

±0.01 ±25

Normal operation

Shutdown

Tables 1a–1d, normal operation

All transmitter outputs loaded with 3kΩ to GND

ENA, ENB, ENT, ENTA, ENTB =VCC, VOUT = ±15V

VRS-232 Input Hysteresis

RS-232 Input Threshold Low V

V±5 ±7.5Output Voltage Swing

Output Leakage Current (Shutdown)

±0.01 ±25

Ω300 10MVCC = V+ = V- = 0V, VOUT = ±2V (Note 4)Transmitter Output Resistance

µA

PARAMETER

±0.05 ±0.10

MIN TYP MAX UNITS

Normal operation, outputs disabled,Tables 1a–1d, 0V ≤ VOUT ≤ VCC, ENR_ = VCC

TTL/CMOS Output Leakage Current

10 30Shrinking VOUT = VCCmA

-2 -10Sourcing VOUT = GND

V3.5 VCC - 0.2IOUT = -1.0mATTL/CMOS Output Voltage High

V0.2 0.4IOUT = 3.2mATTL/CMOS Output Voltage Low

kΩ3 5 7

0.2 0.5 1.0VCC = 5V

1.4 0.8 V

TTL/CMOS Output Short-Circuit Current

V1.8 2.4

0.8 1.3VCC = 5V

RS-232 Input Resistance

V±25RS-232 Input Voltage Operating Range

mA±7 ±30VOUT = 0VOutput Short-Circuit Current

kbps120 64Data Rate

CONDITIONS

VCC = 5V

µA±0.01 ±1

Logic Pull-Up/lnput Current10 50

Tables 1a–1d

RS-232 Input Threshold High

V2 1.4Input Logic Threshold High

RS-232 TRANSMITTERS

RS-232 RECEIVERS

Page 25: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

_______________________________________________________________________________________ 9

Operating Supply Voltage4.75 5.25

V

Transmitter Enable Time

MAX225 10 20

tET

No loadMAX244–MAX249 11 30

5 10 30

MAX225 40VCC Supply Current (Normal Operation) 3kΩ loads on

all outputs MAX244–MAX249 57

mA

Transition Slew Rate

8 25

CL = 50pF to 2500pF, RL = 3kΩ to 7kΩ, VCC = 5V, TA = +25°C, measured from +3V to -3V or -3V to +3V

TA = TMIN to TMAX

CONDITIONS

50

V/µs

MAX246–MAX249 (excludes charge-pump startup)

Shutdown Supply Current µA

5

tPHLT 1.3 3.5

µs

tPLHT 1.5 3.5

Transmitter Disable Time, Figure 4

Transmitter Propagation DelayTLL to RS-232 (Normal Operation), Figure 1

µs

tDT 100 ns

Transmitter + to - Propagation Delay Difference (Normal Operation)

tPHLT - tPLHT

UNITSMIN TYP MAX

350

PARAMETER

ns

Receiver + to - Propagation Delay Difference (Normal Operation)

tPHLR - tPLHR 350 ns

4.5 5.5MAX244–MAX249

MAX225

Leakage current ±1

Threshold low 1.4 0.8Control Input

Threshold high 2.4 1.4V

µA

TA = +25°C

tPHLR 0.6 1.5

tPLHR 0.6 1.5

Receiver Propagation DelayTLL to RS-232 (Normal Operation),Figure 2

µs

tPHLS 0.6 10

tPLHS 3.0 10

Receiver Propagation Delay TLL to RS-232 (Low-Power Mode), Figure 2

µs

Receiver-Output Enable Time, Figure 3 tER 100 500 ns

Receiver-Output Disable Time, Figure 3 tDR 100 500 ns

MAX225/MAX245–MAX249(includes charge-pump startup)

10 ms

POWER SUPPLY AND CONTROL LOGIC

AC CHARACTERISTICS

Note 5: The 300Ω minimum specification complies with EIA/TIA-232E, but the actual resistance when in shutdown mode or VCC =0V is 10MΩ as is implied by the leakage specification.

ELECTRICAL CHARACTERISTICS—MAX225/MAX244–MAX249 (continued)(MAX225, VCC = 5.0V ±5%; MAX244–MAX249, VCC = +5.0V ±10%, external capacitors C1–C4 = 1µF; TA = TMIN to TMAX; unless oth-erwise noted.)

Page 26: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

10 ______________________________________________________________________________________

__________________________________________Typical Operating Characteristics

MAX225/MAX244–MAX249

18

20 1 2 3 4 5

TRANSMITTER SLEW RATEvs. LOAD CAPACITANCE

8

6

4

16 MAX

220-

10

LOAD CAPACITANCE (nF)

TRAN

SMIT

TER

SLEW

RAT

E (V

/µs)

14

12

10

VCC = 5V

EXTERNAL POWER SUPPLY1µF CAPACITORS

40kb/s DATA RATE 8 TRANSMITTERSLOADED WITH 3kΩ

10

-100 5 10 15 20 25 30 35

OUTPUT VOLTAGEvs. LOAD CURRENT FOR V+ AND V-

-2

-4

-6

-8

8

MAX

220-

11

LOAD CURRENT (mA)

OUTP

UT V

OLTA

GE (V

)

6

4

2

0

V+ AND V- LOADEDEITHER V+ OR V- LOADED

V+ AND V- LOADED

VCC = 5VEXTERNAL CHARGE PUMP1µF CAPACITORS 8 TRANSMITTERSDRIVING 5kΩ AND2000pF AT 20kbits/sec

V- LOADED

V+ LOADED

9.0

5.00 1 2 3 4 5

TRANSMITTER OUTPUT VOLTAGE (V+, V-)vs. LOAD CAPACITANCE AT

DIFFERENT DATA RATES

6.0

5.5

8.5 MAX

220-

12

LOAD CAPACITANCE (nF)

V+, V

(V)

8.0

7.5

7.0

6.5

VCC = 5V WITH ALL TRANSMITTERS DRIVENLOADED WITH 5kΩ

10kb/sec

20kb/sec

40kb/sec

60kb/sec

100kb/sec200kb/sec

ALL CAPACITIORS 1µF

Page 27: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 11

INPUT

OUTPUT

+3V

V+

0VV-

0V

tPLHT tPHLT

tPHLRtPHLS

tPLHRtPLHS

50%VCC

50%+3V

50%INPUT

OUTPUT

*EXCEPT FOR R2 ON THE MAX243 WHERE -3V IS USED.

0V*

50%GND

Figure 1. Transmitter Propagation-Delay Timing Figure 2. Receiver Propagation-Delay Timing

EN

RX IN

a) TEST CIRCUIT

b) ENABLE TIMING

c) DISABLE TIMING

EN INPUT

RECEIVEROUTPUTS

RX OUTRX

1kΩ

0V

+3V

EN

EN

+0.8V

+3.5V

OUTPUT ENABLE TIME (tER)

VCC - 2V

VOL + 0.5V

VOH - 0.5V

OUTPUT DISABLE TIME (tDR)

VCC - 2V

+3V

0V

150pF

EN INPUT

VOH

RECEIVEROUTPUTS

VOL

1 OR 0 TX

3kΩ 50pF

-5V

+5V

OUTPUT DISABLE TIME (tDT)V+

SHDN+3V

0V

V-

0V

a) TIMING DIAGRAM

b) TEST CIRCUIT

Figure 3. Receiver-Output Enable and Disable Timing Figure 4. Transmitter-Output Disable Timing

Page 28: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

12 ______________________________________________________________________________________

ENT ENR OPERATION STATUS TRANSMITTERS RECEIVERS

0 0 Normal Operation All Active All Active

0 1 Normal Operation All Active All 3-State

1 0 Shutdown All 3-State All Low-Power Receive Mode

1 1 Shutdown All 3-State All 3-State

Table 1a. MAX245 Control Pin Configurations

ENT ENROPERATION

STATUSTRANSMITTERS RECEIVERS

TA1–TA4 TB1–TB4 RA1–RA5 RB1–RB5

0 0 Normal Operation All Active All Active All Active All Active

0 1 Normal Operation All Active All ActiveRA1–RA4 3-State,RA5 Active

RB1–RB4 3-State,RB5 Active

1 0 Shutdown All 3-State All 3-StateAll Low-PowerReceive Mode

All Low-PowerReceive Mode

1 1 Shutdown All 3-State All 3-StateRA1–RA4 3-State,RA5 Low-PowerReceive Mode

RB1–RB4 3-State,RB5 Low-PowerReceive Mode

Table 1b. MAX245 Control Pin Configurations

Table 1c. MAX246 Control Pin Configurations

ENA ENBOPERATION

STATUSTRANSMITTERS RECEIVERS

TA1–TA4 TB1–TB4 RA1–RA5 RB1–RB5

0 0 Normal Operation All Active All Active All Active All Active

0 1 Normal Operation All Active All 3-State All ActiveRB1–RB4 3-State,RB5 Active

1 0 Shutdown All 3-State All ActiveRA1–RA4 3-State,RA5 Active

All Active

1 1 Shutdown All 3-State All 3-StateRA1–RA4 3-State,RA5 Low-PowerReceive Mode

RB1–RB4 3-State,RA5 Low-PowerReceive Mode

Page 29: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 13

TA1–TA4 TB1–TB4 RA1–RA4 RB1–RB4

0 0 0 0 Normal Operation All Active All Active All Active All Active

0 0 0 1 Normal Operation All Active All Active All ActiveAll 3-State, exceptRB5 stays active onMAX247

0 0 1 0 Normal Operation All Active All Active All 3-State All Active

0 0 1 1 Normal Operation All Active All Active All 3-StateAll 3-State, exceptRB5 stays active onMAX247

0 1 0 0 Normal Operation All Active All 3-State All Active All Active

0 1 0 1 Normal Operation All Active All 3-State All ActiveAll 3-State, exceptRB5 stays active onMAX247

0 1 1 0 Normal Operation All Active All 3-State All 3-State All Active

0 1 1 1 Normal Operation All Active All 3-State All 3-StateAll 3-State, exceptRB5 stays active onMAX247

1 0 0 0 Normal Operation All 3-State All Active All Active All Active

1 0 0 1 Normal Operation All 3-State All Active All ActiveAll 3-State, exceptRB5 stays active onMAX247

1 0 1 0 Normal Operation All 3-State All Active All 3-State All Active

1 0 1 1 Normal Operation All 3-State All Active All 3-StateAll 3-State, exceptRB5 stays active onMAX247

1 1 0 0 Shutdown All 3-State All 3-StateLow-PowerReceive Mode

Low-PowerReceive Mode

1 1 0 1 Shutdown All 3-State All 3-StateLow-PowerReceive Mode

All 3-State, exceptRB5 stays active onMAX247

1 1 1 0 Shutdown All 3-State All 3-State All 3-StateLow-PowerReceive Mode

1 1 1 1 Shutdown All 3-State All 3-State All 3-StateAll 3-State, exceptRB5 stays active onMAX247

Table 1d. MAX247/MAX248/MAX249 Control Pin Configurations

MAX248OPERATION

STATUSENRBMAX247 TA1–TA4 TB1–TB4 RA1–RA4 RB1–RB5

TRANSMITTERS

ENRAENTBENTA

MAX249 TA1–TA3 TB1–TB3 RA1–RA5 RB1–RB5

RECEIVERS

Page 30: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49 _______________Detailed Description

The MAX220–MAX249 contain four sections: dualcharge-pump DC-DC voltage converters, RS-232 dri-vers, RS-232 receivers, and receiver and transmitterenable control inputs.

Dual Charge-Pump Voltage ConverterThe MAX220–MAX249 have two internal charge-pumpsthat convert +5V to ±10V (unloaded) for RS-232 driveroperation. The first converter uses capacitor C1 to dou-ble the +5V input to +10V on C3 at the V+ output. Thesecond converter uses capacitor C2 to invert +10V to -10V on C4 at the V- output.

A small amount of power may be drawn from the +10V(V+) and -10V (V-) outputs to power external circuitry(see the Typical Operating Characteristics section),except on the MAX225 and MAX245–MAX247, wherethese pins are not available. V+ and V- are not regulated,so the output voltage drops with increasing load current.Do not load V+ and V- to a point that violates the mini-mum ±5V EIA/TIA-232E driver output voltage whensourcing current from V+ and V- to external circuitry.

When using the shutdown feature in the MAX222,MAX225, MAX230, MAX235, MAX236, MAX240,MAX241, and MAX245–MAX249, avoid using V+ and V-to power external circuitry. When these parts are shutdown, V- falls to 0V, and V+ falls to +5V. For applica-tions where a +10V external supply is applied to the V+pin (instead of using the internal charge pump to gen-erate +10V), the C1 capacitor must not be installed andthe SHDN pin must be tied to VCC. This is because V+is internally connected to VCC in shutdown mode.

RS-232 DriversThe typical driver output voltage swing is ±8V whenloaded with a nominal 5kΩ RS-232 receiver and VCC =+5V. Output swing is guaranteed to meet the EIA/TIA-232E and V.28 specification, which calls for ±5V mini-mum driver output levels under worst-case conditions.These include a minimum 3kΩ load, VCC = +4.5V, andmaximum operating temperature. Unloaded driver out-put voltage ranges from (V+ -1.3V) to (V- +0.5V).

Input thresholds are both TTL and CMOS compatible.The inputs of unused drivers can be left unconnectedsince 400kΩ input pull-up resistors to VCC are built in(except for the MAX220). The pull-up resistors force theoutputs of unused drivers low because all drivers invert.The internal input pull-up resistors typically source 12µA,except in shutdown mode where the pull-ups are dis-abled. Driver outputs turn off and enter a high-imped-ance state—where leakage current is typicallymicroamperes (maximum 25µA)—when in shutdown

mode, in three-state mode, or when device power isremoved. Outputs can be driven to ±15V. The power-supply current typically drops to 8µA in shutdown mode.The MAX220 does not have pull-up resistors to force theoutputs of the unused drivers low. Connect unusedinputs to GND or VCC.

The MAX239 has a receiver three-state control line, andthe MAX223, MAX225, MAX235, MAX236, MAX240,and MAX241 have both a receiver three-state controlline and a low-power shutdown control. Table 2 showsthe effects of the shutdown control and receiver three-state control on the receiver outputs.

The receiver TTL/CMOS outputs are in a high-imped-ance, three-state mode whenever the three-state enableline is high (for the MAX225/MAX235/MAX236/MAX239–MAX241), and are also high-impedance whenever theshutdown control line is high.

When in low-power shutdown mode, the driver outputsare turned off and their leakage current is less than 1µAwith the driver output pulled to ground. The driver outputleakage remains less than 1µA, even if the transmitteroutput is backdriven between 0V and (VCC + 6V). Below-0.5V, the transmitter is diode clamped to ground with1kΩ series impedance. The transmitter is also zenerclamped to approximately VCC + 6V, with a seriesimpedance of 1kΩ.

The driver output slew rate is limited to less than 30V/µsas required by the EIA/TIA-232E and V.28 specifica-tions. Typical slew rates are 24V/µs unloaded and10V/µs loaded with 3Ω and 2500pF.

RS-232 ReceiversEIA/TIA-232E and V.28 specifications define a voltagelevel greater than 3V as a logic 0, so all receivers invert.Input thresholds are set at 0.8V and 2.4V, so receiversrespond to TTL level inputs as well as EIA/TIA-232E andV.28 levels.

The receiver inputs withstand an input overvoltage upto ±25V and provide input terminating resistors with

+5V-Powered, Multichannel RS-232Drivers/Receivers

14 ______________________________________________________________________________________

PART SHDN EN EN(R) RECEIVERS

MAX223 __LowHighHigh

XLowHigh

High ImpedanceActiveHigh Impedance

MAX225 __ __High ImpedanceActive

__

MAX235MAX236MAX240

LowLowHigh

__ __LowHighX

High ImpedanceActiveHigh Impedance

Table 2. Three-State Control of Receivers

LowHigh

SHDN

__

Page 31: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 15

nominal 5kΩ values. The receivers implement Type 1interpretation of the fault conditions of V.28 andEIA/TIA-232E.

The receiver input hysteresis is typically 0.5V with aguaranteed minimum of 0.2V. This produces clear out-put transitions with slow-moving input signals, evenwith moderate amounts of noise and ringing. Thereceiver propagation delay is typically 600ns and isindependent of input swing direction.

Low-Power Receive ModeThe low-power receive-mode feature of the MAX223,MAX242, and MAX245–MAX249 puts the IC into shut-down mode but still allows it to receive information. Thisis important for applications where systems are periodi-cally awakened to look for activity. Using low-powerreceive mode, the system can still receive a signal thatwill activate it on command and prepare it for communi-cation at faster data rates. This operation conservessystem power.

Negative Threshold—MAX243The MAX243 is pin compatible with the MAX232A, differ-ing only in that RS-232 cable fault protection is removedon one of the two receiver inputs. This means that controllines such as CTS and RTS can either be driven or leftfloating without interrupting communication. Differentcables are not needed to interface with different pieces ofequipment.

The input threshold of the receiver without cable faultprotection is -0.8V rather than +1.4V. Its output goespositive only if the input is connected to a control linethat is actively driven negative. If not driven, it defaultsto the 0 or “OK to send” state. Normally‚ the MAX243’sother receiver (+1.4V threshold) is used for the data line(TD or RD)‚ while the negative threshold receiver is con-nected to the control line (DTR‚ DTS‚ CTS‚ RTS, etc.).

Other members of the RS-232 family implement theoptional cable fault protection as specified by EIA/TIA-232E specifications. This means a receiver output goeshigh whenever its input is driven negative‚ left floating‚or shorted to ground. The high output tells the serialcommunications IC to stop sending data. To avoid this‚the control lines must either be driven or connectedwith jumpers to an appropriate positive voltage level.

Shutdown—MAX222–MAX242 On the MAX222‚ MAX235‚ MAX236‚ MAX240‚ andMAX241‚ all receivers are disabled during shutdown.On the MAX223 and MAX242‚ two receivers continue tooperate in a reduced power mode when the chip is inshutdown. Under these conditions‚ the propagationdelay increases to about 2.5µs for a high-to-low inputtransition. When in shutdown, the receiver acts as aCMOS inverter with no hysteresis. The MAX223 andMAX242 also have a receiver output enable input (ENfor the MAX242 and EN for the MAX223) that allowsreceiver output control independent of SHDN (SHDNfor MAX241). With all other devices‚ SHDN (SHDN forMAX241) also disables the receiver outputs.

The MAX225 provides five transmitters and fivereceivers‚ while the MAX245 provides ten receivers andeight transmitters. Both devices have separate receiverand transmitter-enable controls. The charge pumpsturn off and the devices shut down when a logic high isapplied to the ENT input. In this state, the supply cur-rent drops to less than 25µA and the receivers continueto operate in a low-power receive mode. Driver outputsenter a high-impedance state (three-state mode). Onthe MAX225‚ all five receivers are controlled by theENR input. On the MAX245‚ eight of the receiver out-puts are controlled by the ENR input‚ while the remain-ing two receivers (RA5 and RB5) are always active.RA1–RA4 and RB1–RB4 are put in a three-state modewhen ENR is a logic high.

Receiver and Transmitter Enable Control Inputs

The MAX225 and MAX245–MAX249 feature transmitterand receiver enable controls.

The receivers have three modes of operation: full-speedreceive (normal active)‚ three-state (disabled)‚ and low-power receive (enabled receivers continue to functionat lower data rates). The receiver enable inputs controlthe full-speed receive and three-state modes. Thetransmitters have two modes of operation: full-speedtransmit (normal active) and three-state (disabled). Thetransmitter enable inputs also control the shutdownmode. The device enters shutdown mode when alltransmitters are disabled. Enabled receivers function inthe low-power receive mode when in shutdown.

Page 32: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49 Tables 1a–1d define the control states. The MAX244

has no control pins and is not included in these tables.

The MAX246 has ten receivers and eight drivers withtwo control pins, each controlling one side of thedevice. A logic high at the A-side control input (ENA)causes the four A-side receivers and drivers to go intoa three-state mode. Similarly, the B-side control input(ENB) causes the four B-side drivers and receivers togo into a three-state mode. As in the MAX245, one A-side and one B-side receiver (RA5 and RB5) remainactive at all times. The entire device is put into shut-down mode when both the A and B sides are disabled(ENA = ENB = +5V).

The MAX247 provides nine receivers and eight driverswith four control pins. The ENRA and ENRB receiverenable inputs each control four receiver outputs. TheENTA and ENTB transmitter enable inputs each controlfour drivers. The ninth receiver (RB5) is always active.The device enters shutdown mode with a logic high onboth ENTA and ENTB.

The MAX248 provides eight receivers and eight driverswith four control pins. The ENRA and ENRB receiverenable inputs each control four receiver outputs. TheENTA and ENTB transmitter enable inputs control fourdrivers each. This part does not have an always-activereceiver. The device enters shutdown mode and trans-mitters go into a three-state mode with a logic high onboth ENTA and ENTB.

The MAX249 provides ten receivers and six drivers withfour control pins. The ENRA and ENRB receiver enableinputs each control five receiver outputs. The ENTAand ENTB transmitter enable inputs control three dri-vers each. There is no always-active receiver. Thedevice enters shutdown mode and transmitters go intoa three-state mode with a logic high on both ENTA andENTB. In shutdown mode, active receivers operate in alow-power receive mode at data rates up to20kbits/sec.

__________Applications InformationFigures 5 through 25 show pin configurations and typi-cal operating circuits. In applications that are sensitiveto power-supply noise, VCC should be decoupled toground with a capacitor of the same value as C1 andC2 connected as close as possible to the device.

+5V-Powered, Multichannel RS-232Drivers/Receivers

16 ______________________________________________________________________________________

Page 33: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 17

TOP VIEW

16

15

14

13

12

11

10

9

1

2

3

4

5

6

7

8

VCC

GND

T1OUT

R1INC2+

C1-

V+

C1+

MAX220MAX232

MAX232A R1OUT

T1IN

T2IN

R2OUTR2IN

T2OUT

V-

C2-

DIP/SO

V+

V-

2 +10VC1+C1

C2

1

34

5

11

10

12

9

6

14

7

13

8

T1IN

R1OUT

T2IN

R2OUT

T1OUT

R1IN

T2OUT

R2IN

+5V INPUT

C2+ -10V

C4

RS-232OUTPUTS

RS-232INPUTS

TTL/CMOSINPUTS

TTL/CMOSOUTPUTS

GND15

5kΩ

5kΩ

400kΩ

400kΩ+5V

+5V

+10V TO -10VVOLTAGE INVERTER

+5V TO +10VVOLTAGE DOUBLER

16

C3

C5

CAPACITANCE (µF)DEVICEMAX220MAX232MAX232A

C14.71.00.1

C24.71.00.1

C3101.00.1

C4101.00.1

C54.71.00.1

C2-

C1-

VCC

5kΩ

DIP/SO

18

17

16

15

14

13

12

11

1

2

3

4

5

6

7

8

SHDN

VCC

GND

T1OUTC1-

V+

C1+

(N.C.) EN

R1IN

R1OUT

T1IN

T2INT2OUT

V-

C2-

C2+

109 R2OUTR2IN

MAX222MAX242

20

19

18

17

16

15

14

13

1

2

3

4

5

6

7

8

SHDN

VCC

GND

T1OUTC1-

V+

C1+

(N.C.) EN

N.C.

R1IN

R1OUT

N.C.T2OUT

V-

C2-

C2+

12

11

9

10

T1IN

T2INR2OUT

R2IN

MAX222MAX242

SSOP

( ) ARE FOR MAX222 ONLY.PIN NUMBERS IN TYPICAL OPERATING CIRCUIT ARE FOR DIP/SO PACKAGES ONLY.

V+

V-

3 +10VC1

C2

2

45

6

12

11

13

7

15

8

14

9

T1IN

R1OUT

T2IN

R2OUT

T1OUT

(EXCEPT MAX220)

(EXCEPT MAX220)

R1IN

T2OUT

R2IN

+5V INPUT

C2+ -10V

C4

RS-232OUTPUTS

RS-232INPUTS

TTL/CMOSINPUTS

TTL/CMOSOUTPUTS

GND16

5kΩ

400kΩ

400kΩ+5V

+5V

+10V TO -10VVOLTAGE INVERTER

VCC+5V TO +10V

VOLTAGE DOUBLER

17

C3

C5

1

10

18SHDN

EN(N.C.)

ALL CAPACITORS = 0.1µF

C2-

C1+C1-

TOP VIEW

Figure 5. MAX220/MAX232/MAX232A Pin Configuration and Typical Operating Circuit

Figure 6. MAX222/MAX242 Pin Configurations and Typical Operating Circuit

Page 34: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

18 ______________________________________________________________________________________

13

14

28

27

26

25

24

23

22

21

1

2

3

4

5

6

7

8

VCC

VCC VCC

400kΩ

400kΩ

400kΩ

400kΩ

400kΩ

T1OUT+5V

+5V

0.1

+5V

3

28 27

4

25

24

23

26

5

6

7

22

GNDENRENR

GND

21

+5V

+5V

+5V

T2OUT

T3OUT

T4OUT

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

1413

21

T5OUT

T5OUT

R1IN

R2IN

R3IN

R4IN

R5IN

T1IN11

12

18

17

16

15

10

9

8

19

20

T2IN

T3IN

T4IN

T5IN

ENT

R2OUT

R3OUT

R4OUT

PINS (ENR, GND, VCC, T5OUT) ARE INTERNALLY CONNECTED.CONNECT EITHER OR BOTH EXTERNALLY. T5OUT IS A SINGLE DRIVER.

R5OUT

R1OUT

VCC

ENT

T3INT2IN

T1IN

ENR

ENR

T4IN

T5IN

R4OUT

R5OUTR3IN

R3OUT

R2OUT

R1OUT

20

19

18

17

9

10

11

12

R5IN

R4IN

T3OUT

T4OUTT2OUT

T1OUT

R1IN

R2IN

SO

MAX225

16

15

T5OUT

MAX225 FUNCTIONAL DESCRIPTION5 RECEIVERS5 TRANSMITTERS2 CONTROL PINS 1 RECEIVER ENABLE (ENR) 1 TRANSMITTER ENABLE (ENT)

T5OUTGND

GND

TOP VIEW

Figure 7. MAX225 Pin Configuration and Typical Operating Circuit

Page 35: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 19

GND

10

27R3OUT

23R4OUT

R3IN

R4IN

5kΩ

5kΩ

5 4R2OUT R2IN

5kΩ

RS-232INPUTS

LOGICOUTPUTS

RS-232OUTPUTS

TTL/CMOSINPUTS

R2

8 9R1OUT R1IN

5kΩR1

R3

R4

19 18R5OUT R5IN

5kΩR5

27 T1IN T1OUT

+5V400kΩ

+5V

6 3T2IN T2OUTT2

400kΩ

20 T3OUT 1T3IN

+5V

T3

400kΩ

C1+

C1-

1.0µF

12VCC

+5V INPUT

11

17

1.0µF

131.0µF

+5V TO +10VVOLTAGE DOUBLER

26

1.0µF

T1

2821 T4IN T4OUT

+5V

400kΩ

T4

14

C2+

C2-

15

1.0µF 16+10V TO -10V

VOLTAGE INVERTER

V+

22

EN (EN)24 25

28

27

26

25

24

23

22

21

20

19

18

17

16

15

1

2

3

4

5

6

7

8

9

10

11

12

13

14

T4OUT

R3IN

R3OUT

SHDN (SHDN)

R4IN*

C2+

R4OUT*

T4IN

T3IN

R5OUT*

R5IN*

V-

C2-

C1-

V+

C1+

VCC

GND

R1IN

R1OUT

T1IN

T2IN

R2OUT

R2IN

T2OUT

T1OUT

T3OUT

Wide SO/SSOP

MAX223MAX241

EN (EN)

SHDN(SHDN)

*R4 AND R5 IN MAX223 REMAIN ACTIVE IN SHUTDOWN

NOTE: PIN LABELS IN ( ) ARE FOR MAX241

V-

TOP VIEW

Figure 8. MAX223/MAX241 Pin Configuration and Typical Operating Circuit

Page 36: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

20 ______________________________________________________________________________________

20

19

18

17

16

15

14

13

1

2

3

4

5

6

7

8

T5IN

N.C.

SHDNT2IN

T2OUT

T1OUT

T5OUT

T4IN

T3IN

V-C1+

VCC

GND

T1IN

12

11

9

10

C2-

C2+C1-

V+

DIP/SO

MAX230

V+

V-

9C1+C1-

810

1112

5

4

14

13

2

3

1

20

T3IN

T4IN

T2IN

T5IN

T1OUT

T2OUT

+5V INPUT

C2+C2-

RS-232OUTPUTS

TTL/CMOSINPUTS

GND6

400kΩ+5V

400kΩ+5V

400kΩ+5V

400kΩ+5V

400kΩ+5V

+10V TO -10VVOLTAGE INVERTER

VCC+5V TO +10V

VOLTAGE DOUBLER

7

1.0µF

1.0µF

1.0µF

1.0µF

19

15

16

T3OUT T4OUT

18x

T1IN

T3OUT

T4OUT

T5OUT

17

1.0µF

T2

T3

T4

T5

N.C. SHDN

T1

TOP VIEW

Figure 9. MAX230 Pin Configuration and Typical Operating Circuit

V+

V-

14C1+

C1-

1

2

8

7

3

11

4T2IN

T1IN T1OUT

T2OUT

+5V INPUT

RS-232INPUTS

TTL/CMOSOUTPUTS

GND

12 (14)

5kΩ

5kΩ

+12V TO -12VVOLTAGE CONVERTER

13 (15)

1.0µF

1.0µFC2

1.0µF

400kΩ

+5V

400kΩ

+5V

6

9 10R1IN

R2INR2OUT

R1OUT

5

16

15

14

13

12

11

10

9

1

2

3

4

5

6

7

8

V+

VCC

GND

T1OUTT2OUT

V-

C-

C+

MAX231

R1IN

R1OUT

T1IN

N.C.N.C.

T2IN

R2OUT

R2IN

SO

(12)

RS-232OUTPUTS

TTL/CMOSINPUTS

(11)

(13)(10)

VCC

PIN NUMBERS IN ( ) ARE FOR SO PACKAGE

14

13

12

11

10

9

8

1

2

3

4

5

6

7

V+

VCC

GND

T1OUTT2OUT

V-

C-

C+

MAX231

R1IN

R1OUT

T1INT2IN

R2OUT

R2IN

DIP

+7.5V TO +12V

(16)

T1

T2

R1

R2

TOP VIEW

Figure 10. MAX231 Pin Configurations and Typical Operating Circuit

Page 37: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 21

2

1

5

18T2IN

T1IN T1OUT

T2OUT

+5V INPUT

RS-232OUTPUTS

TTL/CMOSOUTPUTS

GND GND6 9

400kΩ

+5V

400kΩ+5V

5kΩ

5kΩ

7

20

3 4R1IN

R2INR2OUT

R1OUT

19

RS-232OUTPUTS

TTL/CMOSINPUTS

VCC

( ) ARE FOR SO PACKAGE ONLY.

20

19

18

17

16

15

14

13

1

2

3

4

5

6

7

8

R2IN

T2OUT

V-R1IN

R1OUT

T1IN

C2-

C2+

V+ (C1-)

C1- (C1+)(V+) C1+

VCC

GND

T1OUT

12

11

9

10

V- (C2+)

C2+ (C2-)(V-) CS-

GND

DIP/SO

MAX233MAX233A

T2IN R2OUT

C1+

C1-

V-

V-

V+

C2+

C2-

C2-

C2+

8 (13)

13 (14)

12 (10)

17

14 (8)

11 (12)

15

16

10 (11)

DO NOT MAKECONNECTIONS TO

THESE PINS

INTERNAL -10POWER SUPPLY

INTERNAL +10VPOWER SUPPLY

1.0µFTOP VIEW

Figure 11. MAX233/MAX233A Pin Configuration and Typical Operating Circuit

16

15

14

13

12

11

10

9

1

2

3

4

5

6

7

8

T3OUT

T4OUT

T4IN

T3INT1IN

T2IN

T2OUT

T1OUT

MAX234

V-

C2-

C2+

C1-V+

C1+

VCC

GND

DIP/SO

V+

V-

8C1+

C1-

1.0µF

1.0µF

1.0µF

7

9

10

11

4

3

13

14

12

1

3

16

15

T1IN

T3IN

T2IN

T4IN

T1OUT

T3OUT

T2OUT

T4OUT

+5V INPUT

C2-

C2+

RS-232OUTPUTS

TTL/CMOSINPUTS

GND5

+5V

+5V

+10V TO -10VVOLTAGE INVERTER

VCC+5V TO +10V

VOLTAGE DOUBLER

6

+5V

+5V

400kΩ

400kΩ

400kΩ

400kΩ

1.0µF

1.0µF

T1

T2

T4

T3

TOP VIEW

Figure 12. MAX234 Pin Configuration and Typical Operating Circuit

Page 38: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

22 ______________________________________________________________________________________

1.0µF+5V INPUT

GND

11

6

23

5R2OUT

RS-232INPUTS

TTL/CMOSOUTPUTS

14 13

21

R5OUT

5kΩ

17 18R4OUT

5kΩ

24R3OUT

5kΩ

24

23

22

21

20

19

18

17

1

2

3

4

5

6

7

8

R3IN

R3OUT

T5IN

SHDNT2OUT

T1OUT

T3OUT

T4OUT

EN

T5OUT

R4IN

R4OUTT1IN

T2IN

R2OUT

R2IN

16

15

14

13

9

10

11

12

T4IN

T3IN

R5OUT

R5INVCC

GND

R1IN

R1OUT

DIP

MAX235

5kΩ

9 10R1OUT R1IN

R2IN

R3IN

R4IN

R5IN

5kΩ

7

15

3

4T2IN

T3OUT RS-232OUTPUTS

TTL/CMOSINPUTS

22 19T5IN T5OUT

+5V

16 1T4IN T4OUT

+5V

2T3IN

+5V

+5V

8 T1IN T1OUT

+5V

T2OUT

T1

T1

R2

R3

R4

R5

T2

T3

T5

T4

400kΩ

400kΩ

400kΩ

400kΩ

400kΩ

SHDNEN20

12

VCC

TOP VIEW

Figure 13. MAX235 Pin Configuration and Typical Operating Circuit

Page 39: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 23

GND

8

23R2OUT RS-232INPUTS

TTL/CMOSOUTPUTS

17 16

21

R3OUT

R2IN

R3IN

5kΩ

5kΩ

5 4R1OUT R1IN

5kΩ

RS-232OUTPUTS

TTL/CMOSINPUTS

R1

R2

R3

27 T1IN T1OUT

+5V

T1

400kΩ

6 3T2IN

+5V

T2OUTT2

400kΩ

18 T3OUT 1T3IN

+5V

T3

400kΩ

19 24T4IN T4OUT

+5V

T4

400kΩ

SHDNEN20

11C1+

C1-

1.0µF

10

12

13

14

15

+5V INPUT

C2+

C2-

VCC+5V TO +10V

VOLTAGE DOUBLER

9 1.0µF

1.0µF+10V TO -10VVOLTAGE INVERTER

22

24

23

22

21

20

19

18

17

1

2

3

4

5

6

7

8

T4OUT

R2IN

R2OUT

SHDNR1IN

T2OUT

T1OUT

T3OUT

T4IN

T3IN

R3OUTGND

T1IN

T2IN

R1OUT

16

15

14

13

9

10

11

12

R3IN

V-

C2-

C2+C1-

V+

C1+

VCC

DIP/SO

MAX236 EN

1.0µF

1.0µF

TOP VIEW

V+

V-

Figure 14. MAX236 Pin Configuration and Typical Operating Circuit

Page 40: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

24 ______________________________________________________________________________________

GND

8

23R2OUT RS-232INPUTS

TTL/CMOSOUTPUTS

17 16R3OUT

R2IN

R3IN

5kΩ

5kΩ

5 4R1OUT R1IN

5kΩ

RS-232OUTPUTS

TTL/CMOSINPUTS

R1

R2

R3

27 T1IN T1OUT

+5V

T1

400kΩ

6 3T2IN

+5V

T2OUTT2

400kΩ

18 T3OUT 1T3IN

+5V

T3

400kΩ

21 20T5IN T5OUT

+5V

T5

400kΩ

11C1+

C1-

1.0µF

10

12

13

14

15

+5V INPUT

C2+

C2-

VCC+5V TO +10V

VOLTAGE DOUBLER

9 1.0µF

1.0µF+10V TO -10V

VOLTAGE INVERTER

22

24

23

22

21

20

19

18

17

1

2

3

4

5

6

7

8

T4OUT

R2IN

R2OUT

T5INR1IN

T2OUT

T1OUT

T3OUT

T4IN

T3IN

R3OUTGND

T1IN

T2IN

R1OUT

16

15

14

13

9

10

11

12

R3IN

V-

C2-

C2+C1-

V+

C1+

VCC

DIP/SO

MAX237 T5OUT

1.0µF

1.0µF

19 24T4IN T4OUT

+5V

T4

400kΩ

V+

V-

TOP VIEW

Figure 15. MAX237 Pin Configuration and Typical Operating Circuit

Page 41: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 25

GND

8

3R2OUT

22 23R3OUT

R2IN

R3IN

5kΩ

5kΩ

6 7R1OUT R1IN

5kΩ

RS-232OUTPUTS

TTL/CMOSINPUTS

RS-232INPUTS

TTL/CMOSOUTPUTS

R1

R2

R3

17 16R4OUT R4IN

5kΩ

R4

25 T1IN T1OUT

+5V400kΩ

+5V

18 1T2IN T2OUTT2

400kΩ

19 T3OUT 24T3IN

+5V

T3

400kΩ

11C1+

C1-

1.0µF

10

12

13

1415

+5V INPUT

C2+

C2-

VCC+5V TO +10V

VOLTAGE DOUBLER

9 1.0µF

1.0µF+10V TO -10V

VOLTAGE INVERTER

4

1.0µF

1.0µF

21 20T4IN T4OUT

+5V

T4

400kΩ

T124

23

22

21

20

19

18

17

1

2

3

4

5

6

7

8

T3OUT

R3IN

R3OUT

T4INR2OUT

R2IN

T1OUT

T2OUT

TOP VIEW

T3IN

T2IN

R4OUTGND

R1IN

R1OUT

T1IN

16

15

14

13

9

10

11

12

R4IN

V-

C2-

C2+C1-

V+

C1+

VCC

DIP/SO

MAX238 T4OUT

V+

V-

Figure 16. MAX238 Pin Configuration and Typical Operating Circuit

Page 42: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

26 ______________________________________________________________________________________

GND

3

18R3OUT

12R4OUT

R3IN

R4IN

5kΩ

5kΩ

22 21R2OUT R2IN

5kΩ

RS-232OUTPUTS

TTL/CMOSINPUTS

RS-232INPUTS

TTL/CMOSOUTPUTS

R2

1 2R1OUT R1IN

5kΩ

R1

R3

R4

10 9R5OUT R5IN

5kΩ

R5

1924 T1IN T1OUT

+5V400kΩ

+5V

23 20T2IN T2OUTT2

400kΩ

16 T3OUT 13T3IN

+5V

T3

400kΩ

C1+

C1-

1.0µF

6 VCC 8

+5V INPUT

4 5

1.0µF+10V TO -10V

VOLTAGE INVERTER

17

1.0µF

T1

24

23

22

21

20

19

18

17

1

2

3

4

5

6

7

8

T1IN

T2IN

R2OUT

R2INVCC

GND

R1IN

R1OUT

T1OUT

R3IN

R3OUTV-

C-

C+

V+

16

15

14

13

9

10

11

12

T3IN

N.C.

EN

T3OUTR4IN

R4OUT

R5OUT

R5IN

DIP/SO

MAX239 T2OUT

7.5V TO 13.2VINPUT

7

V+

11

EN14 15N.C.

V-

TOP VIEW

Figure 17. MAX239 Pin Configuration and Typical Operating Circuit

Page 43: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 27

GND

18

4R3OUT

40R4OUT

R3IN

R4IN

5kΩ

5kΩ

13 10R2OUT R2IN

5kΩ

RS-232INPUTS

TTL/CMOSOUTPUTS

RS-232OUTPUTS

TTL/CMOSINPUTS

R2

16 17R1OUT R1IN

5kΩR1

R3

R4

36 35R5OUT R5IN

5kΩ

R5

715 T1IN T1OUT

+5V400kΩ

+5V

14 8T2IN T2OUTT2

400kΩ

37 T3OUT 6T3IN

+5V

T3

400kΩ

C1+

C1-

1.0µF

25VCC

+5V INPUT

19

30

1.0µF

261.0µF

+5V TO +10VVOLTAGE DOUBLER

3

1.0µF

T1

+5V

2 41T5IN T5OUTT5

400kΩ

538 T4IN T4OUT

+5V400kΩ

T4

27

C2+

C2-

28

1.0µF 29+5V TO -10V

VOLTAGE INVERTER

V+

39

EN42 43

Plastic FP

MAX240

SHDNENT5OUTR4INR4OUT

R5OUTR5INN.C.

N.C.

T3IN

T4IN

R2OUTT2INT1IN

R1OUTR1IN

N.C.N.C.N.C.

N.C.

VCC

GND

R2IN

N.C.

T4OU

T

T2OU

TT1

OUT

T3OU

T

N.C.

R3IN

R3OU

T

N.C.

T5IN

N.C.

C1+ C2V+ C1-

C2+

N.C. V-

N.C.

N.C.

N.C.

3332313029282726252423

3435363738394041424344

1234567891011

2221201918171615141312

SHDN

TOP VIEW

V-

Figure 18. MAX240 Pin Configuration and Typical Operating Circuit

Page 44: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

28 ______________________________________________________________________________________

V+

V-

2 +10VC1+

C1-

1

3

4

5

11

10

12

9

6

14

7

13

8

T1IN

R1OUT

T2IN

R2OUT

T1OUT

R1IN

T2OUT

R2IN

+5V INPUT

C2+

C2--10V

RS-232OUTPUTS

RS-232INPUTS

TTL/CMOSINPUTS

TTL/CMOSOUTPUTS

GND

15

5kΩ

5kΩ

400kΩ

400kΩ

+5V

+5V

+10V TO -10VVOLTAGE INVERTER

+5V TO +10VVOLTAGE DOUBLER

16

16

15

14

13

12

11

10

9

1

2

3

4

5

6

7

8

C1+ VCC

GND

T1OUT

R1IN

R1OUT

T1IN

T2IN

R2OUT

MAX243

DIP/SO

V+

C1-

V-

C2+

C2-

T2OUT

R2IN

0.1µF

0.1µF

0.1µF

0.1µFALL CAPACITORS = 0.1µF

0.1µF

RECEIVER INPUT≤ -3 VOPEN≥ +3V

R1 OUTPUTHIGHHIGHLOW

R2 OUTPUTHIGHLOWLOW

TOP VIEW

VCC

Figure 19. MAX243 Pin Configuration and Typical Operating Circuit

Page 45: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 29

400kΩ

+10V TO -10V VOLTAGE INVERTER

+5V TO +10V VOLTAGE DOUBLERVCC

400kΩ

400kΩ

GND

+5V +5V

+5V +5V

+5V

25

2423

2120

2

1µF

1µF

1µF 1µF

1µF

16

3

17

4

18

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

C2-C2+

C1-C1+

TA2OUT

TA2IN

TA3OUT

TA3IN

TA4OUT

TA4IN

9 RA1IN

10 RA1OUT

8 RA2IN

11 RA2OUT

7 RA3IN

12 RA3OUT

6 RA4IN

13 RA4OUT

5 RA5IN

14

19

RA5OUT

26

22

43

29

42

28

41

27

36

35

37

34

38

33

39

32

40

31

V-

V+

TB2OUT

TB2IN

400kΩ

2

15

TA1OUT

TA1IN

44

30

TB1OUT

TB1IN

TB3OUT

TB3IN

TB4OUT

TB4IN

RB1IN

RB1OUT

RB2IN

RB2OUT

RB3IN

RB3OUT

RB4IN

RB4OUT

RB5IN

RB5OUT

MAX249 FUNCTIONAL DESCRIPTION10 RECEIVERS 5 A-SIDE RECEIVER 5 B-SIDE RECEIVER8 TRANSMITTERS 4 A-SIDE TRANSMITTERS 4 B-SIDE TRANSMITTERSNO CONTROL PINS

441234 404142435

21 24 2625 27 2822 2319 20

8

9

10

11

12

13

14

15

16

17 29

30

31

32

33

34

35

36

37

38

TA3IN

V CC

R A5IN

MAX244

PLCC

TOP VIEWT A

4OUT

T A3O

UT

T A2O

UT

T A1O

UT

T B1O

UT

T B2O

UT

T B3O

UT

TB4O

UT

R B5IN

GND V+C1+

C2+

C1- V-C2-

T B3IN

T B4IN

RB3IN

RB2IN

RB1IN

RB1OUT

RB2OUT

RB3OUT

RB4OUT

RB5OUT

TB1IN

TB2IN

TA2IN

TA1IN

RA5OUT

RA4OUT

RA3OUT

RA2OUT

RA1OUT

RA1IN

RA2IN

7 39 RB4INRA3IN

6

18

R A4IN

T A4IN

+5V +5V

+5V +5V

Figure 20. MAX244 Pin Configuration and Typical Operating Circuit

Page 46: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

30 ______________________________________________________________________________________

400kΩ

VCC

400kΩ

400kΩ

GND

+5V +5V

+5V +5V

+5V

40

17

1µF

3

18

4

19

5

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

TA2OUT

TA2IN

TA3OUT

TA3IN

TA4OUT

TA4IN

1

11 RA1IN

10 RA1OUT

12 RA2IN

9 RA2OUT

13 RA3IN

8 RA3OUT

14 RA4IN

7 RA4OUT

15 RA5IN

6

20

RA5OUT

23

37

22

36

21

35

29

30

28

31

27

32

26

33

25

34

TB2OUT

TB2IN

TB3OUT

TB3IN

TB4OUT

TB4IN

RB1IN

RB1OUT

RB2IN

RB2OUT

RB3IN

RB3OUT

RB4IN

RB4OUT

RB5IN

RB5OUT

+5V +5V

400kΩ

16

2

TA1OUT

TA1IN

24

38

TB1OUT

TB1IN

+5V +5V40 VCC

ENT

TB1IN

TB2IN

TB3IN

TB4IN

RB5OUT

RB4OUT

RB3OUT

RB2OUT

RB1OUT

RB1IN

RB2IN

RB3IN

RB4IN

RB5IN

TB1OUT

TB2OUT

TB3OUT

TB4OUT

39

38

37

36

35

34

33

32

31

1

2

3

4

5

6

7

8

9

10

ENR

TA1IN

TA2IN

TA3IN

TA4IN

RA5OUT

RA4OUT

RA3OUT

RA2OUT

RA1OUT

RA1IN

RA2IN

RA3IN

RA4IN

RA5IN

TA1OUT

TA2OUT

TA3OUT

TA4OUT

GND

TOP VIEW

MAX245

30

29

28

27

26

25

24

23

22

21

11

12

13

14

15

16

17

18

19

DIP

20

MAX245 FUNCTIONAL DESCRIPTION10 RECEIVERS 5 A-SIDE RECEIVERS (RA5 ALWAYS ACTIVE) 5 B-SIDE RECEIVERS (RB5 ALWAYS ACTIVE)8 TRANSMITTTERS 4 A-SIDE TRANSMITTERS2 CONTROL PINS 1 RECEIVER ENABLE (ENR) 1 TRANSMITTER ENABLE (ENT)

39ENR ENT

Figure 21. MAX245 Pin Configuration and Typical Operating Circuit

Page 47: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 31

400kΩ

VCC

400kΩ

GND

+5V

+5V

+5V

+5V

+5V

40

16

1µF

2

18

4

TA1OUT

TA1IN

TA3OUT

TA3IN

20

24

38

22

36

1 39

TB1OUT

TB1IN

TB3OUT

TB3IN

400kΩ

+5V17

3

TA2OUT

TA2IN

+5V23

37

TB2OUT

TB2IN

400kΩ

+5V19

5

TA4OUT

TA4IN

+5V21

35

TB4OUT

TB4IN

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

11 RA1IN

10 RA1OUT

12 RA2IN

9 RA2OUT

13 RA3IN

8 RA3OUT

14 RA4IN

7 RA4OUT

15 RA5IN

6 RA5OUT

29

30

28

31

27

32

26

33

25

34

RB1IN

RB1OUT

RB2IN

RB2OUT

RB3IN

RB3OUT

RB4IN

RB4OUT

RB5IN

RB5OUT

40 VCC

ENB

TB1IN

TB2IN

TB3IN

TB4IN

RB5OUT

RB4OUT

RB3OUT

RB2OUT

RB1OUT

RB1IN

RB2IN

RB3IN

RB4IN

RB5IN

TB1OUT

TB2OUT

TB3OUT

TB4OUT

39

38

37

36

35

34

33

32

31

1

2

3

4

5

6

7

8

9

10

ENA

TA1IN

TA2IN

TA3IN

TA4IN

RA5OUT

RA4OUT

RA3OUT

RA2OUT

RA1OUT

RA1IN

RA2IN

RA3IN

RA4IN

RA5IN

TA1OUT

TA2OUT

TA3OUT

TA4OUT

GND

TOP VIEW

MAX246

30

29

28

27

26

25

24

23

22

21

11

12

13

14

15

16

17

18

19

DIP

20

MAX246 FUNCTIONAL DESCRIPTION10 RECEIVERS 5 A-SIDE RECEIVERS (RA5 ALWAYS ACTIVE) 5 B-SIDE RECEIVERS (RB5 ALWAYS ACTIVE)8 TRANSMITTERS 4 A-SIDE TRANSMITTERS 4 B-SIDE TRANSMITTERS2 CONTROL PINS ENABLE A-SIDE (ENA) ENABLE B-SIDE (ENB)

ENA ENB

Figure 22. MAX246 Pin Configuration and Typical Operating Circuit

Page 48: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

32 ______________________________________________________________________________________

400kΩ

VCC

400kΩ

GND

+5V

+5V

+5V

+5V

+5V

1

40

16

1µF

2

18

4

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

ENTA

TA1OUT

TA1IN

TA3OUT

TA3IN

6 RB5OUT

12 RA1IN

10 RA1OUT

13 RA2IN

9 RA2OUT

14 RA3IN

8 RA3OUT

15 RA4IN

7

20

RA4OUT

11

39

24

38

22

36

29

31

28

32

27

33

26

34

30ENRA

ENTB

TB1OUT

TB1IN

TB3OUT

TB3IN

RB1IN

5kΩ

25RB5IN

RB1OUT

RB2IN

RB2OUT

RB3IN

RB3OUT

RB4IN

RB4OUT

ENRB

400kΩ

+5V17

3

TA2OUT

TA2IN

+5V23

37

TB2OUT

TB2IN

400kΩ

+5V19

5

TA4OUT

TA4IN

+5V21

35

TB4OUT

TB4IN

40 VCC

ENTB

TB1IN

TB2IN

TB3IN

TB4IN

RB4OUT

RB3OUT

RB2OUT

RB1OUT

RB1IN

RB2IN

RB3IN

RB4IN

RB5IN

TB1OUT

TB2OUT

TB3OUT

TB4OUT

39

38

37

36

35

34

33

32

31

1

2

3

4

5

6

7

8

9

10

ENTA

TA1IN

TA2IN

TA3IN

TA4IN

RB5OUT

RA4OUT

RA3OUT

RA2OUT

RA1OUT

RA1IN

RA2IN

RA3IN

RA4IN

TA1OUT

TA2OUT

TA3OUT

TA4OUT

GND

TOP VIEW

MAX247

30

29

28

27

26

25

24

23

22

21

11

12

13

14

15

16

17

18

19

DIP

20

ENRA ENRB

MAX247 FUNCTIONAL DESCRIPTION9 RECEIVERS 4 A-SIDE RECEIVERS 5 B-SIDE RECEIVERS (RB5 ALWAYS ACTIVE)8 TRANSMITTERS 4 A-SIDE TRANSMITTERS 4 B-SIDE TRANSMITTERS4 CONTROL PINS ENABLE RECEIVER A-SIDE (ENRA) ENABLE RECEIVER B-SIDE (ENRB) ENABLE RECEIVER A-SIDE (ENTA) ENABLE RECEIVERr B-SIDE (ENTB)

Figure 23. MAX247 Pin Configuration and Typical Operating Circuit

Page 49: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 33

400kΩ

+10V TO -10V VOLTAGE INVERTER

+5V TO +10V VOLTAGE DOUBLERVCC

400kΩ

GND

+5V

+5V

+5V

+5V

+5V

18

25

2423

2120

1

1µF

1µF

1µF1µF

1µF

14

3

16

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

ENTA

C2-C2+

C1-C1+

TA1OUT

TA1IN

TA3OUT

TA3IN

8 RA1IN

10 RA1OUT

7 RA2IN

11 RA2OUT

6 RA3IN

12 RA3OUT

5 RA4IN

13

19

RA4OUT

9

27

26

22

44

31

42

29

37

35

38

34

39

33

40

32

36ENRA

ENTB

V-

V+

TB1OUT

TB1IN

TB3OUT

TB3IN

RB1IN

RB1OUT

RB2IN

RB2OUT

RB3IN

RB3OUT

RB4IN

RB4OUT

ENRB

400kΩ

+5V2

15

TA2OUT

TA2IN

+5V43

30

TB2OUT

TB2IN

400kΩ

+5V4

17

TA4OUT

TA4IN

+5V41

28

TB4OUT

TB4IN

441234 404142435

21 24 2625 27 2822 2319 20

8

9

10

11

12

13

14

15

16

17 29

30

31

32

33

34

35

36

37

38

TA4IN

V CC

R A4IN

MAX248

PLCC

TOP VIEWT A

4OUT

T A3O

UT

T A2O

UT

T A1O

UT

T B1O

UT

T B2O

UT

T B3O

UT

T A4O

UT

R B4IN

GND V+C1+

C2+

C1- V-C2-

T B4IN

ENTB

RB2IN

RB1IN

RB1OUT

RB2OUT

RB3OUT

RB4OUT

TB1IN

TB2IN

TB3IN

TA3IN

TA2IN

TA1IN

RA4OUT

RA3OUT

RA2OUT

RA1OUT

ENRA

RA1IN

7 39 RB3INRA2IN

6

18

R A3IN

ENRB

ENTA

MAX248 FUNCTIONAL DESCRIPTION8 RECEIVERS 4 A-SIDE RECEIVERS 4 B-SIDE RECEIVERS8 TRANSMITTERS 4 A-SIDE TRANSMITTERS 4 B-SIDE TRANSMITTERS4 CONTROL PINS ENABLE RECEIVER A-SIDE (ENRA) ENABLE RECEIVER B-SIDE (ENRB) ENABLE RECEIVER A-SIDE (ENTA) ENABLE RECEIVER B-SIDE (ENTB)

Figure 24. MAX248 Pin Configuration and Typical Operating Circuit

Page 50: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

34 ______________________________________________________________________________________

400kΩ

+10V TO -10V VOLTAGE INVERTER

+5V TO +10V VOLTAGE DOUBLERVCC

400kΩ

400kΩ

GND

+5V

+5V

+5V

+5V

+5V

+5V

+5V

18

25

2423

2120

1

1µF

1µF

1µF1µF

15

2

16

3

17

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

5kΩ

ENTA

C2-C2+

C1-C1+

TA1OUT

TA1IN

TA2OUT

TA2IN

TA3OUT

TA3IN

8 RA1IN

10 RA1OUT

7 RA2IN

11 RA2OUT

6 RA3IN

12 RA3OUT

5 RA4IN

13 RA4OUT

4 RA5IN

14

19

RA5OUT

9

27

26

22

44

30

43

29

42

28

37

35

38

34

39

33

40

32

41

31

36ENRA

ENTB

V-

V+

TB1OUT

TB1IN

TB2OUT

TB2IN

TB3OUT

TB3IN

RB1IN

RB1OUT

RB2IN

RB2OUT

RB3IN

RB3OUT

RB4IN

RB4OUT

RB5IN

RB5OUT

ENRB

441234 404142435

21 24 2625 27 2822 2319 20

8

9

10

11

12

13

14

15

16

17 29

30

31

32

33

34

35

36

37

38

V CC

R A4IN

R A5IN

MAX249

PLCC

TOP VIEWT A

3OUT

T A2O

UT

T A1O

UT

T B1O

UT

T B2O

UT

T B3O

UT

R B4IN

R B5IN

GND V+C1+

C2+

C1- V-C2-

T B3IN

ENTB

RB2IN

RB1IN

RB1OUT

MAX249 FUNCTIONAL DESCRIPTION10 RECEIVERS 5 A-SIDE RECEIVERS 5 B-SIDE RECEIVERS6 TRANSMITTERS 3 A-SIDE TRANSMITTERS 3 B-SIDE TRANSMITTERS4 CONTROL PINS ENABLE RECEIVER A-SIDE (ENRA) ENABLE RECEIVER B-SIDE (ENRB) ENABLE RECEIVER A-SIDE (ENTA) ENABLE RECEIVER B-SIDE (ENTB)

RB2OUT

RB3OUT

RB4OUT

RB5OUT

TB1IN

TB2INTA3IN

TA2IN

TA1IN

RA4OUT

RA5OUT

RA3OUT

RA2OUT

RA1OUT

ENRA

RA1IN

7 39 RB3INRA2IN

6

18

R A3IN

ENRB

ENTA

1µF

Figure 25. MAX249 Pin Configuration and Typical Operating Circuit

Page 51: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers

______________________________________________________________________________________ 35

___________________________________________Ordering Information (continued)

PART

MAX222CPN 0°C to +70°C

TEMP RANGE PIN-PACKAGE PART TEMP RANGE PIN-PACKAGE

18 Plastic DIP

MAX222CWN 0°C to +70°C 18 Wide SO

MAX222C/D 0°C to +70°C Dice*

MAX222EPN -40°C to +85°C 18 Plastic DIP

MAX222EWN -40°C to +85°C 18 Wide SO

MAX222EJN -40°C to +85°C 18 CERDIP

MAX222MJN -55°C to +125°C 18 CERDIP

MAX223CAI 0°C to +70°C 28 SSOP

MAX223CWI 0°C to +70°C 28 Wide SO

MAX223C/D 0°C to +70°C Dice*

MAX223EAI -40°C to +85°C 28 SSOP

MAX223EWI -40°C to +85°C 28 Wide SO

MAX225CWI 0°C to +70°C 28 Wide SO

MAX225EWI -40°C to +85°C 28 Wide SO

MAX230CPP 0°C to +70°C 20 Plastic DIP

MAX230CWP 0°C to +70°C 20 Wide SO

MAX230C/D 0°C to +70°C Dice*

MAX230EPP -40°C to +85°C 20 Plastic DIP

MAX230EWP -40°C to +85°C 20 Wide SO

MAX230EJP -40°C to +85°C 20 CERDIP

MAX230MJP -55°C to +125°C 20 CERDIP

MAX231CPD 0°C to +70°C 14 Plastic DIP

MAX231CWE 0°C to +70°C 16 Wide SO

MAX231CJD 0°C to +70°C 14 CERDIP

MAX231C/D 0°C to +70°C Dice*

MAX231EPD -40°C to +85°C 14 Plastic DIP

MAX231EWE -40°C to +85°C 16 Wide SO

MAX231EJD -40°C to +85°C 14 CERDIP

MAX231MJD -55°C to +125°C 14 CERDIP

MAX232CPE 0°C to +70°C 16 Plastic DIP

MAX232CSE 0°C to +70°C 16 Narrow SO

MAX232CWE 0°C to +70°C 16 Wide SO

MAX232C/D 0°C to +70°C Dice*

MAX232EPE -40°C to +85°C 16 Plastic DIP

MAX232ESE -40°C to +85°C 16 Narrow SO

MAX232EWE -40°C to +85°C 16 Wide SO

MAX232EJE -40°C to +85°C 16 CERDIP

MAX232MJE -55°C to +125°C 16 CERDIP

MAX232MLP -55°C to +125°C 20 LCC

MAX232ACPE 0°C to +70°C 16 Plastic DIP

MAX232ACSE 0°C to +70°C 16 Narrow SO

MAX232ACWE 0°C to +70°C 16 Wide SO

MAX232AC/D

MAX232AEPE -40°C to +85°C 16 Plastic DIP

MAX232AESE

0°C to +70°C Dice*

-40°C to +85°C 16 Narrow SO

MAX232AEWE -40°C to +85°C 16 Wide SO

MAX232AEJE -40°C to +85°C 16 CERDIP

MAX232AMJE -55°C to +125°C 16 CERDIP

MAX232AMLP -55°C to +125°C 20 LCC

MAX233CPP 0°C to +70°C 20 Plastic DIP

MAX233EPP -40°C to +85°C 20 Plastic DIP

MAX233ACPP 0°C to +70°C 20 Plastic DIP

MAX233ACWP 0°C to +70°C 20 Wide SO

MAX233AEPP -40°C to +85°C 20 Plastic DIP

MAX233AEWP -40°C to +85°C 20 Wide SO

MAX234CPE 0°C to +70°C 16 Plastic DIP

MAX234CWE 0°C to +70°C 16 Wide SO

MAX234C/D 0°C to +70°C Dice*

MAX234EPE -40°C to +85°C 16 Plastic DIP

MAX234EWE -40°C to +85°C 16 Wide SO

MAX234EJE -40°C to +85°C 16 CERDIP

MAX234MJE -55°C to +125°C 16 CERDIP

MAX235CPG 0°C to +70°C 24 Wide Plastic DIP

MAX235EPG -40°C to +85°C 24 Wide Plastic DIP

MAX235EDG -40°C to +85°C 24 Ceramic SB

MAX235MDG -55°C to +125°C 24 Ceramic SB

MAX236CNG 0°C to +70°C 24 Narrow Plastic DIP

MAX236CWG 0°C to +70°C 24 Wide SO

MAX236C/D 0°C to +70°C Dice*

MAX236ENG -40°C to +85°C 24 Narrow Plastic DIP

MAX236EWG -40°C to +85°C 24 Wide SO

MAX236ERG -40°C to +85°C 24 Narrow CERDIP

MAX236MRG -55°C to +125°C 24 Narrow CERDIP

MAX237CNG 0°C to +70°C 24 Narrow Plastic DIP

MAX237CWG 0°C to +70°C 24 Wide SO

MAX237C/D 0°C to +70°C Dice*

MAX237ENG -40°C to +85°C 24 Narrow Plastic DIP

MAX237EWG -40°C to +85°C 24 Wide SO

MAX237ERG -40°C to +85°C 24 Narrow CERDIP

MAX237MRG -55°C to +125°C 24 Narrow CERDIP

MAX238CNG 0°C to +70°C 24 Narrow Plastic DIP

MAX238CWG 0°C to +70°C 24 Wide SO

MAX238C/D 0°C to +70°C Dice*

MAX238ENG -40°C to +85°C 24 Narrow Plastic DIP

* Contact factory for dice specifications.

Page 52: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

MA

X2

20

–MA

X2

49

+5V-Powered, Multichannel RS-232Drivers/Receivers___________________________________________Ordering Information (continued)

* Contact factory for dice specifications.

18 CERDIP-55°C to +125°CMAX242MJN

18 CERDIP-40°C to +85°CMAX242EJN

18 Wide SO-40°C to +85°CMAX242EWN

18 Plastic DIP-40°C to +85°CMAX242EPN

Dice*0°C to +70°CMAX242C/D

18 Wide SO0°C to +70°CMAX242CWN

18 Plastic DIP0°C to +70°CMAX242CPN

20 SSOP0°C to +70°CMAX242CAP

28 Wide SO-40°C to +85°CMAX241EWI

28 SSOP-40°C to +85°CMAX241EAI

Dice*0°C to +70°CMAX241C/D

28 Wide SO0°C to +70°CMAX241CWI

28 SSOP0°C to +70°CMAX241CAI

Dice*0°C to +70°CMAX240C/D

44 Plastic FP0°C to +70°CMAX240CMH

24 Narrow CERDIP-55°C to +125°CMAX239MRG

24 Narrow CERDIP-40°C to +85°CMAX239ERG

24 Wide SO-40°C to +85°CMAX239EWG

24 Narrow Plastic DIP-40°C to +85°CMAX239ENG

Dice*0°C to +70°CMAX239C/D

24 Wide SO0°C to +70°CMAX239CWG

24 Narrow Plastic DIP0°C to +70°CMAX239CNG

24 Narrow CERDIP-55°C to +125°C

24 Wide SO

PIN-PACKAGETEMP RANGE

-40°C to +85°C

MAX238MRG

24 Narrow CERDIP-40°C to +85°CMAX238ERG

MAX238EWG

PART PIN-PACKAGETEMP RANGEPART

44 PLCC-40°C to +85°CMAX249EQH

44 PLCC0°C to +70°CMAX249CQH

44 PLCC-40°C to +85°CMAX248EQH

Dice*0°C to +70°CMAX248C/D

44 PLCC0°C to +70°CMAX248CQH

40 Plastic DIP-40°C to +85°CMAX247EPL

Dice*0°C to +70°CMAX247C/D

40 Plastic DIP0°C to +70°CMAX247CPL

40 Plastic DIP-40°C to +85°CMAX246EPL

Dice*0°C to +70°CMAX246C/D

40 Plastic DIP0°C to +70°CMAX246CPL

40 Plastic DIP-40°C to +85°CMAX245EPL

Dice*0°C to +70°CMAX245C/D

40 Plastic DIP0°C to +70°CMAX245CPL

44 PLCC-40°C to +85°CMAX244EQH

Dice*0°C to +70°CMAX244C/D

44 PLCC0°C to +70°CMAX244CQH

16 CERDIP-55°C to +125°CMAX243MJE

16 CERDIP-40°C to +85°CMAX243EJE

16 Wide SO-40°C to +85°CMAX243EWE

16 Narrow SO-40°C to +85°CMAX243ESE

16 Plastic DIP-40°C to +85°CMAX243EPE

Dice*0°C to +70°CMAX243C/D

16 Wide SO0°C to +70°C

16 Plastic DIP0°C to +70°C

MAX243CWE

16 Narrow SO0°C to +70°CMAX243CSE

MAX243CPE

Maxim cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim product. No circuit patent licenses areimplied. Maxim reserves the right to change the circuitry and specifications without notice at any time.

36 __________________Maxim Integrated Products, 120 San Gabriel Drive, Sunnyvale, CA 94086 (408) 737-7600

© 2003 Maxim Integrated Products Printed USA is a registered trademark of Maxim Integrated Products.

Package InformationFor the latest package outline information, go towww.maxim-ic.com/packages.

Page 53: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X28/40-pin 8-Bit CMOS FLASH Microcontrollers

Devices Included in this Data Sheet:

Microcontroller Core Features:

• High-performance RISC CPU• Only 35 single word instructions to learn• All single cycle instructions except for program

branches which are two cycle• Operating speed: DC - 20 MHz clock input

DC - 200 ns instruction cycle• Up to 8K x 14 words of FLASH Program Memory,

Up to 368 x 8 bytes of Data Memory (RAM)Up to 256 x 8 bytes of EEPROM data memory

• Pinout compatible to the PIC16C73B/74B/76/77• Interrupt capability (up to 14 sources)

• Eight level deep hardware stack• Direct, indirect and relative addressing modes• Power-on Reset (POR)

• Power-up Timer (PWRT) andOscillator Start-up Timer (OST)

• Watchdog Timer (WDT) with its own on-chip RC oscillator for reliable operation

• Programmable code-protection• Power saving SLEEP mode• Selectable oscillator options

• Low-power, high-speed CMOS FLASH/EEPROM technology

• Fully static design• In-Circuit Serial Programming (ICSP) via two

pins• Single 5V In-Circuit Serial Programming capability• In-Circuit Debugging via two pins

• Processor read/write access to program memory• Wide operating voltage range: 2.0V to 5.5V• High Sink/Source Current: 25 mA

• Commercial and Industrial temperature ranges• Low-power consumption:

- < 2 mA typical @ 5V, 4 MHz

- 20 µA typical @ 3V, 32 kHz- < 1 µA typical standby current

Pin Diagram

Peripheral Features:

• Timer0: 8-bit timer/counter with 8-bit prescaler

• Timer1: 16-bit timer/counter with prescaler,can be incremented during sleep via external crystal/clock

• Timer2: 8-bit timer/counter with 8-bit periodregister, prescaler and postscaler

• Two Capture, Compare, PWM modules

- Capture is 16-bit, max. resolution is 12.5 ns- Compare is 16-bit, max. resolution is 200 ns- PWM max. resolution is 10-bit

• 10-bit multi-channel Analog-to-Digital converter• Synchronous Serial Port (SSP) with SPI (Master

Mode) and I2C (Master/Slave)• Universal Synchronous Asynchronous Receiver

Transmitter (USART/SCI) with 9-bit address detection

• Parallel Slave Port (PSP) 8-bits wide, withexternal RD, WR and CS controls (40/44-pin only)

• Brown-out detection circuitry forBrown-out Reset (BOR)

• PIC16F873• PIC16F874

• PIC16F876• PIC16F877

RB7/PGDRB6/PGC

RB5

RB4RB3/PGM

RB2

RB1

RB0/INT

VDD

VSS

RD7/PSP7

RD6/PSP6RD5/PSP5

RD4/PSP4

RC7/RX/DT

RC6/TX/CK

RC5/SDO

RC4/SDI/SDARD3/PSP3

RD2/PSP2

MCLR/VPP/THVRA0/AN0

RA1/AN1RA2/AN2/VREF-

RA3/AN3/VREF+

RA4/T0CKI

RA5/AN4/SS

RE0/RD/AN5

RE1/WR/AN6

RE2/CS/AN7VDD

VSS

OSC1/CLKIN

OSC2/CLKOUT

RC0/T1OSO/T1CKI

RC1/T1OSI/CCP2

RC2/CCP1

RC3/SCK/SCLRD0/PSP0

RD1/PSP1

1

2

3

4

5

6

7

8

910

11

12

13

14

15

16

17

18

1920

40

39

38

37

36

35

34

33

3231

30

2928

27

26

25

24

23

2221

PIC

16F

877/

874

PDIP

1999 Microchip Technology Inc. DS30292B-page 1

Page 54: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Pin Diagrams

PIC

16F

876/

873

1011

23456

1

87

9

121314 15

1617181920

232425262728

2221

MCLR/VPP/THVRA0/AN0RA1/AN1

RA2/AN2/VREF-RA3/AN3/VREF+

RA4/T0CKIRA5/AN4/SS

VSS

OSC1/CLKINOSC2/CLKOUT

RC0/T1OSO/T1CKIRC1/T1OSI/CCP2

RC2/CCP1RC3/SCK/SCL

RB7/PGDRB6/PGCRB5RB4RB3/PGMRB2RB1RB0/INTVDD

VSS

RC7/RX/DTRC6/TX/CKRC5/SDORC4/SDI/SDA

1011121314151617

18 19 20 21 22 23 24 25 26

44

87

6 5 4 3 2 1

27 28

293031323334353637383940414243

9

PIC16F877

RA4/T0CKIRA5/AN4/SSRE0/RD/AN5

OSC1/CLKINOSC2/CLKOUT

RC0/T1OSO/T1CK1NC

RE1/WR/AN6RE2/CS/AN7

VDDVSS

RB3/PGMRB2RB1RB0/INTVDDVSSRD7/PSP7RD6/PSP6RD5/PSP5RD4/PSP4RC7/RX/DT

RA

3/A

N3/

VR

EF+

RA

2/A

N2/

VR

EF-

RA

1/A

N1

RA

0/A

N0

MC

LR/V

PP/T

HV

NC

RB

7/P

GD

RB

6/P

GC

RB

5R

B4

NC

NC

RC

6/T

X/C

KR

C5/

SD

OR

C4/

SD

I/SD

AR

D3/

PS

P3

RD

2/P

SP

2R

D1/

PS

P1

RD

0/P

SP

0R

C3/

SC

K/S

CL

RC

2/C

CP

1R

C1/

T1O

SI/C

CP

2

1011

23456

1

18 19 20 21 2212 13 14 15

38

87

44 43 42 41 40 3916 17

2930313233

232425262728

36 3435

9

PIC16F877

37

RA

3/A

N3/

VR

EF+

RA

2/A

N2/

VR

EF-

RA

1/A

N1

RA

0/A

N0

MC

LR/V

PP/T

HV

NC

RB

7/P

GD

RB

6/P

GC

RB

5R

B4

NC

RC

6/T

X/C

KR

C5/

SD

OR

C4/

SD

I/SD

AR

D3/

PS

P3

RD

2/P

SP

2R

D1/

PS

P1

RD

0/P

SP

0R

C3/

SC

K/S

CL

RC

2/C

CP

1R

C1/

T1O

SI/C

CP

2N

C

NCRC0/T1OSO/T1CKIOSC2/CLKOUTOSC1/CLKINVSS

VDD

RE2/AN7/CSRE1/AN6/WRRE0/AN5/RDRA5/AN4/SSRA4/T0CKI

RC7/RX/DTRD4/PSP4RD5/PSP5RD6/PSP6RD7/PSP7

VSS

VDD

RB0/INTRB1RB2

RB3/PGM

PLCC

QFP

DIP, SOIC

PIC16F874

PIC16F874

DS30292B-page 2 1999 Microchip Technology Inc.

Page 55: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Key FeaturesPICmicro™ Mid-Range Reference

Manual (DS33023)PIC16F873 PIC16F874 PIC16F876 PIC16F877

Operating Frequency DC - 20 MHz DC - 20 MHz DC - 20 MHz DC - 20 MHz

Resets (and Delays) POR, BOR (PWRT, OST)

POR, BOR (PWRT, OST)

POR, BOR (PWRT, OST)

POR, BOR (PWRT, OST)

FLASH Program Memory (14-bit words)

4K 4K 8K 8K

Data Memory (bytes) 192 192 368 368

EEPROM Data Memory 128 128 256 256

Interrupts 13 14 13 14

I/O Ports Ports A,B,C Ports A,B,C,D,E Ports A,B,C Ports A,B,C,D,E

Timers 3 3 3 3

Capture/Compare/PWM modules 2 2 2 2

Serial Communications MSSP, USART MSSP, USART MSSP, USART MSSP, USART

Parallel Communications — PSP — PSP

10-bit Analog-to-Digital Module 5 input channels 8 input channels 5 input channels 8 input channels

Instruction Set 35 Instructions 35 Instructions 35 Instructions 35 Instructions

1999 Microchip Technology Inc. DS30292B-page 3

Page 56: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Table of Contents1.0 Device Overview ........................................................................................................................................................................... 52.0 Memory Organization.................................................................................................................................................................. 113.0 I/O Ports ...................................................................................................................................................................................... 294.0 Data EEPROM and FLASH Program Memory ........................................................................................................................... 415.0 Timer0 Module ............................................................................................................................................................................ 476.0 Timer1 Module ............................................................................................................................................................................ 517.0 Timer2 Module ........................................................................................................................................................................... 558.0 Capture/Compare/PWM (CCP) Module(s).................................................................................................................................. 579.0 Master Synchronous Serial Port (MSSP) Module ....................................................................................................................... 6310.0 Universal Synchronous Asynchronous Receiver Transmitter (USART) ..................................................................................... 9511.0 Analog-to-Digital Converter (A/D) Module................................................................................................................................. 11112.0 Special Features of the CPU..................................................................................................................................................... 12113.0 Instruction Set Summary........................................................................................................................................................... 13714.0 Development Support ............................................................................................................................................................... 14515.0 Electrical Characteristics........................................................................................................................................................... 15116.0 DC and AC Characteristics Graphs and Tables........................................................................................................................ 17317.0 Packaging Information .............................................................................................................................................................. 175Appendix A: Revision History......................................................................................................................................................... 183Appendix B: Device Differences..................................................................................................................................................... 183Appendix C: Conversion Considerations........................................................................................................................................ 183Index ................................................................................................................................................................................... 185On-Line Support................................................................................................................................................................................. 191Product Identification System............................................................................................................................................................. 193

To Our Valued CustomersMost Current Data SheetTo obtain the most up-to-date version of this data sheet, please register at our Worldwide Web site at:

http://www.microchip.com

You can determine the version of a data sheet by examining its literature number found on the bottom outside corner of any page.The last character of the literature number is the version number. e.g., DS30000A is version A of document DS30000.

New Customer Notification SystemRegister on our web site (www.microchip.com/cn) to receive the most current information on our products.

ErrataAn errata sheet may exist for current devices, describing minor operational differences (from the data sheet) and recommendedworkarounds. As device/documentation issues become known to us, we will publish an errata sheet. The errata will specify the revi-sion of silicon and revision of document to which it applies.

To determine if an errata sheet exists for a particular device, please check with one of the following:

• Microchip’s Worldwide Web site; http://www.microchip.com• Your local Microchip sales office (see last page)• The Microchip Corporate Literature Center; U.S. FAX: (480) 786-7277

When contacting a sales office or the literature center, please specify which device, revision of silicon and data sheet (include liter-ature number) you are using.

Corrections to this Data SheetWe constantly strive to improve the quality of all our products and documentation. We have spent a great deal of time to ensurethat this document is correct. However, we realize that we may have missed a few things. If you find any information that is missingor appears in error, please:

• Fill out and mail in the reader response form in the back of this data sheet.• E-mail us at [email protected].

We appreciate your assistance in making this a better document.

DS30292B-page 4 1999 Microchip Technology Inc.

Page 57: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

1.0 DEVICE OVERVIEWThis document contains device-specific information.Additional information may be found in the PICmicro™Mid-Range Reference Manual, (DS33023), which maybe obtained from your local Microchip Sales Represen-tative or downloaded from the Microchip website. TheReference Manual should be considered a comple-mentary document to this data sheet, and is highly rec-ommended reading for a better understanding of thedevice architecture and operation of the peripheralmodules.

There are four devices (PIC16F873, PIC16F874,PIC16F876 and PIC16F877) covered by this datasheet. The PIC16F876/873 devices come in 28-pinpackages and the PIC16F877/874 devices come in 40-pin packages. The 28-pin devices do not have a Paral-lel Slave Port implemented.

The following two figures are device block diagramssorted by pin number; 28-pin for Figure 1-1 and 40-pinfor Figure 1-2. The 28-pin and 40-pin pinouts are listedin Table 1-1 and Table 1-2, respectively.

FIGURE 1-1: PIC16F873 AND PIC16F876 BLOCK DIAGRAM

FLASHProgramMemory

13 Data Bus 8

14ProgramBus

Instruction reg

Program Counter

8 Level Stack(13-bit)

RAMFile

Registers

Direct Addr 7

RAM Addr (1) 9

Addr MUX

IndirectAddr

FSR reg

STATUS reg

MUX

ALU

W reg

Power-upTimer

OscillatorStart-up Timer

Power-onReset

WatchdogTimer

InstructionDecode &

Control

TimingGeneration

OSC1/CLKINOSC2/CLKOUT

MCLR VDD, VSS

PORTA

PORTB

PORTC

RA4/T0CKIRA5/AN4/SS

RB0/INT

RC0/T1OSO/T1CKIRC1/T1OSI/CCP2RC2/CCP1RC3/SCK/SCLRC4/SDI/SDARC5/SDORC6/TX/CKRC7/RX/DT

8

8

Brown-outReset

Note 1: Higher order bits are from the STATUS register.

USARTCCP1,2Synchronous

10-bit A/DTimer0 Timer1 Timer2

Serial Port

RA3/AN3/VREF+RA2/AN2/VREF-RA1/AN1RA0/AN0

8

3

Data EEPROM

RB1RB2RB3/PGMRB4RB5RB6/PGCRB7/PGD

Device Program FLASH

Data Memory Data EEPROM

PIC16F873 4K 192 Bytes 128 Bytes

PIC16F876 8K 368 Bytes 256 Bytes

In-CircuitDebugger

Low-VoltageProgramming

1999 Microchip Technology Inc. DS30292B-page 5

Page 58: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 1-2: PIC16F874 AND PIC16F877 BLOCK DIAGRAM

FLASH

ProgramMemory

13 Data Bus 8

14ProgramBus

Instruction reg

Program Counter

8 Level Stack(13-bit)

RAMFile

Registers

Direct Addr 7

RAM Addr (1) 9

Addr MUX

IndirectAddr

FSR reg

STATUS reg

MUX

ALU

W reg

Power-upTimer

OscillatorStart-up Timer

Power-onReset

WatchdogTimer

InstructionDecode &

Control

TimingGeneration

OSC1/CLKINOSC2/CLKOUT

MCLR VDD, VSS

PORTA

PORTB

PORTC

PORTD

PORTE

RA4/T0CKIRA5/AN4/SS

RC0/T1OSO/T1CKIRC1/T1OSI/CCP2RC2/CCP1RC3/SCK/SCLRC4/SDI/SDARC5/SDORC6/TX/CKRC7/RX/DT

RD7/PSP7:RD0/PSP0

RE0/AN5/RD

RE1/AN6/WR

RE2/AN7/CS

8

8

Brown-outReset

Note 1: Higher order bits are from the STATUS register.

USARTCCP1,2Synchronous

10-bit A/DTimer0 Timer1 Timer2

Serial Port

RA3/AN3/VREF+RA2/AN2/VREF-RA1/AN1RA0/AN0

Parallel Slave Port

8

3

Data EEPROM

RB0/INTRB1RB2RB3/PGMRB4RB5RB6/PGCRB7/PGD

Device Program FLASH

Data Memory Data EEPROM

PIC16F874 4K 192 Bytes 128 Bytes

PIC16F877 8K 368 Bytes 256 Bytes

In-CircuitDebugger

Low-VoltageProgramming

DS30292B-page 6 1999 Microchip Technology Inc.

Page 59: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 1-1: PIC16F873 AND PIC16F876 PINOUT DESCRIPTION

Pin NameDIPPin#

SOICPin#

I/O/PType

BufferType

Description

OSC1/CLKIN 9 9 I ST/CMOS(3) Oscillator crystal input/external clock source input.

OSC2/CLKOUT 10 10 O — Oscillator crystal output. Connects to crystal or resonator in crystal oscillator mode. In RC mode, the OSC2 pin outputs CLKOUT which has 1/4 the frequency of OSC1, and denotes the instruction cycle rate.

MCLR/VPP/THV 1 1 I/P ST Master clear (reset) input or programming voltage input or high voltage test mode control. This pin is an active low reset to the device.

PORTA is a bi-directional I/O port.

RA0/AN0 2 2 I/O TTL RA0 can also be analog input0

RA1/AN1 3 3 I/O TTL RA1 can also be analog input1

RA2/AN2/VREF- 4 4 I/O TTL RA2 can also be analog input2 or negative analog referencevoltage

RA3/AN3/VREF+ 5 5 I/O TTL RA3 can also be analog input3 or positive analog referencevoltage

RA4/T0CKI 6 6 I/O ST RA4 can also be the clock input to the Timer0 module. Outputis open drain type.

RA5/SS/AN4 7 7 I/O TTL RA5 can also be analog input4 or the slave select for the synchronous serial port.

PORTB is a bi-directional I/O port. PORTB can be software programmed for internal weak pull-up on all inputs.

RB0/INT 21 21 I/O TTL/ST(1) RB0 can also be the external interrupt pin.

RB1 22 22 I/O TTL

RB2 23 23 I/O TTL

RB3/PGM 24 24 I/O TTL RB3 can also be the low voltage programming input

RB4 25 25 I/O TTL Interrupt on change pin.

RB5 26 26 I/O TTL Interrupt on change pin.

RB6/PGC 27 27 I/O TTL/ST(2) Interrupt on change pin or In-Circuit Debugger pin. Serial programming clock.

RB7/PGD 28 28 I/O TTL/ST(2) Interrupt on change pin or In-Circuit Debugger pin. Serial programming data.

PORTC is a bi-directional I/O port.

RC0/T1OSO/T1CKI 11 11 I/O ST RC0 can also be the Timer1 oscillator output or Timer1 clockinput.

RC1/T1OSI/CCP2 12 12 I/O ST RC1 can also be the Timer1 oscillator input or Capture2 input/Compare2 output/PWM2 output.

RC2/CCP1 13 13 I/O ST RC2 can also be the Capture1 input/Compare1 output/PWM1output.

RC3/SCK/SCL 14 14 I/O ST RC3 can also be the synchronous serial clock input/output forboth SPI and I2C modes.

RC4/SDI/SDA 15 15 I/O ST RC4 can also be the SPI Data In (SPI mode) or data I/O (I2C mode).

RC5/SDO 16 16 I/O ST RC5 can also be the SPI Data Out (SPI mode).

RC6/TX/CK 17 17 I/O ST RC6 can also be the USART Asynchronous Transmit or Synchronous Clock.

RC7/RX/DT 18 18 I/O ST RC7 can also be the USART Asynchronous Receive or Synchronous Data.

VSS 8, 19 8, 19 P — Ground reference for logic and I/O pins.

VDD 20 20 P — Positive supply for logic and I/O pins.

Legend: I = input O = output I/O = input/output P = power— = Not used TTL = TTL input ST = Schmitt Trigger input

Note 1: This buffer is a Schmitt Trigger input when configured as the external interrupt.2: This buffer is a Schmitt Trigger input when used in serial programming mode.3: This buffer is a Schmitt Trigger input when configured in RC oscillator mode and a CMOS input otherwise.

1999 Microchip Technology Inc. DS30292B-page 7

Page 60: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 1-2: PIC16F874 AND PIC16F877 PINOUT DESCRIPTION

Pin NameDIPPin#

PLCCPin#

QFPPin#

I/O/PType

BufferType

Description

OSC1/CLKIN 13 14 30 I ST/CMOS(4) Oscillator crystal input/external clock source input.

OSC2/CLKOUT 14 15 31 O — Oscillator crystal output. Connects to crystal or resonator in crystal oscillator mode. In RC mode, OSC2 pin outputs CLK-OUT which has 1/4 the frequency of OSC1, and denotes the instruction cycle rate.

MCLR/VPP/THV 1 2 18 I/P ST Master clear (reset) input or programming voltage input or high voltage test mode control. This pin is an active low reset to the device.

PORTA is a bi-directional I/O port.

RA0/AN0 2 3 19 I/O TTL RA0 can also be analog input0

RA1/AN1 3 4 20 I/O TTL RA1 can also be analog input1

RA2/AN2/VREF- 4 5 21 I/O TTL RA2 can also be analog input2 or negative analog reference voltage

RA3/AN3/VREF+ 5 6 22 I/O TTL RA3 can also be analog input3 or positive analog reference voltage

RA4/T0CKI 6 7 23 I/O ST RA4 can also be the clock input to the Timer0 timer/counter. Output is open drain type.

RA5/SS/AN4 7 8 24 I/O TTL RA5 can also be analog input4 or the slave select for thesynchronous serial port.

PORTB is a bi-directional I/O port. PORTB can be software programmed for internal weak pull-up on all inputs.

RB0/INT 33 36 8 I/O TTL/ST(1) RB0 can also be the external interrupt pin.

RB1 34 37 9 I/O TTL

RB2 35 38 10 I/O TTL

RB3/PGM 36 39 11 I/O TTL RB3 can also be the low voltage programming input

RB4 37 41 14 I/O TTL Interrupt on change pin.

RB5 38 42 15 I/O TTL Interrupt on change pin.

RB6/PGC 39 43 16 I/O TTL/ST(2) Interrupt on change pin or In-Circuit Debugger pin. Serialprogramming clock.

RB7/PGD 40 44 17 I/O TTL/ST(2) Interrupt on change pin or In-Circuit Debugger pin. Serialprogramming data.

PORTC is a bi-directional I/O port.

RC0/T1OSO/T1CKI 15 16 32 I/O ST RC0 can also be the Timer1 oscillator output or a Timer1clock input.

RC1/T1OSI/CCP2 16 18 35 I/O ST RC1 can also be the Timer1 oscillator input or Capture2input/Compare2 output/PWM2 output.

RC2/CCP1 17 19 36 I/O ST RC2 can also be the Capture1 input/Compare1 output/PWM1 output.

RC3/SCK/SCL 18 20 37 I/O ST RC3 can also be the synchronous serial clock input/outputfor both SPI and I2C modes.

RC4/SDI/SDA 23 25 42 I/O ST RC4 can also be the SPI Data In (SPI mode) or data I/O (I2C mode).

RC5/SDO 24 26 43 I/O ST RC5 can also be the SPI Data Out (SPI mode).

RC6/TX/CK 25 27 44 I/O ST RC6 can also be the USART Asynchronous Transmit orSynchronous Clock.

RC7/RX/DT 26 29 1 I/O ST RC7 can also be the USART Asynchronous Receive orSynchronous Data.

Legend: I = input O = output I/O = input/output P = power— = Not used TTL = TTL input ST = Schmitt Trigger input

Note 1: This buffer is a Schmitt Trigger input when configured as an external interrupt.2: This buffer is a Schmitt Trigger input when used in serial programming mode.3: This buffer is a Schmitt Trigger input when configured as general purpose I/O and a TTL input when used in the Parallel Slave

Port mode (for interfacing to a microprocessor bus).4: This buffer is a Schmitt Trigger input when configured in RC oscillator mode and a CMOS input otherwise.

DS30292B-page 8 1999 Microchip Technology Inc.

Page 61: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

PORTD is a bi-directional I/O port or parallel slave port when interfacing to a microprocessor bus.

RD0/PSP0 19 21 38 I/O ST/TTL(3)

RD1/PSP1 20 22 39 I/O ST/TTL(3)

RD2/PSP2 21 23 40 I/O ST/TTL(3)

RD3/PSP3 22 24 41 I/O ST/TTL(3)

RD4/PSP4 27 30 2 I/O ST/TTL(3)

RD5/PSP5 28 31 3 I/O ST/TTL(3)

RD6/PSP6 29 32 4 I/O ST/TTL(3)

RD7/PSP7 30 33 5 I/O ST/TTL(3)

PORTE is a bi-directional I/O port.

RE0/RD/AN5 8 9 25 I/O ST/TTL(3) RE0 can also be read control for the parallel slave port, oranalog input5.

RE1/WR/AN6 9 10 26 I/O ST/TTL(3) RE1 can also be write control for the parallel slave port, oranalog input6.

RE2/CS/AN7 10 11 27 I/O ST/TTL(3) RE2 can also be select control for the parallel slave port,or analog input7.

VSS 12,31 13,34 6,29 P — Ground reference for logic and I/O pins.

VDD 11,32 12,35 7,28 P — Positive supply for logic and I/O pins.

NC — 1,17,28,40

12,13,33,34

— These pins are not internally connected. These pins should be left unconnected.

TABLE 1-2: PIC16F874 AND PIC16F877 PINOUT DESCRIPTION (CONTINUED)

Pin NameDIPPin#

PLCCPin#

QFPPin#

I/O/PType

BufferType

Description

Legend: I = input O = output I/O = input/output P = power— = Not used TTL = TTL input ST = Schmitt Trigger input

Note 1: This buffer is a Schmitt Trigger input when configured as an external interrupt.2: This buffer is a Schmitt Trigger input when used in serial programming mode.3: This buffer is a Schmitt Trigger input when configured as general purpose I/O and a TTL input when used in the Parallel Slave

Port mode (for interfacing to a microprocessor bus).4: This buffer is a Schmitt Trigger input when configured in RC oscillator mode and a CMOS input otherwise.

1999 Microchip Technology Inc. DS30292B-page 9

Page 62: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 10 1999 Microchip Technology Inc.

Page 63: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.0 MEMORY ORGANIZATIONThere are three memory blocks in each of thesePICmicro MCUs. The Program Memory and DataMemory have separate buses so that concurrentaccess can occur and is detailed in this section. TheEEPROM data memory block is detailed inSection 4.0.

Additional information on device memory may be foundin the PICmicro Mid-Range Reference Manual,(DS33023).

2.1 Program Memory Organization

The PIC16F87X devices have a 13-bit program countercapable of addressing an 8K x 14 program memoryspace. The PIC16F877/876 devices have 8K x 14words of FLASH program memory and the PIC16F873/874 devices have 4K x 14. Accessing a location abovethe physically implemented address will cause a wrap-around.

The reset vector is at 0000h and the interrupt vector isat 0004h.

FIGURE 2-1: PIC16F877/876 PROGRAM MEMORY MAP AND STACK

FIGURE 2-2: PIC16F874/873 PROGRAM MEMORY MAP AND STACK

PC<12:0>

13

0000h

0004h

0005h

Stack Level 1

Stack Level 8

Reset Vector

Interrupt Vector

On-Chip

CALL, RETURNRETFIE, RETLW

1FFFh

Stack Level 2

Program

Memory

Page 0

Page 1

Page 2

Page 3

07FFh

0800h

0FFFh

1000h

17FFh

1800h

PC<12:0>

13

0000h

0004h

0005h

Stack Level 1

Stack Level 8

Reset Vector

Interrupt Vector

On-Chip

CALL, RETURNRETFIE, RETLW

1FFFh

Stack Level 2

Program

Memory

Page 0

Page 1

07FFh

0800h

0FFFh

1000h

1999 Microchip Technology Inc. DS30292B-page 11

Page 64: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2 Data Memory Organization

The data memory is partitioned into multiple bankswhich contain the General Purpose Registers and theSpecial Function Registers. Bits RP1(STATUS<6>) andRP0 (STATUS<5>) are the bank select bits.

Each bank extends up to 7Fh (128 bytes). The lowerlocations of each bank are reserved for the SpecialFunction Registers. Above the Special Function Regis-ters are General Purpose Registers, implemented asstatic RAM. All implemented banks contain SpecialFunction Registers. Some “high use” Special FunctionRegisters from one bank may be mirrored in anotherbank for code reduction and quicker access.

2.2.1 GENERAL PURPOSE REGISTER FILE

The register file can be accessed either directly, or indi-rectly through the File Select Register FSR.

RP1:RP0 Bank

00 0

01 1

10 2

11 3

Note: EEPROM Data Memory description can befound in Section 4.0 of this Data Sheet

DS30292B-page 12 1999 Microchip Technology Inc.

Page 65: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 2-3: PIC16F877/876 REGISTER FILE MAP

Indirect addr.(*)

TMR0PCL

STATUSFSR

PORTAPORTBPORTC

PCLATHINTCON

PIR1

TMR1LTMR1HT1CONTMR2

T2CONSSPBUFSSPCONCCPR1LCCPR1H

CCP1CON

OPTION_REGPCL

STATUSFSR

TRISATRISBTRISC

PCLATHINTCON

PIE1

PCON

PR2SSPADDSSPSTAT

00h01h02h03h04h05h06h07h08h09h0Ah0Bh0Ch0Dh0Eh0Fh10h11h12h13h14h15h16h17h18h19h1Ah1Bh1Ch1Dh1Eh1Fh

80h81h82h83h84h85h86h87h88h89h8Ah8Bh8Ch8Dh8Eh8Fh90h91h92h93h94h95h96h97h98h99h9Ah9Bh9Ch9Dh9Eh9Fh

20h A0h

7Fh FFhBank 0 Bank 1

Unimplemented data memory locations, read as ’0’. * Not a physical register.

Note 1: These registers are not implemented on 28-pin devices.2: These registers are reserved, maintain these registers clear.

FileAddress

Indirect addr.(*) Indirect addr.(*)

PCLSTATUS

FSR

PCLATHINTCON

PCLSTATUS

FSR

PCLATHINTCON

100h101h102h103h104h105h106h107h108h109h10Ah10Bh10Ch10Dh10Eh10Fh110h111h112h113h114h115h116h117h118h119h11Ah11Bh11Ch11Dh11Eh11Fh

180h181h182h183h184h185h186h187h188h189h18Ah18Bh18Ch18Dh18Eh18Fh190h191h192h193h194h195h196h197h198h199h19Ah19Bh19Ch19Dh19Eh19Fh

120h 1A0h

17Fh 1FFhBank 2 Bank 3

Indirect addr.(*)

PORTDPORTE

TRISD

ADRESL

TRISE

TMR0 OPTION_REG

PIR2 PIE2

RCSTATXREGRCREGCCPR2LCCPR2H

CCP2CONADRESHADCON0

TXSTASPBRG

ADCON1

GeneralPurposeRegister

GeneralPurposeRegister

GeneralPurposeRegister

GeneralPurposeRegister

1EFh1F0haccesses

70h - 7Fh

EFhF0haccesses

70h-7Fh

16Fh170haccesses

70h-7Fh

GeneralPurposeRegister

GeneralPurposeRegister

TRISBPORTB

96 Bytes80 Bytes 80 Bytes 80 Bytes

16 Bytes 16 Bytes

(1)

(1)

(1)

(1)

SSPCON2

EEDATAEEADR

EECON1EECON2

EEDATHEEADRH

Reserved(2)

Reserved(2)

1999 Microchip Technology Inc. DS30292B-page 13

Page 66: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 2-4: PIC16F874/873 REGISTER FILE MAP

Indirect addr.(*)

TMR0PCL

STATUSFSR

PORTAPORTBPORTC

PCLATHINTCON

PIR1

TMR1LTMR1HT1CONTMR2

T2CONSSPBUFSSPCONCCPR1LCCPR1H

CCP1CON

OPTION_REGPCL

STATUSFSR

TRISATRISBTRISC

PCLATHINTCON

PIE1

PCON

PR2SSPADDSSPSTAT

00h01h02h03h04h05h06h07h08h09h0Ah0Bh0Ch0Dh0Eh0Fh10h11h12h13h14h15h16h17h18h19h1Ah1Bh1Ch1Dh1Eh1Fh

80h81h82h83h84h85h86h87h88h89h8Ah8Bh8Ch8Dh8Eh8Fh90h91h92h93h94h95h96h97h98h99h9Ah9Bh9Ch9Dh9Eh9Fh

20h A0h

7Fh FFhBank 0 Bank 1

FileAddress

Indirect addr.(*) Indirect addr.(*)

PCLSTATUS

FSR

PCLATHINTCON

PCLSTATUS

FSR

PCLATHINTCON

100h101h102h103h104h105h106h107h108h109h10Ah10Bh

180h181h182h183h184h185h186h187h188h189h18Ah18Bh

17Fh 1FFhBank 2 Bank 3

Indirect addr.(*)

PORTDPORTE

TRISD

ADRESL

TRISE

TMR0 OPTION_REG

PIR2 PIE2

RCSTATXREGRCREGCCPR2LCCPR2H

CCP2CONADRESHADCON0

TXSTASPBRG

ADCON1

GeneralPurposeRegister

GeneralPurposeRegister

1EFh1F0h

accessesA0h - FFh

16Fh170h

accesses20h-7Fh

TRISBPORTB

96 Bytes 96 Bytes

(1)

(1)

(1)

(1)

SSPCON2

10Ch10Dh10Eh10Fh110h

18Ch18Dh18Eh18Fh190h

EEDATAEEADR

EECON1EECON2

EEDATHEEADRH

Reserved(2)

Reserved(2)

Unimplemented data memory locations, read as ’0’. * Not a physical register.

Note 1: These registers are not implemented on 28-pin devices.2: These registers are reserved, maintain these registers clear.

120h 1A0h

DS30292B-page 14 1999 Microchip Technology Inc.

Page 67: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2 SPECIAL FUNCTION REGISTERS

The Special Function Registers are registers used bythe CPU and peripheral modules for controlling thedesired operation of the device. These registers areimplemented as static RAM. A list of these registers isgiven in Table 2-1.

The Special Function Registers can be classified intotwo sets; core (CPU) and peripheral. Those registersassociated with the core functions are described indetail in this section. Those related to the operation ofthe peripheral features are described in detail in theperipheral feature section.

TABLE 2-1: SPECIAL FUNCTION REGISTER SUMMARY

Address

Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

(2)

Bank 0

00h(4) INDF Addressing this location uses contents of FSR to address data memory (not a physical register) 0000 0000 0000 0000

01h TMR0 Timer0 module’s register xxxx xxxx uuuu uuuu

02h(4) PCL Program Counter's (PC) Least Significant Byte 0000 0000 0000 0000

03h(4) STATUS IRP RP1 RP0 TO PD Z DC C 0001 1xxx 000q quuu

04h(4) FSR Indirect data memory address pointer xxxx xxxx uuuu uuuu

05h PORTA — — PORTA Data Latch when written: PORTA pins when read --0x 0000 --0u 0000

06h PORTB PORTB Data Latch when written: PORTB pins when read xxxx xxxx uuuu uuuu

07h PORTC PORTC Data Latch when written: PORTC pins when read xxxx xxxx uuuu uuuu

08h(5) PORTD PORTD Data Latch when written: PORTD pins when read xxxx xxxx uuuu uuuu

09h(5) PORTE — — — — — RE2 RE1 RE0 ---- -xxx ---- -uuu

0Ah(1,4) PCLATH — — — Write Buffer for the upper 5 bits of the Program Counter ---0 0000 ---0 0000

0Bh(4) INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(3) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

0Dh PIR2 — (6) — EEIF BCLIF — — CCP2IF -r-0 0--0 -r-0 0--0

0Eh TMR1L Holding register for the Least Significant Byte of the 16-bit TMR1 register xxxx xxxx uuuu uuuu

0Fh TMR1H Holding register for the Most Significant Byte of the 16-bit TMR1 register xxxx xxxx uuuu uuuu

10h T1CON — — T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON --00 0000 --uu uuuu

11h TMR2 Timer2 module’s register 0000 0000 0000 0000

12h T2CON — TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0 -000 0000 -000 0000

13h SSPBUF Synchronous Serial Port Receive Buffer/Transmit Register xxxx xxxx uuuu uuuu

14h SSPCON WCOL SSPOV SSPEN CKP SSPM3 SSPM2 SSPM1 SSPM0 0000 0000 0000 0000

15h CCPR1L Capture/Compare/PWM Register1 (LSB) xxxx xxxx uuuu uuuu

16h CCPR1H Capture/Compare/PWM Register1 (MSB) xxxx xxxx uuuu uuuu

17h CCP1CON — — CCP1X CCP1Y CCP1M3 CCP1M2 CCP1M1 CCP1M0 --00 0000 --00 0000

18h RCSTA SPEN RX9 SREN CREN ADDEN FERR OERR RX9D 0000 000x 0000 000x

19h TXREG USART Transmit Data Register 0000 0000 0000 0000

1Ah RCREG USART Receive Data Register 0000 0000 0000 0000

1Bh CCPR2L Capture/Compare/PWM Register2 (LSB) xxxx xxxx uuuu uuuu

1Ch CCPR2H Capture/Compare/PWM Register2 (MSB) xxxx xxxx uuuu uuuu

1Dh CCP2CON — — CCP2X CCP2Y CCP2M3 CCP2M2 CCP2M1 CCP2M0 --00 0000 --00 0000

1Eh ADRESH A/D Result Register High Byte xxxx xxxx uuuu uuuu

1Fh ADCON0 ADCS1 ADCS0 CHS2 CHS1 CHS0 GO/DONE

— ADON 0000 00-0 0000 00-0

Legend: x = unknown, u = unchanged, q = value depends on condition, - = unimplemented read as ’0’, r = reserved. Shaded locations are unimplemented, read as ‘0’.

Note 1: The upper byte of the program counter is not directly accessible. PCLATH is a holding register for the PC<12:8> whose contents are transferred to the upper byte of the program counter.

2: Other (non power-up) resets include external reset through MCLR and Watchdog Timer Reset.3: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.4: These registers can be addressed from any bank.5: PORTD, PORTE, TRISD, and TRISE are not physically implemented on the 28-pin devices, read as ‘0’.6: PIR2<6> and PIE2<6> are reserved on these devices; always maintain these bits clear.

1999 Microchip Technology Inc. DS30292B-page 15

Page 68: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Bank 1

80h(4) INDF Addressing this location uses contents of FSR to address data memory (not a physical register) 0000 0000 0000 0000

81hOPTION_REG RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0 1111 1111 1111 1111

82h(4) PCL Program Counter’s (PC) Least Significant Byte 0000 0000 0000 0000

83h(4) STATUS IRP RP1 RP0 TO PD Z DC C 0001 1xxx 000q quuu

84h(4) FSR Indirect data memory address pointer xxxx xxxx uuuu uuuu

85h TRISA — — PORTA Data Direction Register --11 1111 --11 1111

86h TRISB PORTB Data Direction Register 1111 1111 1111 1111

87h TRISC PORTC Data Direction Register 1111 1111 1111 1111

88h(5) TRISD PORTD Data Direction Register 1111 1111 1111 1111

89h(5) TRISE IBF OBF IBOV PSPMODE — PORTE Data Direction Bits 0000 -111 0000 -111

8Ah(1,4) PCLATH — — — Write Buffer for the upper 5 bits of the Program Counter ---0 0000 ---0 0000

8Bh(4) INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

8Ch PIE1 PSPIE(3) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

8Dh PIE2 — (6) — EEIE BCLIE — — CCP2IE -r-0 0--0 -r-0 0--0

8Eh PCON — — — — — — POR BOR ---- --qq ---- --uu

8Fh — Unimplemented — —

90h — Unimplemented — —

91h SSPCON2 GCEN ACKSTAT ACKDT ACKEN RCEN PEN RSEN SEN 0000 0000 0000 0000

92h PR2 Timer2 Period Register 1111 1111 1111 1111

93h SSPADD Synchronous Serial Port (I2C mode) Address Register 0000 0000 0000 0000

94h SSPSTAT SMP CKE D/A P S R/W UA BF 0000 0000 0000 0000

95h — Unimplemented — —

96h — Unimplemented — —

97h — Unimplemented — —

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

9Ah — Unimplemented — —

9Bh — Unimplemented — —

9Ch — Unimplemented — —

9Dh — Unimplemented — —

9Eh ADRESL A/D Result Register Low Byte xxxx xxxx uuuu uuuu

9Fh ADCON1 ADFM — — — PCFG3 PCFG2 PCFG1 PCFG0 0--- 0000 0--- 0000

TABLE 2-1: SPECIAL FUNCTION REGISTER SUMMARY (CONTINUED)

Address

Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

(2)

Legend: x = unknown, u = unchanged, q = value depends on condition, - = unimplemented read as ’0’, r = reserved. Shaded locations are unimplemented, read as ‘0’.

Note 1: The upper byte of the program counter is not directly accessible. PCLATH is a holding register for the PC<12:8> whose contents are transferred to the upper byte of the program counter.

2: Other (non power-up) resets include external reset through MCLR and Watchdog Timer Reset.3: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.4: These registers can be addressed from any bank.5: PORTD, PORTE, TRISD, and TRISE are not physically implemented on the 28-pin devices, read as ‘0’.6: PIR2<6> and PIE2<6> are reserved on these devices; always maintain these bits clear.

DS30292B-page 16 1999 Microchip Technology Inc.

Page 69: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Bank 2

100h(4) INDF Addressing this location uses contents of FSR to address data memory (not a physical register) 0000 0000 0000 0000

101h TMR0 Timer0 module’s register xxxx xxxx uuuu uuuu

102h(4) PCL Program Counter's (PC) Least Significant Byte 0000 0000 0000 0000

103h(4) STATUS IRP RP1 RP0 TO PD Z DC C 0001 1xxx 000q quuu

104h(4) FSR Indirect data memory address pointer xxxx xxxx uuuu uuuu

105h — Unimplemented — —

106h PORTB PORTB Data Latch when written: PORTB pins when read xxxx xxxx uuuu uuuu

107h — Unimplemented — —

108h — Unimplemented — —

109h — Unimplemented — —

10Ah(1,4) PCLATH — — — Write Buffer for the upper 5 bits of the Program Counter ---0 0000 ---0 0000

10Bh(4) INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

10Ch EEDATA EEPROM data register xxxx xxxx uuuu uuuu

10Dh EEADR EEPROM address register xxxx xxxx uuuu uuuu

10Eh EEDATH — — EEPROM data register high byte xxxx xxxx uuuu uuuu

10Fh EEADRH — — — EEPROM address register high byte xxxx xxxx uuuu uuuu

Bank 3

180h(4) INDF Addressing this location uses contents of FSR to address data memory (not a physical register) 0000 0000 0000 0000

181h OPTION_REG

RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0 1111 1111 1111 1111

182h(4) PCL Program Counter's (PC) Least Significant Byte 0000 0000 0000 0000

183h(4) STATUS IRP RP1 RP0 TO PD Z DC C 0001 1xxx 000q quuu

184h(4) FSR Indirect data memory address pointer xxxx xxxx uuuu uuuu

185h — Unimplemented — —

186h TRISB PORTB Data Direction Register 1111 1111 1111 1111

187h — Unimplemented — —

188h — Unimplemented — —

189h — Unimplemented — —

18Ah(1,4) PCLATH — — — Write Buffer for the upper 5 bits of the Program Counter ---0 0000 ---0 0000

18Bh(4) INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

18Ch EECON1 EEPGD — — — WRERR WREN WR RD x--- x000 x--- u000

18Dh EECON2 EEPROM control register2 (not a physical register) ---- ---- ---- ----

18Eh — Reserved maintain clear 0000 0000 0000 0000

18Fh — Reserved maintain clear 0000 0000 0000 0000

TABLE 2-1: SPECIAL FUNCTION REGISTER SUMMARY (CONTINUED)

Address

Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

(2)

Legend: x = unknown, u = unchanged, q = value depends on condition, - = unimplemented read as ’0’, r = reserved. Shaded locations are unimplemented, read as ‘0’.

Note 1: The upper byte of the program counter is not directly accessible. PCLATH is a holding register for the PC<12:8> whose contents are transferred to the upper byte of the program counter.

2: Other (non power-up) resets include external reset through MCLR and Watchdog Timer Reset.3: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.4: These registers can be addressed from any bank.5: PORTD, PORTE, TRISD, and TRISE are not physically implemented on the 28-pin devices, read as ‘0’.6: PIR2<6> and PIE2<6> are reserved on these devices; always maintain these bits clear.

1999 Microchip Technology Inc. DS30292B-page 17

Page 70: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.1 STATUS REGISTER

The STATUS register contains the arithmetic status ofthe ALU, the RESET status and the bank select bits fordata memory.

The STATUS register can be the destination for anyinstruction, as with any other register. If the STATUSregister is the destination for an instruction that affectsthe Z, DC or C bits, then the write to these three bits isdisabled. These bits are set or cleared according to thedevice logic. Furthermore, the TO and PD bits are notwritable, therefore, the result of an instruction with theSTATUS register as destination may be different thanintended.

For example, CLRF STATUS will clear the upper-threebits and set the Z bit. This leaves the STATUS registeras 000u u1uu (where u = unchanged).

It is recommended, therefore, that only BCF, BSF,SWAPF and MOVWF instructions are used to alter theSTATUS register, because these instructions do notaffect the Z, C or DC bits from the STATUS register. Forother instructions not affecting any status bits, see the"Instruction Set Summary."

REGISTER 2-1: STATUS REGISTER (ADDRESS 03h, 83h, 103h, 183h)

Note 1: The C and DC bits operate as a borrowand digit borrow bit, respectively, in sub-traction. See the SUBLW and SUBWFinstructions for examples.

R/W-0 R/W-0 R/W-0 R-1 R-1 R/W-x R/W-x R/W-x

IRP RP1 RP0 TO PD Z DC C R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: IRP: Register Bank Select bit (used for indirect addressing)1 = Bank 2, 3 (100h - 1FFh) 0 = Bank 0, 1 (00h - FFh)

bit 6-5: RP1:RP0: Register Bank Select bits (used for direct addressing)11 = Bank 3 (180h - 1FFh) 10 = Bank 2 (100h - 17Fh) 01 = Bank 1 (80h - FFh)00 = Bank 0 (00h - 7Fh)Each bank is 128 bytes

bit 4: TO: Time-out bit1 = After power-up, CLRWDT instruction, or SLEEP instruction0 = A WDT time-out occurred

bit 3: PD: Power-down bit1 = After power-up or by the CLRWDT instruction0 = By execution of the SLEEP instruction

bit 2: Z: Zero bit1 = The result of an arithmetic or logic operation is zero0 = The result of an arithmetic or logic operation is not zero

bit 1: DC: Digit carry/borrow bit (ADDWF, ADDLW,SUBLW,SUBWF instructions) (for borrow the polarity is reversed)1 = A carry-out from the 4th low order bit of the result occurred0 = No carry-out from the 4th low order bit of the result

bit 0: C: Carry/borrow bit (ADDWF, ADDLW,SUBLW,SUBWF instructions)1 = A carry-out from the most significant bit of the result occurred0 = No carry-out from the most significant bit of the result occurredNote: For borrow the polarity is reversed. A subtraction is executed by adding the two’s complement ofthe second operand. For rotate (RRF, RLF) instructions, this bit is loaded with either the high or low orderbit of the source register.

DS30292B-page 18 1999 Microchip Technology Inc.

Page 71: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.2 OPTION_REG REGISTER

The OPTION_REG Register is a readable and writableregister, which contains various control bits to configurethe TMR0 prescaler/WDT postscaler (single assign-able register known also as the prescaler), the ExternalINT Interrupt, TMR0 and the weak pull-ups on PORTB.

REGISTER 2-2: OPTION_REG REGISTER (ADDRESS 81h, 181h)

Note: To achieve a 1:1 prescaler assignment forthe TMR0 register, assign the prescaler tothe Watchdog Timer.

R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1

RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0 R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: RBPU: PORTB Pull-up Enable bit1 = PORTB pull-ups are disabled0 = PORTB pull-ups are enabled by individual port latch values

bit 6: INTEDG: Interrupt Edge Select bit1 = Interrupt on rising edge of RB0/INT pin0 = Interrupt on falling edge of RB0/INT pin

bit 5: T0CS: TMR0 Clock Source Select bit1 = Transition on RA4/T0CKI pin0 = Internal instruction cycle clock (CLKOUT)

bit 4: T0SE: TMR0 Source Edge Select bit1 = Increment on high-to-low transition on RA4/T0CKI pin0 = Increment on low-to-high transition on RA4/T0CKI pin

bit 3: PSA: Prescaler Assignment bit1 = Prescaler is assigned to the WDT0 = Prescaler is assigned to the Timer0 module

bit 2-0: PS2:PS0: Prescaler Rate Select bits

Note: When using low voltage ICSP programming (LVP) and the pull-ups on PORTB are enabled, bit 3 in theTRISB register must be cleared to disable the pull-up on RB3 and ensure the proper operation of the device.

000001010011100101110111

1 : 21 : 41 : 81 : 161 : 321 : 641 : 1281 : 256

1 : 11 : 21 : 41 : 81 : 161 : 321 : 641 : 128

Bit Value TMR0 Rate WDT Rate

1999 Microchip Technology Inc. DS30292B-page 19

Page 72: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.3 INTCON REGISTER

The INTCON Register is a readable and writable regis-ter, which contains various enable and flag bits for theTMR0 register overflow, RB Port change and ExternalRB0/INT pin interrupts.

REGISTER 2-3: INTCON REGISTER (ADDRESS 0Bh, 8Bh, 10Bh, 18Bh)

Note: Interrupt flag bits get set when an interruptcondition occurs, regardless of the state ofits corresponding enable bit or the globalenable bit, GIE (INTCON<7>). User soft-ware should ensure the appropriate inter-rupt flag bits are clear prior to enabling aninterrupt.

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-x

GIE PEIE T0IE INTE RBIE T0IF INTF RBIF R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: GIE: Global Interrupt Enable bit1 = Enables all un-masked interrupts0 = Disables all interrupts

bit 6: PEIE: Peripheral Interrupt Enable bit1 = Enables all un-masked peripheral interrupts0 = Disables all peripheral interrupts

bit 5: T0IE: TMR0 Overflow Interrupt Enable bit1 = Enables the TMR0 interrupt0 = Disables the TMR0 interrupt

bit 4: INTE: RB0/INT External Interrupt Enable bit1 = Enables the RB0/INT external interrupt0 = Disables the RB0/INT external interrupt

bit 3: RBIE: RB Port Change Interrupt Enable bit1 = Enables the RB port change interrupt0 = Disables the RB port change interrupt

bit 2: T0IF: TMR0 Overflow Interrupt Flag bit1 = TMR0 register has overflowed (must be cleared in software)0 = TMR0 register did not overflow

bit 1: INTF: RB0/INT External Interrupt Flag bit1 = The RB0/INT external interrupt occurred (must be cleared in software)0 = The RB0/INT external interrupt did not occur

bit 0: RBIF: RB Port Change Interrupt Flag bit1 = At least one of the RB7:RB4 pins changed state (must be cleared in software)0 = None of the RB7:RB4 pins have changed state

DS30292B-page 20 1999 Microchip Technology Inc.

Page 73: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.4 PIE1 REGISTER

The PIE1 register contains the individual enable bits forthe peripheral interrupts.

REGISTER 2-4: PIE1 REGISTER (ADDRESS 8Ch)

Note: Bit PEIE (INTCON<6>) must be set toenable any peripheral interrupt.

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: PSPIE(1): Parallel Slave Port Read/Write Interrupt Enable bit1 = Enables the PSP read/write interrupt0 = Disables the PSP read/write interrupt

bit 6: ADIE: A/D Converter Interrupt Enable bit1 = Enables the A/D converter interrupt0 = Disables the A/D converter interrupt

bit 5: RCIE: USART Receive Interrupt Enable bit1 = Enables the USART receive interrupt0 = Disables the USART receive interrupt

bit 4: TXIE: USART Transmit Interrupt Enable bit1 = Enables the USART transmit interrupt0 = Disables the USART transmit interrupt

bit 3: SSPIE: Synchronous Serial Port Interrupt Enable bit1 = Enables the SSP interrupt0 = Disables the SSP interrupt

bit 2: CCP1IE: CCP1 Interrupt Enable bit1 = Enables the CCP1 interrupt0 = Disables the CCP1 interrupt

bit 1: TMR2IE: TMR2 to PR2 Match Interrupt Enable bit1 = Enables the TMR2 to PR2 match interrupt0 = Disables the TMR2 to PR2 match interrupt

bit 0: TMR1IE: TMR1 Overflow Interrupt Enable bit1 = Enables the TMR1 overflow interrupt0 = Disables the TMR1 overflow interrupt

Note 1: PSPIE is reserved on 28-pin devices; always maintain this bit clear.

1999 Microchip Technology Inc. DS30292B-page 21

Page 74: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.5 PIR1 REGISTER

The PIR1 register contains the individual flag bits forthe peripheral interrupts.

REGISTER 2-5: PIR1 REGISTER (ADDRESS 0Ch)

Note: Interrupt flag bits get set when an interruptcondition occurs, regardless of the state ofits corresponding enable bit or the globalenable bit, GIE (INTCON<7>). User soft-ware should ensure the appropriate inter-rupt bits are clear prior to enabling aninterrupt.

R/W-0 R/W-0 R-0 R-0 R/W-0 R/W-0 R/W-0 R/W-0

PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF R = Readable bitW = Writable bit- n= Value at POR reset

bit7 bit0

bit 7: PSPIF(1): Parallel Slave Port Read/Write Interrupt Flag bit1 = A read or a write operation has taken place (must be cleared in software)0 = No read or write has occurred

bit 6: ADIF: A/D Converter Interrupt Flag bit1 = An A/D conversion completed0 = The A/D conversion is not complete

bit 5: RCIF: USART Receive Interrupt Flag bit1 = The USART receive buffer is full0 = The USART receive buffer is empty

bit 4: TXIF: USART Transmit Interrupt Flag bit1 = The USART transmit buffer is empty0 = The USART transmit buffer is full

bit 7: SSPIF: Synchronous Serial Port (SSP) Interrupt Flag1 = The SSP interrupt condition has occurred, and must be cleared in software before returning from the interrupt ser-vice routine. The conditions that will set this bit are:SPIA transmission/reception has taken place.I2C SlaveA transmission/reception has taken place.I2C MasterA transmission/reception has taken place.The initiated start condition was completed by the SSP module.The initiated stop condition was completed by the SSP module.The initiated restart condition was completed by the SSP module.The initiated acknowledge condition was completed by the SSP module.A start condition occurred while the SSP module was idle (Multimaster system).A stop condition occurred while the SSP module was idle (Multimaster system).0 = No SSP interrupt condition has occurred.

bit 2: CCP1IF: CCP1 Interrupt Flag bitCapture Mode1 = A TMR1 register capture occurred (must be cleared in software)0 = No TMR1 register capture occurredCompare Mode1 = A TMR1 register compare match occurred (must be cleared in software)0 = No TMR1 register compare match occurredPWM ModeUnused in this mode

bit 1: TMR2IF: TMR2 to PR2 Match Interrupt Flag bit1 = TMR2 to PR2 match occurred (must be cleared in software)0 = No TMR2 to PR2 match occurred

bit 0: TMR1IF: TMR1 Overflow Interrupt Flag bit1 = TMR1 register overflowed (must be cleared in software)0 = TMR1 register did not overflow

Note 1: PSPIF is reserved on 28-pin devices; always maintain this bit clear.

DS30292B-page 22 1999 Microchip Technology Inc.

Page 75: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.6 PIE2 REGISTER

The PIE2 register contains the individual enable bits forthe CCP2 peripheral interrupt, the SSP bus collisioninterrupt, and the EEPROM write operation interrupt.

REGISTER 2-6: PIE2 REGISTER (ADDRESS 8Dh)

U-0 R/W-0 U-0 R/W-0 R/W-0 U-0 U-0 R/W-0

— — — EEIE BCLIE — — CCP2IE R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: Unimplemented: Read as '0'

bit 6: Reserved: Always maintain this bit clear

bit 5: Unimplemented: Read as '0'

bit 4: EEIE: EEPROM Write Operation Interrupt Enable1 = Enable EE Write Interrupt0 = Disable EE Write Interrupt

bit 3: BCLIE: Bus Collision Interrupt Enable1 = Enable Bus Collision Interrupt0 = Disable Bus Collision Interrupt

bit 2-1: Unimplemented: Read as '0'

bit 0: CCP2IE: CCP2 Interrupt Enable bit1 = Enables the CCP2 interrupt0 = Disables the CCP2 interrupt

1999 Microchip Technology Inc. DS30292B-page 23

Page 76: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.7 PIR2 REGISTER

The PIR2 register contains the flag bits for the CCP2interrupt, the SSP bus collision interrupt and theEEPROM write operation interrupt.

.

REGISTER 2-7: PIR2 REGISTER (ADDRESS 0Dh)

Note: Interrupt flag bits get set when an interruptcondition occurs, regardless of the state ofits corresponding enable bit or the globalenable bit, GIE (INTCON<7>). User soft-ware should ensure the appropriate inter-rupt flag bits are clear prior to enabling aninterrupt.

U-0 R/W-0 U-0 R/W-0 R/W-0 U-0 U-0 R/W-0

— — — EEIF BCLIF — — CCP2IF R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: Unimplemented: Read as '0'

bit 6: Reserved: Always maintain this bit clear

bit 5: Unimplemented: Read as '0'

bit 4: EEIF: EEPROM Write Operation Interrupt Flag bit1 = The write operation completed (must be cleared in software)0 = The write operation is not complete or has not been started

bit 3: BCLIF: Bus Collision Interrupt Flag1 = A bus collision has occurred in the SSP, when configured for I2C master mode0 = No bus collision has occurred

bit 2-1: Unimplemented: Read as '0'

bit 0: CCP2IF: CCP2 Interrupt Flag bitCapture Mode1 = A TMR1 register capture occurred (must be cleared in software)0 = No TMR1 register capture occurredCompare Mode1 = A TMR1 register compare match occurred (must be cleared in software)0 = No TMR1 register compare match occurredPWM ModeUnused

DS30292B-page 24 1999 Microchip Technology Inc.

Page 77: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.2.2.8 PCON REGISTER

The Power Control (PCON) Register contains flag bitsto allow differentiation between a Power-on Reset(POR), a Brown-out Reset (BOR), a Watch-dog Reset(WDT) and an external MCLR Reset.

REGISTER 2-8: PCON REGISTER (ADDRESS 8Eh)

Note: BOR is unknown on POR. It must be set bythe user and checked on subsequent reststo see if BOR is clear, indicating a brown-out has occurred. The BOR status bit is adon’t care and is not predictable if thebrown-out circuit is disabled (by clearingthe BODEN bit in the configuration word).

U-0 U-0 U-0 U-0 U-0 U-0 R/W-0 R/W-1

— — — — — — POR BOR R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7-2: Unimplemented: Read as '0'

bit 1: POR: Power-on Reset Status bit1 = No Power-on Reset occurred0 = A Power-on Reset occurred (must be set in software after a Power-on Reset occurs)

bit 0: BOR: Brown-out Reset Status bit1 = No Brown-out Reset occurred0 = A Brown-out Reset occurred (must be set in software after a Brown-out Reset occurs)

1999 Microchip Technology Inc. DS30292B-page 25

Page 78: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.3 PCL and PCLATH

The program counter (PC) is 13-bits wide. The low bytecomes from the PCL register, which is a readable andwritable register. The upper bits (PC<12:8>) are notreadable, but are indirectly writable through thePCLATH register. On any reset, the upper bits of the PCwill be cleared. Figure 2-5 shows the two situations forthe loading of the PC. The upper example in the figureshows how the PC is loaded on a write to PCL(PCLATH<4:0> → PCH). The lower example in the fig-ure shows how the PC is loaded during a CALL or GOTOinstruction (PCLATH<4:3> → PCH).

FIGURE 2-5: LOADING OF PC IN DIFFERENT SITUATIONS

2.3.1 COMPUTED GOTO

A computed GOTO is accomplished by adding an offsetto the program counter (ADDWF PCL). When doing atable read using a computed GOTO method, careshould be exercised if the table location crosses a PCLmemory boundary (each 256 byte block). Refer to theapplication note, “Implementing a Table Read"(AN556).

2.3.2 STACK

The PIC16CXX family has an 8-level deep x 13-bit widehardware stack. The stack space is not part of eitherprogram or data space and the stack pointer is notreadable or writable. The PC is PUSHed onto the stackwhen a CALL instruction is executed or an interruptcauses a branch. The stack is POPed in the event of aRETURN,RETLW or a RETFIE instruction execution.PCLATH is not affected by a PUSH or POP operation.

The stack operates as a circular buffer. This means thatafter the stack has been PUSHed eight times, the ninthpush overwrites the value that was stored from the firstpush. The tenth push overwrites the second push (andso on).

2.4 Program Memory Paging

PIC16CXX devices are capable of addressing a contin-uous 8K word block of program memory. The CALL andGOTO instructions provide only 11 bits of address toallow branching within any 2K program memory page.When doing a CALL or GOTO instruction, the upper 2bits of the address are provided by PCLATH<4:3>.When doing a CALL or GOTO instruction, the user mustensure that the page select bits are programmed sothat the desired program memory page is addressed. Ifa return from a CALL instruction (or interrupt) is exe-cuted, the entire 13-bit PC is popped off the stack.Therefore, manipulation of the PCLATH<4:3> bits arenot required for the return instructions (which POPs theaddress from the stack)

Example 2-1 shows the calling of a subroutine inpage 1 of the program memory. This example assumesthat PCLATH is saved and restored by the interrupt ser-vice routine (if interrupts are used).

EXAMPLE 2-1: CALL OF A SUBROUTINE IN PAGE 1 FROM PAGE 0

ORG 0x500BCF PCLATH,4BSF PCLATH,3 ;Select page 1 (800h-FFFh)CALL SUB1_P1 ;Call subroutine in: ;page 1 (800h-FFFh):ORG 0x900 ;page 1 (800h-FFFh)

SUB1_P1: ;called subroutine: ;page 1 (800h-FFFh):RETURN ;return to Call subroutine

;in page 0 (000h-7FFh)

PC

12 8 7 0

5PCLATH<4:0>

PCLATH

Instruction with

ALU

GOTO,CALL

Opcode <10:0>

8

PC

12 11 10 0

11PCLATH<4:3>

PCH PCL

8 7

2

PCLATH

PCH PCL

PCL as Destination

Note 1: There are no status bits to indicate stackoverflow or stack underflow conditions.

2: There are no instructions/mnemonicscalled PUSH or POP. These are actions thatoccur from the execution of the CALL,RETURN, RETLW and RETFIE instruc-tions or the vectoring to an interruptaddress.

DS30292B-page 26 1999 Microchip Technology Inc.

Page 79: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

2.5 Indirect Addressing, INDF and FSR Registers

The INDF register is not a physical register. Addressingthe INDF register will cause indirect addressing.

Indirect addressing is possible by using the INDF reg-ister. Any instruction using the INDF register actuallyaccesses the register pointed to by the File Select Reg-ister, FSR. Reading the INDF register itself indirectly(FSR = ’0’) will read 00h. Writing to the INDF registerindirectly results in a no-operation (although status bitsmay be affected). An effective 9-bit address is obtainedby concatenating the 8-bit FSR register and the IRP bit(STATUS<7>), as shown in Figure 2-6.

A simple program to clear RAM locations 20h-2Fhusing indirect addressing is shown in Example 2-2.

EXAMPLE 2-2: INDIRECT ADDRESSING

movlw 0x20 ;initialize pointermovwf FSR ;to RAM

NEXT clrf INDF ;clear INDF registerincf FSR,F ;inc pointerbtfss FSR,4 ;all done? goto NEXT ;no clear next

CONTINUE: ;yes continue

FIGURE 2-6: DIRECT/INDIRECT ADDRESSING

Note 1: For register file map detail see Figure 2-3.

DataMemory(1)

Indirect AddressingDirect Addressing

bank select location select

RP1:RP0 6 0from opcode IRP FSR register7 0

bank select location select

00 01 10 11

Bank 0 Bank 1 Bank 2 Bank 3

FFh

80h

7Fh

00h

17Fh

100h

1FFh

180h

1999 Microchip Technology Inc. DS30292B-page 27

Page 80: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 28 1999 Microchip Technology Inc.

Page 81: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

3.0 I/O PORTSSome pins for these I/O ports are multiplexed with analternate function for the peripheral features on thedevice. In general, when a peripheral is enabled, thatpin may not be used as a general purpose I/O pin.

Additional information on I/O ports may be found in thePICmicro™ Mid-Range Reference Manual,(DS33023).

3.1 PORTA and the TRISA Register

PORTA is a 6-bit wide bi-directional port. The corre-sponding data direction register is TRISA. Setting aTRISA bit (=1) will make the corresponding PORTA pinan input (i.e., put the corresponding output driver in ahi-impedance mode). Clearing a TRISA bit (=0) willmake the corresponding PORTA pin an output (i.e., putthe contents of the output latch on the selected pin).

Reading the PORTA register reads the status of thepins, whereas writing to it will write to the port latch. Allwrite operations are read-modify-write operations.Therefore, a write to a port implies that the port pins areread, the value is modified and then written to the portdata latch.

Pin RA4 is multiplexed with the Timer0 module clockinput to become the RA4/T0CKI pin. The RA4/T0CKIpin is a Schmitt Trigger input and an open drain output.All other PORTA pins have TTL input levels and fullCMOS output drivers.

Other PORTA pins are multiplexed with analog inputsand analog VREF input. The operation of each pin isselected by clearing/setting the control bits in theADCON1 register (A/D Control Register1).

The TRISA register controls the direction of the RApins, even when they are being used as analog inputs.The user must ensure the bits in the TRISA register aremaintained set when using them as analog inputs.

EXAMPLE 3-1: INITIALIZING PORTABCF STATUS, RP0 ;BCF STATUS, RP1 ; Bank0CLRF PORTA ; Initialize PORTA by

; clearing output; data latches

BSF STATUS, RP0 ; Select Bank 1MOVLW 0x06 ; Configure all pinsMOVWF ADCON1 ; as digital inputsMOVLW 0xCF ; Value used to

; initialize data ; direction

MOVWF TRISA ; Set RA<3:0> as inputs; RA<5:4> as outputs; TRISA<7:6> are always; read as ’0’.

FIGURE 3-1: BLOCK DIAGRAM OF RA3:RA0 AND RA5 PINS

FIGURE 3-2: BLOCK DIAGRAM OF RA4/T0CKI PIN

Note: On a Power-on Reset, these pins are con-figured as analog inputs and read as '0'.

DataBus

QD

QCK

QD

QCK

Q D

EN

P

N

WRPort

WRTRIS

Data Latch

TRIS Latch

RD TRIS

RD PORT

VSS

VDD

I/O pin(1)

Note 1: I/O pins have protection diodes to VDD and VSS.

AnalogInputMode

TTLInputBuffer

To A/D Converter

DataBus

WRPORT

WRTRIS

RD PORT

Data Latch

TRIS Latch

RD TRIS

SchmittTriggerInputBuffer

N

VSS

I/O pin(1)

TMR0 clock input

QD

QCK

QD

QCK

EN

Q D

EN

Note 1: I/O pin has protection diodes to VSS only.

1999 Microchip Technology Inc. DS30292B-page 29

Page 82: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 3-1: PORTA FUNCTIONS

TABLE 3-2: SUMMARY OF REGISTERS ASSOCIATED WITH PORTA

Name Bit# Buffer Function

RA0/AN0 bit0 TTL Input/output or analog input

RA1/AN1 bit1 TTL Input/output or analog input

RA2/AN2 bit2 TTL Input/output or analog input

RA3/AN3/VREF bit3 TTL Input/output or analog input or VREF

RA4/T0CKI bit4 ST Input/output or external clock input for Timer0Output is open drain type

RA5/SS/AN4 bit5 TTL Input/output or slave select input for synchronous serial port or analog input

Legend: TTL = TTL input, ST = Schmitt Trigger input

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

05h PORTA — — RA5 RA4 RA3 RA2 RA1 RA0 --0x 0000 --0u 0000

85h TRISA — — PORTA Data Direction Register --11 1111 --11 1111

9Fh ADCON1 ADFM — — — PCFG3 PCFG2 PCFG1 PCFG0 --0- 0000 --0- 0000

Legend: x = unknown, u = unchanged, - = unimplemented locations read as '0'. Shaded cells are not used by PORTA.

Note: When using the SSP module in SPI slave mode and SS enabled, the A/D converter must be set to one ofthe following modes where PCFG3:PCFG0 = 0100,0101, 011x, 1101, 1110, 1111.

DS30292B-page 30 1999 Microchip Technology Inc.

Page 83: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

3.2 PORTB and the TRISB Register

PORTB is an 8-bit wide, bi-directional port. The corre-sponding data direction register is TRISB. Setting aTRISB bit (=1) will make the corresponding PORTB pinan input (i.e., put the corresponding output driver in ahi-impedance mode). Clearing a TRISB bit (=0) willmake the corresponding PORTB pin an output (i.e., putthe contents of the output latch on the selected pin).

Three pins of PORTB are multiplexed with the Low Volt-age Programming function; RB3/PGM, RB6/PGC andRB7/PGD. The alternate functions of these pins aredescribed in the Special Features Section.

Each of the PORTB pins has a weak internal pull-up. Asingle control bit can turn on all the pull-ups. This is per-formed by clearing bit RBPU (OPTION_REG<7>). Theweak pull-up is automatically turned off when the portpin is configured as an output. The pull-ups are dis-abled on a Power-on Reset.

FIGURE 3-3: BLOCK DIAGRAM OF RB3:RB0 PINS

Four of PORTB’s pins, RB7:RB4, have an interrupt onchange feature. Only pins configured as inputs cancause this interrupt to occur (i.e. any RB7:RB4 pin con-figured as an output is excluded from the interrupt onchange comparison). The input pins (of RB7:RB4) arecompared with the old value latched on the last read ofPORTB. The “mismatch” outputs of RB7:RB4 areOR’ed together to generate the RB Port Change Inter-rupt with flag bit RBIF (INTCON<0>).

This interrupt can wake the device from SLEEP. Theuser, in the interrupt service routine, can clear the inter-rupt in the following manner:

a) Any read or write of PORTB. This will end themismatch condition.

b) Clear flag bit RBIF.

A mismatch condition will continue to set flag bit RBIF.Reading PORTB will end the mismatch condition andallow flag bit RBIF to be cleared.

The interrupt on change feature is recommended forwake-up on key depression operation and operationswhere PORTB is only used for the interrupt on changefeature. Polling of PORTB is not recommended whileusing the interrupt on change feature.

This interrupt on mismatch feature, together with soft-ware configureable pull-ups on these four pins, alloweasy interface to a keypad and make it possible forwake-up on key-depression. Refer to the EmbeddedControl Handbook, “Implementing Wake-Up on KeyStroke” (AN552).

RB0/INT is an external interrupt input pin and is config-ured using the INTEDG bit (OPTION_REG<6>).

RB0/INT is discussed in detail in Section 12.10.1.

FIGURE 3-4: BLOCK DIAGRAM OFRB7:RB4 PINS

Data Latch

RBPU(2)

P

VDD

QD

CK

QD

CK

Q D

EN

Data Bus

WR Port

WR TRIS

RD TRIS

RD Port

weakpull-up

RD Port

RB0/INT

I/Opin(1)

TTLInputBuffer

Schmitt TriggerBuffer

TRIS Latch

Note 1: I/O pins have diode protection to VDD and VSS.2: To enable weak pull-ups, set the appropriate TRIS bit(s)

and clear the RBPU bit (OPTION_REG<7>).

RB3/PGM

Data Latch

From other

RBPU(2)

P

VDD

I/O

QD

CK

QD

CK

Q D

EN

Q D

EN

Data Bus

WR Port

WR TRIS

Set RBIF

TRIS Latch

RD TRIS

RD Port

RB7:RB4 pins

weakpull-up

RD Port

Latch

TTLInputBuffer

pin(1)

STBuffer

RB7:RB6 in serial programming mode

Q3

Q1

Note 1: I/O pins have diode protection to VDD and VSS.2: To enable weak pull-ups, set the appropriate TRIS bit(s)

and clear the RBPU bit (OPTION_REG<7>).

Note: When using Low Voltage ICSP Programming (LVP) and the pull-ups on PORTB are enabled, bit 3 in theTRISB register must be cleared to disable the pull-up on RB3 and ensure the proper operation of the device.

1999 Microchip Technology Inc. DS30292B-page 31

Page 84: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 3-3: PORTB FUNCTIONS

TABLE 3-4: SUMMARY OF REGISTERS ASSOCIATED WITH PORTB

Name Bit# Buffer Function

RB0/INT bit0 TTL/ST(1) Input/output pin or external interrupt input. Internal software programmable weak pull-up.

RB1 bit1 TTL Input/output pin. Internal software programmable weak pull-up.

RB2 bit2 TTL Input/output pin. Internal software programmable weak pull-up.

RB3/PGM bit3 TTL Input/output pin or programming pin in LVP mode. Internal software programmable weak pull-up.

RB4 bit4 TTL Input/output pin (with interrupt on change). Internal software programmable weak pull-up.

RB5 bit5 TTL Input/output pin (with interrupt on change). Internal software programmable weak pull-up.

RB6/PGC bit6 TTL/ST(2) Input/output pin (with interrupt on change) or In-Circuit Debugger pin. Internal software programmable weak pull-up. Serial programming clock.

RB7/PGD bit7 TTL/ST(2) Input/output pin (with interrupt on change) or In-Circuit Debugger pin. Internal software programmable weak pull-up. Serial programming data.

Legend: TTL = TTL input, ST = Schmitt Trigger inputNote 1: This buffer is a Schmitt Trigger input when configured as the external interrupt.

2: This buffer is a Schmitt Trigger input when used in serial programming mode.

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

06h, 106h PORTB RB7 RB6 RB5 RB4 RB3 RB2 RB1 RB0 xxxx xxxx uuuu uuuu

86h, 186h TRISB PORTB Data Direction Register 1111 1111 1111 1111

81h, 181h OPTION_REG RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0 1111 1111 1111 1111

Legend: x = unknown, u = unchanged. Shaded cells are not used by PORTB.

DS30292B-page 32 1999 Microchip Technology Inc.

Page 85: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

3.3 PORTC and the TRISC Register

PORTC is an 8-bit wide, bi-directional port. The corre-sponding data direction register is TRISC. Setting aTRISC bit (=1) will make the corresponding PORTC pinan input (i.e., put the corresponding output driver in ahi-impedance mode). Clearing a TRISC bit (=0) willmake the corresponding PORTC pin an output (i.e., putthe contents of the output latch on the selected pin).

PORTC is multiplexed with several peripheral functions(Table 3-5). PORTC pins have Schmitt Trigger inputbuffers.

When the I2C module is enabled, the PORTC (3:4) pinscan be configured with normal I2C levels or withSMBUS levels by using the CKE bit (SSPSTAT <6>).

When enabling peripheral functions, care should betaken in defining TRIS bits for each PORTC pin. Someperipherals override the TRIS bit to make a pin an out-put, while other peripherals override the TRIS bit tomake a pin an input. Since the TRIS bit override is ineffect while the peripheral is enabled, read-modify-write instructions (BSF, BCF, XORWF) with TRISC asdestination should be avoided. The user should refer tothe corresponding peripheral section for the correctTRIS bit settings.

FIGURE 3-5: PORTC BLOCK DIAGRAM (PERIPHERAL OUTPUT OVERRIDE) RC<0:2> RC<5:7>

FIGURE 3-6: PORTC BLOCK DIAGRAM (PERIPHERAL OUTPUT OVERRIDE) RC<3:4>

PORT/PERIPHERAL Select(2)

Data Bus

WRPORT

WRTRIS

RD

Data Latch

TRIS Latch

RD TRISSchmittTrigger

QD

QCK

Q D

EN

Peripheral Data Out0

1

QD

QCK

P

N

VDD

VSS

PORT

Peripheral

OE(3)

Peripheral Input

I/Opin(1)

Note 1: I/O pins have diode protection to VDD and VSS.2: Port/Peripheral select signal selects between port

data and peripheral output.3: Peripheral OE (output enable) is only activated if

peripheral select is active.

PORT/PERIPHERAL Select(2)

Data BusWRPORT

WRTRIS

RD

Data Latch

TRIS Latch

RD TRISSchmittTrigger

QD

QCK

Q D

EN

Peripheral Data Out0

1

QD

QCK

P

N

VDD

Vss

PORT

Peripheral

OE(3)

SSPl Input

I/Opin(1)

Note 1: I/O pins have diode protection to VDD and VSS.2: Port/Peripheral select signal selects between port

data and peripheral output.3: Peripheral OE (output enable) is only activated if

peripheral select is active.

0

1

CKE

SSPSTAT<6>

SchmittTriggerwithSMBuslevels

1999 Microchip Technology Inc. DS30292B-page 33

Page 86: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 3-5: PORTC FUNCTIONS

TABLE 3-6: SUMMARY OF REGISTERS ASSOCIATED WITH PORTC

Name Bit# Buffer Type Function

RC0/T1OSO/T1CKI bit0 ST Input/output port pin or Timer1 oscillator output/Timer1 clock input

RC1/T1OSI/CCP2 bit1 ST Input/output port pin or Timer1 oscillator input or Capture2 input/Compare2 output/PWM2 output

RC2/CCP1 bit2 ST Input/output port pin or Capture1 input/Compare1 output/PWM1 output

RC3/SCK/SCL bit3 ST RC3 can also be the synchronous serial clock for both SPI and I2C modes.

RC4/SDI/SDA bit4 ST RC4 can also be the SPI Data In (SPI mode) or data I/O (I2C mode).

RC5/SDO bit5 ST Input/output port pin or Synchronous Serial Port data output

RC6/TX/CK bit6 ST Input/output port pin or USART Asynchronous Transmit or Synchro-nous Clock

RC7/RX/DT bit7 ST Input/output port pin or USART Asynchronous Receive or Synchro-nous Data

Legend: ST = Schmitt Trigger input

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all

other resets

07h PORTC RC7 RC6 RC5 RC4 RC3 RC2 RC1 RC0 xxxx xxxx uuuu uuuu

87h TRISC PORTC Data Direction Register 1111 1111 1111 1111

Legend: x = unknown, u = unchanged.

DS30292B-page 34 1999 Microchip Technology Inc.

Page 87: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

3.4 PORTD and TRISD Registers

This section is not applicable to the PIC16F873 orPIC16F876.

PORTD is an 8-bit port with Schmitt Trigger input buff-ers. Each pin is individually configurable as an input oroutput.

PORTD can be configured as an 8-bit wide micropro-cessor port (parallel slave port) by setting control bitPSPMODE (TRISE<4>). In this mode, the input buffersare TTL.

FIGURE 3-7: PORTD BLOCK DIAGRAM (IN I/O PORT MODE)

TABLE 3-7: PORTD FUNCTIONS

TABLE 3-8: SUMMARY OF REGISTERS ASSOCIATED WITH PORTD

DataBus

WRPORT

WRTRIS

RD PORT

Data Latch

TRIS Latch

RD TRIS

SchmittTriggerInputBuffer

I/O pin(1)

Note 1: I/O pins have protection diodes to VDD and VSS.

QD

CK

QD

CK

EN

Q D

EN

Name Bit# Buffer Type Function

RD0/PSP0 bit0 ST/TTL(1) Input/output port pin or parallel slave port bit0

RD1/PSP1 bit1 ST/TTL(1) Input/output port pin or parallel slave port bit1

RD2/PSP2 bit2 ST/TTL(1) Input/output port pin or parallel slave port bit2

RD3/PSP3 bit3 ST/TTL(1) Input/output port pin or parallel slave port bit3

RD4/PSP4 bit4 ST/TTL(1) Input/output port pin or parallel slave port bit4

RD5/PSP5 bit5 ST/TTL(1) Input/output port pin or parallel slave port bit5

RD6/PSP6 bit6 ST/TTL(1) Input/output port pin or parallel slave port bit6

RD7/PSP7 bit7 ST/TTL(1) Input/output port pin or parallel slave port bit7

Legend: ST = Schmitt Trigger input TTL = TTL input Note 1: Input buffers are Schmitt Triggers when in I/O mode and TTL buffer when in Parallel Slave Port Mode.

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

08h PORTD RD7 RD6 RD5 RD4 RD3 RD2 RD1 RD0 xxxx xxxx uuuu uuuu

88h TRISD PORTD Data Direction Register 1111 1111 1111 1111

89h TRISE IBF OBF IBOV PSPMODE — PORTE Data Direction Bits 0000 -111 0000 -111

Legend: x = unknown, u = unchanged, - = unimplemented read as ’0’. Shaded cells are not used by PORTD.

1999 Microchip Technology Inc. DS30292B-page 35

Page 88: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

3.5 PORTE and TRISE Register

This section is not applicable to the PIC16F873 orPIC16F876.

PORTE has three pins, RE0/RD/AN5, RE1/WR/AN6and RE2/CS/AN7, which are individually configurableas inputs or outputs. These pins have Schmitt Triggerinput buffers.

I/O PORTE becomes control inputs for the micropro-cessor port when bit PSPMODE (TRISE<4>) is set. Inthis mode, the user must make sure that theTRISE<2:0> bits are set (pins are configured as digitalinputs). Ensure ADCON1 is configured for digital I/O. Inthis mode, the input buffers are TTL.

Register 3-1 shows the TRISE register, which also con-trols the parallel slave port operation.

PORTE pins are multiplexed with analog inputs. Whenselected as an analog input, these pins will read as ’0’s.

TRISE controls the direction of the RE pins, even whenthey are being used as analog inputs. The user mustmake sure to keep the pins configured as inputs whenusing them as analog inputs.

FIGURE 3-8: PORTE BLOCK DIAGRAM (IN I/O PORT MODE)

REGISTER 3-1: TRISE REGISTER (ADDRESS 89h)

Note: On a Power-on Reset, these pins are con-figured as analog inputs.

DataBus

WRPORT

WRTRIS

RD PORT

Data Latch

TRIS Latch

RD TRIS

SchmittTriggerinputbuffer

QD

CK

QD

CK

EN

Q D

EN

I/O pin(1)

Note 1: I/O pins have protection diodes to VDD and VSS.

R-0 R-0 R/W-0 R/W-0 U-0 R/W-1 R/W-1 R/W-1

IBF OBF IBOV PSPMODE — bit2 bit1 bit0 R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

Parallel Slave Port Status/Control Bitsbit 7 : IBF: Input Buffer Full Status bit

1 = A word has been received and is waiting to be read by the CPU0 = No word has been received

bit 6: OBF: Output Buffer Full Status bit1 = The output buffer still holds a previously written word0 = The output buffer has been read

bit 5: IBOV: Input Buffer Overflow Detect bit (in microprocessor mode)1 = A write occurred when a previously input word has not been read (must be cleared in software)0 = No overflow occurred

bit 4: PSPMODE: Parallel Slave Port Mode Select bit1 = Parallel slave port mode0 = General purpose I/O mode

bit 3: Unimplemented: Read as ’0’

PORTE Data Direction Bitsbit 2: Bit2: Direction Control bit for pin RE2/CS/AN7

1 = Input0 = Output

bit 1: Bit1: Direction Control bit for pin RE1/WR/AN61 = Input0 = Output

bit 0: Bit0: Direction Control bit for pin RE0/RD/AN51 = Input0 = Output

DS30292B-page 36 1999 Microchip Technology Inc.

Page 89: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 3-9: PORTE FUNCTIONS

TABLE 3-10: SUMMARY OF REGISTERS ASSOCIATED WITH PORTE

Name Bit# Buffer Type Function

RE0/RD/AN5 bit0 ST/TTL(1) Input/output port pin or read control input in parallel slave port mode or analog input:RD1 = Not a read operation0 = Read operation. Reads PORTD register (if chip selected)

RE1/WR/AN6 bit1 ST/TTL(1) Input/output port pin or write control input in parallel slave port mode or analog input:WR1 = Not a write operation0 = Write operation. Writes PORTD register (if chip selected)

RE2/CS/AN7 bit2 ST/TTL(1) Input/output port pin or chip select control input in parallel slave port mode or analog input:CS1 = Device is not selected0 = Device is selected

Legend: ST = Schmitt Trigger input TTL = TTL input Note 1: Input buffers are Schmitt Triggers when in I/O mode and TTL buffers when in Parallel Slave Port Mode.

Addr Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

09h PORTE — — — — — RE2 RE1 RE0 ---- -xxx ---- -uuu

89h TRISE IBF OBF IBOV PSPMODE — PORTE Data Direction Bits 0000 -111 0000 -111

9Fh ADCON1 ADFM — — — PCFG3 PCFG2 PCFG1 PCFG0 --0- 0000 --0- 0000

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by PORTE.

1999 Microchip Technology Inc. DS30292B-page 37

Page 90: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

3.6 Parallel Slave Port

The Parallel Slave Port is not implemented on thePIC16F873 or PIC16F876.

PORTD operates as an 8-bit wide Parallel Slave Port ormicroprocessor port when control bit PSPMODE(TRISE<4>) is set. In slave mode, it is asynchronouslyreadable and writable by the external world through RDcontrol input pin RE0/RD and WR control input pinRE1/WR.

It can directly interface to an 8-bit microprocessor databus. The external microprocessor can read or write thePORTD latch as an 8-bit latch. Setting bit PSPMODEenables port pin RE0/RD to be the RD input, RE1/WRto be the WR input and RE2/CS to be the CS (chipselect) input. For this functionality, the correspondingdata direction bits of the TRISE register (TRISE<2:0>)must be configured as inputs (set). The A/D port con-figuration bits PCFG3:PCFG0 (ADCON1<3:0>) mustbe set to configure pins RE2:RE0 as digital I/O.

There are actually two 8-bit latches. One for data-outand one for data input. The user writes 8-bit data to thePORTD data latch and reads data from the port pinlatch (note that they have the same address). In thismode, the TRISD register is ignored, since the micro-processor is controlling the direction of data flow.

A write to the PSP occurs when both the CS and WRlines are first detected low. When either the CS or WRlines become high (level triggered), the Input Buffer Full(IBF) status flag bit (TRISE<7>) is set on the Q4 clockcycle, following the next Q2 cycle, to signal the write iscomplete (Figure 3-10). The interrupt flag bit PSPIF(PIR1<7>) is also set on the same Q4 clock cycle. IBFcan only be cleared by reading the PORTD input latch.The Input Buffer Overflow (IBOV) status flag bit(TRISE<5>) is set if a second write to the PSP isattempted when the previous byte has not been readout of the buffer.

A read from the PSP occurs when both the CS and RDlines are first detected low. The Output Buffer Full(OBF) status flag bit (TRISE<6>) is cleared immedi-ately (Figure 3-11) indicating that the PORTD latch iswaiting to be read by the external bus. When either theCS or RD pin becomes high (level triggered), the inter-rupt flag bit PSPIF is set on the Q4 clock cycle, follow-ing the next Q2 cycle, indicating that the read iscomplete. OBF remains low until data is written toPORTD by the user firmware.

When not in PSP mode, the IBF and OBF bits are heldclear. However, if flag bit IBOV was previously set, itmust be cleared in firmware.

An interrupt is generated and latched into flag bitPSPIF when a read or write operation is completed.PSPIF must be cleared by the user in firmware and theinterrupt can be disabled by clearing the interruptenable bit PSPIE (PIE1<7>).

FIGURE 3-9: PORTD AND PORTE BLOCK DIAGRAM (PARALLEL SLAVE PORT)

Data Bus

WRPORT

RD

RDx

QD

CK

EN

Q D

ENPORT

pin

One bit of PORTD

Set interrupt flag

PSPIF (PIR1<7>)

Read

Chip Select

Write

RD

CS

WR

Note: I/O pin has protection diodes to VDD and VSS.

TTL

TTL

TTL

TTL

DS30292B-page 38 1999 Microchip Technology Inc.

Page 91: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 3-10: PARALLEL SLAVE PORT WRITE WAVEFORMS

FIGURE 3-11: PARALLEL SLAVE PORT READ WAVEFORMS

TABLE 3-11: REGISTERS ASSOCIATED WITH PARALLEL SLAVE PORT

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

08h PORTD Port data latch when written: Port pins when read xxxx xxxx uuuu uuuu

09h PORTE — — — — — RE2 RE1 RE0 ---- -xxx ---- -uuu

89h TRISE IBF OBF IBOV PSPMODE — PORTE Data Direction Bits 0000 -111 0000 -111

0Ch PIR1 PSPIF ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

8Ch PIE1 PSPIE ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

9Fh ADCON1 ADFM — — — PCFG3 PCFG2 PCFG1 PCFG0 --0- 0000 --0- 0000

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by the Parallel Slave Port.

Q1 Q2 Q3 Q4

CS

Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

WR

RD

IBF

OBF

PSPIF

PORTD<7:0>

Q1 Q2 Q3 Q4

CS

Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

WR

IBF

PSPIF

RD

OBF

PORTD<7:0>

1999 Microchip Technology Inc. DS30292B-page 39

Page 92: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 40 1999 Microchip Technology Inc.

Page 93: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

4.0 DATA EEPROM AND FLASH PROGRAM MEMORY

The Data EEPROM and FLASH Program Memory arereadable and writable during normal operation over theentire VDD range. A bulk erase operation may not beissued from user code (which includes removing codeprotection). The data memory is not directly mapped inthe register file space. Instead it is indirectly addressedthrough the Special Function Registers (SFR).

There are six SFRs used to read and write the programand data EEPROM memory. These registers are:

• EECON1• EECON2• EEDATA

• EEDATH• EEADR• EEADRH

The EEPROM data memory allows byte read and write.When interfacing to the data memory block, EEDATAholds the 8-bit data for read/write and EEADR holds theaddress of the EEPROM location being accessed. Theregisters EEDATH and EEADRH are not used for dataEEPROM access. These devices have up to 256 bytesof data EEPROM with an address range from 0h toFFh.

The EEPROM data memory is rated for high erase/write cycles. The write time is controlled by an on-chiptimer. The write time will vary with voltage and temper-ature, as well as from chip-to-chip. Please refer to thespecifications for exact limits.

The program memory allows word reads and writes.Program memory access allows for checksum calcula-tion and calibration table storage. A byte or word writeautomatically erases the location and writes the newdata (erase before write). Writing to program memorywill cease operation until the write is complete. The pro-gram memory cannot be accessed during the write,therefore code cannot execute. During the write opera-tion, the oscillator continues to clock the peripherals,and therefore they continue to operate. Interrupt eventswill be detected and essentially “queued” until the writeis completed. When the write completes, the nextinstruction in the pipeline is executed and the branch tothe interrupt vector address will occur.

When interfacing to the program memory block, theEEDATH:EEDATA registers form a two byte word,which holds the 14-bit data for read/write. TheEEADRH:EEADR registers form a two byte word,which holds the 13-bit address of the EEPROM loca-tion being accessed. These devices can have up to 8Kwords of program EEPROM with an address rangefrom 0h to 3FFFh. The unused upper bits in both theEEDATH and EEDATA registers all read as “0’s”.

The value written to program memory does not need tobe a valid instruction. Therefore, up to 14-bit numberscan be stored in memory for use as calibration param-eters, serial numbers, packed 7-bit ASCII, etc. Execut-ing a program memory location containing data thatforms an invalid instruction results in a NOP.

4.1 EEADR

The address registers can address up to a maximum of256 bytes of data EEPROM or up to a maximum of 8Kwords of program FLASH.

When selecting a program address value, the MSByteof the address is written to the EEADRH register andthe LSByte is written to the EEADR register. Whenselecting a data address value, only the LSByte of theaddress is written to the EEADR register.

On the PIC16F873/874 devices with 128 bytes ofEEPROM, the MSbit of the EEADR must always becleared to prevent inadvertent access to the wronglocation. This also applies to the program memory. Theupper MSbits of EEADRH must always be clear.

4.2 EECON1 and EECON2 Registers

EECON1 is the control register for memory accesses.

EECON2 is not a physical register. Reading EECON2will read all '0's. The EECON2 register is usedexclusively in the memory write sequence.

Control bit EEPGD determines if the access will be aprogram or a data memory access. When clear, anysubsequent operations will operate on the data mem-ory. When set, any subsequent operations will operateon the program memory.

Control bits RD and WR initiate read and write opera-tions, respectively. These bits cannot be cleared, onlyset, in software. They are cleared in hardware at thecompletion of the read or write operation. The inabilityto clear the WR bit in software prevents the accidentalor premature termination of a write operation.

The WREN bit, when set, will allow a write operation.On power-up, the WREN bit is clear. The WRERR bit isset when a write operation is interrupted by a MCLRreset or a WDT time-out reset during normal operation.In these situations, following reset, the user can checkthe WRERR bit and rewrite the location. The value ofthe data and address registers and the EEPGD bitremains unchanged.

Interrupt flag bit EEIF, in the PIR2 register, is set whenwrite is complete. It must be cleared in software.

1999 Microchip Technology Inc. DS30292B-page 41

Page 94: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 4-1: EECON1 REGISTER (ADDRESS 18Ch)

R/W-x U-0 U-0 U-0 R/W-x R/W-0 R/S-0 R/S-0

EEPGD — — — WRERR WREN WR RD R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n= Value at POR reset

bit7 bit0

bit 7: EEPGD: Program / Data EEPROM Select bit1 = Accesses Program memory0 = Accesses data memory(This bit cannot be changed while a read or write operation is in progress)

bit 6:4: Unimplemented: Read as '0'

bit 3: WRERR: EEPROM Error Flag bit1 = A write operation is prematurely terminated(any MCLR reset or any WDT reset during normal operation)0 = The write operation completed

bit 2: WREN: EEPROM Write Enable bit1 = Allows write cycles0 = Inhibits write to the EEPROM

bit 1: WR: Write Control bit1 = initiates a write cycle. (The bit is cleared by hardware once write is complete. The WR bit can only beset (not cleared) in software.0 = Write cycle to the EEPROM is complete

bit 0: RD: Read Control bit1 = Initiates an EEPROM read RD is cleared in hardware. The RD bit can only be set (not cleared) insoftware.0 = Does not initiate an EEPROM read

DS30292B-page 42 1999 Microchip Technology Inc.

Page 95: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

4.3 Reading the Data EEPROM Memory

To read a data memory location, the user must write theaddress to the EEADR register, clear the EEPGD con-trol bit (EECON1<7>) and then set control bit RD(EECON1<0>). The data is available in the very nextinstruction cycle of the EEDATA register, therefore itcan be read by the next instruction. EEDATA will holdthis value until another read operation or until it is writ-ten to by the user (during a write operation).

EXAMPLE 4-1: DATA EEPROM READBSF STATUS, RP1 ; BCF STATUS, RP0 ;Bank 2MOVLW DATA_EE_ADDR ;MOVWF EEADR ;Data Memory Address to readBSF STATUS, RP0 ;Bank 3BCF EECON1, EEPGD ;Point to DATA memoryBSF EECON1, RD ;EEPROM ReadBCF STATUS, RP0 ;Bank 2 MOVF EEDATA, W ;W = EEDATA

4.4 Writing to the Data EEPROM Memory

To write an EEPROM data location, the address mustfirst be written to the EEADR register and the data writ-ten to the EEDATA register. Then the sequence inExample 4-2 must be followed to initiate the write cycle.

EXAMPLE 4-2: DATA EEPROM WRITE

The write will not initiate if the above sequence is notexactly followed (write 55h to EECON2, write AAh toEECON2, then set WR bit) for each byte. It is stronglyrecommended that interrupts be disabled during thiscode segment.

Additionally, the WREN bit in EECON1 must be set toenable writes. This mechanism prevents accidentalwrites to data EEPROM due to unexpected code exe-cution (i.e., runaway programs). The WREN bit shouldbe kept clear at all times, except when updating theEEPROM. The WREN bit is not cleared by hardware

After a write sequence has been initiated, clearing theWREN bit will not affect the current write cycle. The WRbit will be inhibited from being set unless the WREN bit

is set. The WREN bit must be set on a previous instruc-tion. Both WR and WREN cannot be set with the sameinstruction.

At the completion of the write cycle, the WR bit iscleared in hardware and the EEPROM Write CompleteInterrupt Flag bit (EEIF) is set. EEIF must be cleared bysoftware.

BSF STATUS, RP1 ;

BCF STATUS, RP0 ; Bank 2

MOVLW DATA_EE_ADDR ;

MOVWF EEADR ; Data Memory Address to write

MOVLW DATA_EE_DATA ;

MOVWF EEDATA ; Data Memory Value to write

BSF STATUS, RP0 ; Bank 3

BCF EECON1, EEPGD ; Point to DATA memory

BSF EECON1, WREN ; Enable writes

BCF INTCON, GIE ; Disable Interrupts

MOVLW 55h ;

Required MOVWF EECON2 ; Write 55h

Sequence MOVLW AAh ;

MOVWF EECON2 ; Write AAh

BSF EECON1, WR ; Set WR bit to begin write

BSF INTCON, GIE ; Enable Interrupts

SLEEP ; Wait for interrupt to signal write complete

BCF EECON1, WREN ; Disable writes

1999 Microchip Technology Inc. DS30292B-page 43

Page 96: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

4.5 Reading the FLASH Program Memory

A program memory location may be read by writing twobytes of the address to the EEADR and EEADRH reg-isters, setting the EEPGD control bit (EECON1<7>)and then setting control bit RD (EECON1<0>). Oncethe read control bit is set, the microcontroller will usethe next two instruction cycles to read the data. The

data is available in the EEDATA and EEDATH registersafter the second NOP instruction. Therefore, it can beread as two bytes in the following instructions. TheEEDATA and EEDATH registers will hold this value untilanother read operation or until it is written to by the user(during a write operation).

EXAMPLE 4-3: FLASH PROGRAM READ BSF STATUS, RP1 ;

BCF STATUS, RP0 ; Bank 2

MOVLW ADDRH ;

MOVWF EEADRH ; MSByte of Program Address to read

MOVLW ADDRL ;

MOVWF EEADR ; LSByte of Program Address to read

BSF STATUS, RP0 ; Bank 3

BSF EECON1, EEPGD ; Point to PROGRAM memory

Required BSF EECON1, RD ; EEPROM Read

Sequence

NOP ; memory is read in the next two cycles after BSF EECON1,RD

NOP ;

BCF STATUS, RP0 ; Bank 2

MOVF EEDATA, W ; W = LSByte of Program EEDATA

MOVF EEDATH, W ; W = MSByte of Program EEDATA

DS30292B-page 44 1999 Microchip Technology Inc.

Page 97: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

4.6 Writing to the FLASH Program Memory

A word of the FLASH program memory may only bewritten to if the word is in a non-code protected seg-ment of memory and the WRT configuration bit is set.To write a FLASH program location, the first two bytesof the address must be written to the EEADR andEEADRH registers and two bytes of the data to theEEDATA and EEDATH registers, set the EEPGD con-

trol bit (EECON1<7>), and then set control bit WR(EECON1<1>). The sequence in Example 4-4 must befollowed to initiate a write to program memory.

The microcontroller will then halt internal operationsduring the next two instruction cycles for the TPEW

(parameter D133) in which the write takes place. Thisis not SLEEP mode, as the clocks and peripherals willcontinue to run. Therefore, the two instructions follow-ing the “BSF EECON, WR” should be NOP instructions.After the write cycle, the microcontroller will resumeoperation with the 3rd instruction after the EECON1write instruction.

EXAMPLE 4-4: FLASH PROGRAM WRITE

4.7 Write Verify

Depending on the application, good programming prac-tice may dictate that the value written to the memoryshould be verified against the original value. Thisshould be used in applications where excessive writescan stress bits near the specification limit.

Generally a write failure will be a bit which was writtenas a '1', but reads back as a '0' (due to leakage off thebit).

BSF STATUS, RP1 ;

BCF STATUS, RP0 ; Bank 2

MOVLW ADDRH ;

MOVWF EEADRH ; MSByte of Program Address to read

MOVLW ADDRL ;

MOVWF EEADR ; LSByte of Program Address to read

MOVLW DATAH ;

MOVWF EEDATH ; MS Program Memory Value to write

MOVLW DATAL ;

MOVWF EEDATA ; LS Program Memory Value to write

BSF STATUS, RP0 ; Bank 3

BSF EECON1, EEPGD ; Point to PROGRAM memory

BSF EECON1, WREN ; Enable writes

BCF INTCON, GIE ; Disable Interrupts

MOVLW 55h ;

Required MOVWF EECON2 ; Write 55h

Sequence MOVLW AAh ;

MOVWF EECON2 ; Write AAh

BSF EECON1, WR ; Set WR bit to begin write

NOP ; Instructions here are ignored by the microcontroller

NOP

; Microcontroller will halt operation and wait for

; a write complete. After the write

; the microcontroller continues with 3rd instruction

BSF INTCON, GIE ; Enable Interrupts

BCF EECON1, WREN ; Disable writes

1999 Microchip Technology Inc. DS30292B-page 45

Page 98: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

4.8 Protection Against Spurious Write

4.8.1 EEPROM DATA MEMORY

There are conditions when the device may not want towrite to the data EEPROM memory. To protect againstspurious EEPROM writes, various mechanisms havebeen built-in. On power-up, the WREN bit is cleared.Also, the Power-up Timer (72 ms duration) preventsEEPROM write.

The write initiate sequence and the WREN bit togetherhelp prevent an accidental write during brown-out,power glitch, or software malfunction.

4.8.2 PROGRAM FLASH MEMORY

To protect against spurious writes to FLASH programmemory, the WRT bit in the configuration word may beprogrammed to ‘0’ to prevent writes. The write initiatesequence must also be followed. WRT and the config-uration word cannot be programmed by user code, onlythrough the use of an external programmer.

4.9 Operation during Code Protect

Each reprogrammable memory block has its own codeprotect mechanism. External Read and Write opera-tions are disabled if either of these mechanisms areenabled.

4.9.1 DATA EEPROM MEMORY

The microcontroller itself can both read and write to theinternal Data EEPROM, regardless of the state of thecode protect configuration bit.

4.9.2 PROGRAM FLASH MEMORY

The microcontroller can read and execute instructionsout of the internal FLASH program memory, regardlessof the state of the code protect configuration bits. How-ever the WRT configuration bit and the code protect bitshave different effects on writing to program memory.Table 4-1 shows the various configurations and statusof reads and writes. To erase the WRT or code protec-tion bits in the configuration word requires that thedevice be fully erased.

TABLE 4-2: REGISTERS ASSOCIATED WITH DATA EEPROM/PROGRAM FLASH

TABLE 4-1: READ/WRITE STATE OF INTERNAL FLASH PROGRAM MEMORY

Configuration BitsMemory Location

Internal Read

Internal Write

ICSP Read ICSP WriteCP1 CP0 WRT

0 0 x All program memory Yes No No No0 1 0 Unprotected areas Yes No Yes No0 1 0 Protected areas Yes No No No

0 1 1 Unprotected areas Yes Yes Yes No0 1 1 Protected areas Yes No No No

1 0 0 Unprotected areas Yes No Yes No1 0 0 Protected areas Yes No No No1 0 1 Unprotected areas Yes Yes Yes No

1 0 1 Protected areas Yes No No No1 1 0 All program memory Yes No Yes Yes1 1 1 All program memory Yes Yes Yes Yes

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other

resets

0Bh, 8Bh,10Bh, 18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

10Dh EEADR EEPROM address register xxxx xxxx uuuu uuuu

10Fh EEADRH — — — EEPROM address high xxxx xxxx uuuu uuuu

10Ch EEDATA EEPROM data resister xxxx xxxx uuuu uuuu

10Eh EEDATH — — EEPROM data resister high xxxx xxxx uuuu uuuu

18Ch EECON1 EEPGD — — — WRERR WREN WR RD x--- x000 x--- u000

18Dh EECON2 EEPROM control resister2 (not a physical resister)

8Dh PIE2 — (1) — EEIE BCLIE — — CCP2IE -r-0 0--0 -r-0 0--0

0Dh PIR2 — (1) — EEIF BCLIF — — CCP2IF -r-0 0--0 -r-0 0--0

Legend: x = unknown, u = unchanged, r = reserved, - = unimplemented read as ’0’. Shaded cells are not used during FLASH/EEPROM access.

Note 1: These bits are reserved; always maintain these bits clear.

DS30292B-page 46 1999 Microchip Technology Inc.

Page 99: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

5.0 TIMER0 MODULEThe Timer0 module timer/counter has the following fea-tures:

• 8-bit timer/counter• Readable and writable

• 8-bit software programmable prescaler• Internal or external clock select• Interrupt on overflow from FFh to 00h

• Edge select for external clock

Figure 5-1 is a block diagram of the Timer0 module andthe prescaler shared with the WDT.

Additional information on the Timer0 module is availablein the PICmicro™ Mid-Range MCU Family ReferenceManual (DS33023).

Timer mode is selected by clearing bit T0CS(OPTION_REG<5>). In timer mode, the Timer0 mod-ule will increment every instruction cycle (without pres-caler). If the TMR0 register is written, the increment isinhibited for the following two instruction cycles. Theuser can work around this by writing an adjusted valueto the TMR0 register.

Counter mode is selected by setting bit T0CS(OPTION_REG<5>). In counter mode, Timer0 willincrement either on every rising or falling edge of pinRA4/T0CKI. The incrementing edge is determined bythe Timer0 Source Edge Select bit T0SE(OPTION_REG<4>). Clearing bit T0SE selects the ris-ing edge. Restrictions on the external clock input arediscussed in detail in Section 5.2.

The prescaler is mutually exclusively shared betweenthe Timer0 module and the watchdog timer. The pres-caler is not readable or writable. Section 5.3 details theoperation of the prescaler.

5.1 Timer0 Interrupt

The TMR0 interrupt is generated when the TMR0 reg-ister overflows from FFh to 00h. This overflow sets bitT0IF (INTCON<2>). The interrupt can be masked byclearing bit T0IE (INTCON<5>). Bit T0IF must becleared in software by the Timer0 module interrupt ser-vice routine before re-enabling this interrupt. TheTMR0 interrupt cannot awaken the processor fromSLEEP since the timer is shut off during SLEEP.

FIGURE 5-1: BLOCK DIAGRAM OF THE TIMER0/WDT PRESCALER

RA4/T0CKI

T0SE

Pin

MUX

CLKOUT (= FOSC/4)

SYNC2

CyclesTMR0 reg

8-bit Prescaler

8 - to - 1MUX

MUX

M U X

WatchdogTimer

PSA

0 1

0

1

WDTTime-out

PS2:PS0

8

Note: T0CS, T0SE, PSA, PS2:PS0 are (OPTION_REG<5:0>).

PSA

WDT Enable bit

MUX

0

1 0

1

Data Bus

Set Flag Bit T0IFon Overflow

8

PSAT0CS

PRESCALER

1999 Microchip Technology Inc. DS30292B-page 47

Page 100: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

5.2 Using Timer0 with an External Clock

When no prescaler is used, the external clock input isthe same as the prescaler output. The synchronizationof T0CKI with the internal phase clocks is accom-plished by sampling the prescaler output on the Q2 andQ4 cycles of the internal phase clocks. Therefore, it isnecessary for T0CKI to be high for at least 2Tosc (anda small RC delay of 20 ns) and low for at least 2Tosc(and a small RC delay of 20 ns). Refer to the electricalspecification of the desired device.

5.3 Prescaler

There is only one prescaler available, which is mutuallyexclusively shared between the Timer0 module and thewatchdog timer. A prescaler assignment for the Timer0

module means that there is no prescaler for the watch-dog timer, and vice-versa. This prescaler is not readableor writable (see Figure 5-1).

The PSA and PS2:PS0 bits (OPTION_REG<3:0>) deter-mine the prescaler assignment and prescale ratio.

When assigned to the Timer0 module, all instructionswriting to the TMR0 register (e.g. CLRF 1, MOVWF 1,BSF 1,x....etc.) will clear the prescaler. When assignedto WDT, a CLRWDT instruction will clear the prescaleralong with the Watchdog Timer. The prescaler is notreadable or writable.

REGISTER 5-1: OPTION_REG REGISTER

Note: Writing to TMR0, when the prescaler isassigned to Timer0, will clear the prescalercount, but will not change the prescalerassignment.

R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1

RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0 R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit 7 bit 0

bit 7: RBPU

bit 6: INTEDG

bit 5: T0CS: TMR0 Clock Source Select bit 1 = Transition on T0CKI pin 0 = Internal instruction cycle clock (CLKOUT)

bit 4: T0SE: TMR0 Source Edge Select bit 1 = Increment on high-to-low transition on T0CKI pin 0 = Increment on low-to-high transition on T0CKI pin

bit 3: PSA: Prescaler Assignment bit 1 = Prescaler is assigned to the WDT 0 = Prescaler is assigned to the Timer0 module

bit 2-0: PS2:PS0: Prescaler Rate Select bits

000001010011100101110111

1 : 21 : 41 : 81 : 161 : 321 : 641 : 1281 : 256

1 : 11 : 21 : 41 : 81 : 161 : 321 : 641 : 128

Bit Value TMR0 Rate WDT Rate

Note: To avoid an unintended device RESET, the instruction sequence shown in the PICmicro™ Mid-Range MCUFamily Reference Manual (DS33023) must be executed when changing the prescaler assignment fromTimer0 to the WDT. This sequence must be followed even if the WDT is disabled.

DS30292B-page 48 1999 Microchip Technology Inc.

Page 101: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 5-1: REGISTERS ASSOCIATED WITH TIMER0

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other resets

01h,101h TMR0 Timer0 module’s register xxxx xxxx uuuu uuuu

0Bh,8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

81h,181h OPTION_REG RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0 1111 1111 1111 1111

Legend: x = unknown, u = unchanged, - = unimplemented locations read as '0'. Shaded cells are not used by Timer0.

1999 Microchip Technology Inc. DS30292B-page 49

Page 102: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 50 1999 Microchip Technology Inc.

Page 103: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

6.0 TIMER1 MODULEThe Timer1 module is a 16-bit timer/counter consistingof two 8-bit registers (TMR1H and TMR1L), which arereadable and writable. The TMR1 Register pair(TMR1H:TMR1L) increments from 0000h to FFFFhand rolls over to 0000h. The TMR1 Interrupt, if enabled,is generated on overflow, which is latched in interruptflag bit TMR1IF (PIR1<0>). This interrupt can beenabled/disabled by setting/clearing TMR1 interruptenable bit TMR1IE (PIE1<0>).

Timer1 can operate in one of two modes:

• As a timer• As a counter

The operating mode is determined by the clock selectbit, TMR1CS (T1CON<1>).

In timer mode, Timer1 increments every instructioncycle. In counter mode, it increments on every risingedge of the external clock input.

Timer1 can be enabled/disabled by setting/clearingcontrol bit TMR1ON (T1CON<0>).

Timer1 also has an internal “reset input”. This reset canbe generated by either of the two CCP modules(Section 8.0). Register 6-1 shows the Timer1 controlregister.

When the Timer1 oscillator is enabled (T1OSCEN isset), the RC1/T1OSI/CCP2 and RC0/T1OSO/T1CKIpins become inputs. That is, the TRISC<1:0> value isignored.

Additional information on timer modules is available inthe PICmicro™ Mid-range MCU Family ReferenceManual (DS33023).

REGISTER 6-1: T1CON: TIMER1 CONTROL REGISTER (ADDRESS 10h)

U-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

— — T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit7 bit0

bit 7-6: Unimplemented: Read as ’0’

bit 5-4: T1CKPS1:T1CKPS0: Timer1 Input Clock Prescale Select bits11 = 1:8 Prescale value10 = 1:4 Prescale value01 = 1:2 Prescale value00 = 1:1 Prescale value

bit 3: T1OSCEN: Timer1 Oscillator Enable Control bit1 = Oscillator is enabled0 = Oscillator is shut off (The oscillator inverter is turned off to eliminate power drain)

bit 2: T1SYNC: Timer1 External Clock Input Synchronization Control bit

TMR1CS = 11 = Do not synchronize external clock input0 = Synchronize external clock input

TMR1CS = 0This bit is ignored. Timer1 uses the internal clock when TMR1CS = 0.

bit 1: TMR1CS: Timer1 Clock Source Select bit1 = External clock from pin RC0/T1OSO/T1CKI (on the rising edge)0 = Internal clock (FOSC/4)

bit 0: TMR1ON: Timer1 On bit1 = Enables Timer10 = Stops Timer1

1999 Microchip Technology Inc. DS30292B-page 51

Page 104: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

6.1 Timer1 Operation in Timer Mode

Timer mode is selected by clearing the TMR1CS(T1CON<1>) bit. In this mode, the input clock to thetimer is FOSC/4. The synchronize control bit T1SYNC(T1CON<2>) has no effect since the internal clock isalways in sync.

6.2 Timer1 Counter Operation

Timer1 may operate in asynchronous or usynchronousmode depnding on the setting of the TMR1CS bit.

When Timer1 is being incremented via an externalsource, increments occur on a rising edge. AfterTimer1 is enabled in counter mode, the module mustfirst have a falling edge before the counter begins toincrement.

FIGURE 6-1: TIMER1 INCREMENTING EDGE

6.3 Timer1 Operation in Synchronized Counter Mode

Counter mode is selected by setting bit TMR1CS. Inthis mode, the timer increments on every rising edge ofclock input on pin RC1/T1OSI/CCP2, when bitT1OSCEN is set, or on pin RC0/T1OSO/T1CKI, whenbit T1OSCEN is cleared.

If T1SYNC is cleared, then the external clock input issynchronized with internal phase clocks. The synchro-nization is done after the prescaler stage. The pres-caler stage is an asynchronous ripple-counter.

In this configuration, during SLEEP mode, Timer1 willnot increment even if the external clock is present,since the synchronization circuit is shut off. The pres-caler however will continue to increment.

FIGURE 6-2: TIMER1 BLOCK DIAGRAM

T1CKI

(Default high)

T1CKI

(Default low)

Note: Arrows indicate counter increments.

TMR1H TMR1L

T1OSCT1SYNC

TMR1CST1CKPS1:T1CKPS0

Q Clock

T1OSCENEnableOscillator(1)

FOSC/4InternalClock

TMR1ONon/off

Prescaler1, 2, 4, 8

Synchronize

det

1

0

0

1

Synchronizedclock input

2

RC0/T1OSO/T1CKI

RC1/T1OSI/CCP2(2)

Note 1: When the T1OSCEN bit is cleared, the inverter is turned off. This eliminates power drain.2: For the PIC16F873/876, the Schmitt Trigger is not implemented in external clock mode.

Set flag bitTMR1IF onOverflow

TMR1

(2)

DS30292B-page 52 1999 Microchip Technology Inc.

Page 105: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

6.4 Timer1 Operation in Asynchronous Counter Mode

If control bit T1SYNC (T1CON<2>) is set, the externalclock input is not synchronized. The timer continues toincrement asynchronous to the internal phase clocks.The timer will continue to run during SLEEP and cangenerate an interrupt on overflow, which will wake-upthe processor. However, special precautions in soft-ware are needed to read/write the timer (Section 6.4.1).

In asynchronous counter mode, Timer1 can not be usedas a time-base for capture or compare operations.

6.4.1 READING AND WRITING TIMER1 IN ASYNCHRONOUS COUNTER MODE

Reading TMR1H or TMR1L while the timer is runningfrom an external asynchronous clock will guarantee avalid read (taken care of in hardware). However, theuser should keep in mind that reading the 16-bit timerin two 8-bit values itself poses certain problems, sincethe timer may overflow between the reads.

For writes, it is recommended that the user simply stopthe timer and write the desired values. A write conten-tion may occur by writing to the timer registers, whilethe register is incrementing. This may produce anunpredictable value in the timer register.

Reading the 16-bit value requires some care. Examples12-2 and 12-3 in the PICmicro™ Mid-Range MCU Fam-ily Reference Manual (DS33023) show how to read andwrite Timer1 when it is running in asynchronous mode.

6.5 Timer1 Oscillator

A crystal oscillator circuit is built-in between pins T1OSI(input) and T1OSO (amplifier output). It is enabled bysetting control bit T1OSCEN (T1CON<3>). The oscilla-tor is a low power oscillator rated up to 200 kHz. It willcontinue to run during SLEEP. It is primarily intendedfor use with a 32 kHz crystal. Table 6-1 shows thecapacitor selection for the Timer1 oscillator.

The Timer1 oscillator is identical to the LP oscillator.The user must provide a software time delay to ensureproper oscillator start-up.

TABLE 6-1: CAPACITOR SELECTION FOR THE TIMER1 OSCILLATOR

6.6 Resetting Timer1 using a CCP Trigger Output

If the CCP1 or CCP2 module is configured in comparemode to generate a “special event trigger”(CCP1M3:CCP1M0 = 1011), this signal will resetTimer1.

Timer1 must be configured for either timer or synchro-nized counter mode to take advantage of this feature. IfTimer1 is running in asynchronous counter mode, thisreset operation may not work.

In the event that a write to Timer1 coincides with a spe-cial event trigger from CCP1 or CCP2, the write willtake precedence.

In this mode of operation, the CCPRxH:CCPRxL regis-ter pair effectively becomes the period register forTimer1.

6.7 Resetting of Timer1 Register Pair (TMR1H, TMR1L)

TMR1H and TMR1L registers are not reset to 00h on aPOR or any other reset except by the CCP1 and CCP2special event triggers.

T1CON register is reset to 00h on a Power-on Reset ora Brown-out Reset, which shuts off the timer andleaves a 1:1 prescale. In all other resets, the register isunaffected.

6.8 Timer1 Prescaler

The prescaler counter is cleared on writes to theTMR1H or TMR1L registers.

Osc Type Freq C1 C2

LP 32 kHz 33 pF 33 pF100 kHz 15 pF 15 pF200 kHz 15 pF 15 pF

These values are for design guidance only.

Crystals Tested:

32.768 kHz Epson C-001R32.768K-A ± 20 PPM

100 kHz Epson C-2 100.00 KC-P ± 20 PPM200 kHz STD XTL 200.000 kHz ± 20 PPMNote 1: Higher capacitance increases the stability of

oscillator but also increases the start-up time. 2: Since each resonator/crystal has its own charac-

teristics, the user should consult the resonator/crystal manufacturer for appropriate values of external components.

Note: The special event triggers from the CCP1and CCP2 modules will not set interruptflag bit TMR1IF (PIR1<0>).

1999 Microchip Technology Inc. DS30292B-page 53

Page 106: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 6-2: REGISTERS ASSOCIATED WITH TIMER1 AS A TIMER/COUNTER

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other

resets

0Bh,8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

0Eh TMR1L Holding register for the Least Significant Byte of the 16-bit TMR1 register xxxx xxxx uuuu uuuu

0Fh TMR1H Holding register for the Most Significant Byte of the 16-bit TMR1 register xxxx xxxx uuuu uuuu

10h T1CON — — T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON --00 0000 --uu uuuu

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by the Timer1 module.Note 1: Bits PSPIE and PSPIF are reserved on the PIC16F873/874; always maintain these bits clear.

DS30292B-page 54 1999 Microchip Technology Inc.

Page 107: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

7.0 TIMER2 MODULETimer2 is an 8-bit timer with a prescaler and apostscaler. It can be used as the PWM time-base forthe PWM mode of the CCP module(s). The TMR2 reg-ister is readable and writable, and is cleared on anydevice reset.

The input clock (FOSC/4) has a prescale option of 1:1,1:4 or 1:16, selected by control bitsT2CKPS1:T2CKPS0 (T2CON<1:0>).

The Timer2 module has an 8-bit period register PR2.Timer2 increments from 00h until it matches PR2 andthen resets to 00h on the next increment cycle. PR2 isa readable and writable register. The PR2 register is ini-tialized to FFh upon reset.

The match output of TMR2 goes through a 4-bitpostscaler (which gives a 1:1 to 1:16 scaling inclusive)to generate a TMR2 interrupt (latched in flag bitTMR2IF, (PIR1<1>)).

Timer2 can be shut off by clearing control bit TMR2ON(T2CON<2>) to minimize power consumption.

Register 7-1 shows the Timer2 control register.

Additional information on timer modules is available inthe PICmicro™ Mid-Range MCU Family ReferenceManual (DS33023).

7.1 Timer2 Prescaler and Postscaler

The prescaler and postscaler counters are clearedwhen any of the following occurs:

• a write to the TMR2 register• a write to the T2CON register• any device reset (POR, MCLR reset, WDT reset

or BOR)

TMR2 is not cleared when T2CON is written.

7.2 Output of TMR2

The output of TMR2 (before the postscaler) is fed to theSSPort module, which optionally uses it to generateshift clock.

FIGURE 7-1: TIMER2 BLOCK DIAGRAM

REGISTER 7-1: T2CON: TIMER2 CONTROL REGISTER (ADDRESS 12h)

Comparator

TMR2Sets flag

TMR2 reg

output (1)

Reset

Postscaler

Prescaler

PR2 reg

2

FOSC/4

1:1 1:16

1:1, 1:4, 1:16

EQ

4

bit TMR2IF

Note 1: TMR2 register output can be software selected by the SSP module as a baud clock.

to

T2OUTPS3:T2OUTPS0

T2CKPS1:T2CKPS0

U-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

— TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0 R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit7 bit0

bit 7: Unimplemented: Read as '0'

bit 6-3: TOUTPS3:TOUTPS0: Timer2 Output Postscale Select bits0000 = 1:1 Postscale0001 = 1:2 Postscale0010 = 1:3 Postscale•••1111 = 1:16 Postscale

bit 2: TMR2ON: Timer2 On bit1 = Timer2 is on0 = Timer2 is off

bit 1-0: T2CKPS1:T2CKPS0: Timer2 Clock Prescale Select bits00 = Prescaler is 101 = Prescaler is 41x = Prescaler is 16

1999 Microchip Technology Inc. DS30292B-page 55

Page 108: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 7-1: REGISTERS ASSOCIATED WITH TIMER2 AS A TIMER/COUNTER

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other

resets

0Bh,8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

11h TMR2 Timer2 module’s register 0000 0000 0000 0000

12h T2CON — TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0 -000 0000 -000 0000

92h PR2 Timer2 Period Register 1111 1111 1111 1111

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by the Timer2 module.Note 1: Bits PSPIE and PSPIF are reserved on the PIC16F873/874; always maintain these bits clear.

DS30292B-page 56 1999 Microchip Technology Inc.

Page 109: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

8.0 CAPTURE/COMPARE/PWMMODULES

Each Capture/Compare/PWM (CCP) module containsa 16-bit register which can operate as a:

• 16-bit Capture register

• 16-bit Compare register

• PWM master/slave Duty Cycle register

Both the CCP1 and CCP2 modules are identical inoperation, with the exception being the operation of thespecial event trigger. Table 8-1 and Table 8-2 show theresources and interactions of the CCP module(s). Inthe following sections, the operation of a CCP moduleis described with respect to CCP1. CCP2 operates thesame as CCP1, except where noted.

CCP1 Module:

Capture/Compare/PWM Register1 (CCPR1) is com-prised of two 8-bit registers: CCPR1L (low byte) andCCPR1H (high byte). The CCP1CON register controlsthe operation of CCP1. The special event trigger is gen-erated by a compare match and will reset Timer1.

CCP2 Module:

Capture/Compare/PWM Register1 (CCPR2) is com-prised of two 8-bit registers: CCPR2L (low byte) andCCPR2H (high byte). The CCP2CON register controlsthe operation of CCP2. The special event trigger is gen-erated by a compare match and will reset Timer1 andstart an A/D conversion (if the A/D module is enabled).

Additional information on CCP modules is available inthe PICmicro™ Mid-Range MCU Family ReferenceManual (DS33023) and in Application Note 594, “Usingthe CCP Modules” (DS00594).

TABLE 8-1: CCP MODE - TIMERRESOURCES REQUIRED

TABLE 8-2: INTERACTION OF TWO CCP MODULES

CCP Mode Timer Resource

CaptureCompare

PWM

Timer1Timer1Timer2

CCPx Mode CCPy Mode Interaction

Capture Capture Same TMR1 time-base.

Capture Compare The compare should be configured for the special event trigger, which clears TMR1.

Compare Compare The compare(s) should be configured for the special event trigger, which clears TMR1.

PWM PWM The PWMs will have the same frequency and update rate (TMR2 interrupt).

PWM Capture None.

PWM Compare None.

1999 Microchip Technology Inc. DS30292B-page 57

Page 110: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 8-1: CCP1CON REGISTER/CCP2CON REGISTER (ADDRESS: 17h/1dh)

U-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

— — CCPxX CCPxY CCPxM3 CCPxM2 CCPxM1 CCPxM0 R = Readable bitW = Writable bitU = Unimplemented bit, read as

‘0’- n = Value at POR reset

bit7 bit0

bit 7-6: Unimplemented: Read as '0'

bit 5-4: CCPxX:CCPxY: PWM Least Significant bitsCapture Mode: UnusedCompare Mode: UnusedPWM Mode: These bits are the two LSbs of the PWM duty cycle. The eight MSbs are found in CCPRxL.

bit 3-0: CCPxM3:CCPxM0: CCPx Mode Select bits0000 = Capture/Compare/PWM off (resets CCPx module)0100 = Capture mode, every falling edge0101 = Capture mode, every rising edge0110 = Capture mode, every 4th rising edge0111 = Capture mode, every 16th rising edge1000 = Compare mode, set output on match (CCPxIF bit is set)1001 = Compare mode, clear output on match (CCPxIF bit is set)1010 = Compare mode, generate software interrupt on match (CCPxIF bit is set, CCPx pin is unaffected)1011 = Compare mode, trigger special event (CCPxIF bit is set, CCPx pin is unaffected); CCP1 resets

TMR1; CCP2 resets TMR1 and starts an A/D conversion (if A/D module is enabled)11xx = PWM mode

DS30292B-page 58 1999 Microchip Technology Inc.

Page 111: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

8.1 Capture Mode

In Capture mode, CCPR1H:CCPR1L captures the16-bit value of the TMR1 register when an event occurson pin RC2/CCP1. An event is defined as:

• Every falling edge

• Every rising edge

• Every 4th rising edge

• Every 16th rising edge

An event is selected by control bits CCP1M3:CCP1M0(CCP1CON<3:0>). When a capture is made, the inter-rupt request flag bit CCP1IF (PIR1<2>) is set. Theinterrupt flag must be cleared in software. If anothercapture occurs before the value in register CCPR1 isread, the old captured value will be lost.

8.1.1 CCP PIN CONFIGURATION

In Capture mode, the RC2/CCP1 pin should be config-ured as an input by setting the TRISC<2> bit.

FIGURE 8-1: CAPTURE MODE OPERATIONBLOCK DIAGRAM

8.1.2 TIMER1 MODE SELECTION

Timer1 must be running in timer mode or synchronizedcounter mode for the CCP module to use the capturefeature. In asynchronous counter mode, the captureoperation may not work.

8.1.3 SOFTWARE INTERRUPT

When the capture mode is changed, a false captureinterrupt may be generated. The user should keep bitCCP1IE (PIE1<2>) clear to avoid false interrupts andshould clear the flag bit CCP1IF following any suchchange in operating mode.

8.1.4 CCP PRESCALER

There are four prescaler settings, specified by bitsCCP1M3:CCP1M0. Whenever the CCP module isturned off, or the CCP module is not in capture mode,the prescaler counter is cleared. Any reset will clear theprescaler counter.

Switching from one capture prescaler to another maygenerate an interrupt. Also, the prescaler counter willnot be cleared, therefore, the first capture may be froma non-zero prescaler. Example 8-1 shows the recom-mended method for switching between capture pres-calers. This example also clears the prescaler counterand will not generate the “false” interrupt.

EXAMPLE 8-1: CHANGING BETWEENCAPTURE PRESCALERS

CLRF CCP1CON ;Turn CCP module offMOVLW NEW_CAPT_PS ;Load the W reg with

; the new precscaler; move value and CCP ON

MOVWF CCP1CON ;Load CCP1CON with this; value

Note: If the RC2/CCP1 pin is configured as anoutput, a write to the port can cause a cap-ture condition.

CCPR1H CCPR1L

TMR1H TMR1L

Set flag bit CCP1IF(PIR1<2>)

CaptureEnable

Q’sCCP1CON<3:0>

RC2/CCP1

Prescaler÷ 1, 4, 16

andedge detect

Pin

1999 Microchip Technology Inc. DS30292B-page 59

Page 112: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

8.2 Compare Mode

In Compare mode, the 16-bit CCPR1 register value isconstantly compared against the TMR1 register pairvalue. When a match occurs, the RC2/CCP1 pin is:

• Driven high• Driven low• Remains unchanged

The action on the pin is based on the value of controlbits CCP1M3:CCP1M0 (CCP1CON<3:0>). At thesame time, interrupt flag bit CCP1IF is set.

FIGURE 8-2: COMPARE MODE OPERATIONBLOCK DIAGRAM

8.2.1 CCP PIN CONFIGURATION

The user must configure the RC2/CCP1 pin as an out-put by clearing the TRISC<2> bit.

8.2.2 TIMER1 MODE SELECTION

Timer1 must be running in Timer mode or Synchro-nized Counter mode if the CCP module is using thecompare feature. In Asynchronous Counter mode, thecompare operation may not work.

8.2.3 SOFTWARE INTERRUPT MODE

When Generate Software Interrupt mode is chosen, theCCP1 pin is not affected. The CCPIF bit is set causinga CCP interrupt (if enabled).

8.2.4 SPECIAL EVENT TRIGGER

In this mode, an internal hardware trigger is generated,which may be used to initiate an action.

The special event trigger output of CCP1 resets theTMR1 register pair. This allows the CCPR1 register toeffectively be a 16-bit programmable period register forTimer1.

The special event trigger output of CCP2 resets theTMR1 register pair and starts an A/D conversion (if theA/D module is enabled).

8.3 PWM Mode (PWM)

In pulse width modulation mode, the CCPx pin pro-duces up to a 10-bit resolution PWM output. Since theCCP1 pin is multiplexed with the PORTC data latch, theTRISC<2> bit must be cleared to make the CCP1 pinan output.

Figure 8-3 shows a simplified block diagram of the CCPmodule in PWM mode.

For a step-by-step procedure on how to set up the CCPmodule for PWM operation, see Section 8.3.3.

FIGURE 8-3: SIMPLIFIED PWM BLOCKDIAGRAM

Note: Clearing the CCP1CON register will forcethe RC2/CCP1 compare output latch to thedefault low level. This is not the data latch.

CCPR1H CCPR1L

TMR1H TMR1L

ComparatorQ S

R

OutputLogic

Special Event Trigger

Set flag bit CCP1IF(PIR1<2>)

matchRC2/CCP1

TRISC<2>CCP1CON<3:0>Mode Select

Output Enable

Pin

Special event trigger will:reset Timer1, but not set interrupt flag bit TMR1IF (PIR1<0>),and set bit GO/DONE (ADCON0<2>).

Note: The special event trigger from theCCP1and CCP2 modules will not set inter-rupt flag bit TMR1IF (PIR1<0>).

Note: Clearing the CCP1CON register will forcethe CCP1 PWM output latch to the defaultlow level. This is not the PORTC I/O datalatch.

CCPR1L

CCPR1H (Slave)

Comparator

TMR2

Comparator

PR2

(Note 1)

R Q

S

Duty Cycle Registers CCP1CON<5:4>

Clear Timer,CCP1 pin andlatch D.C.

TRISC<2>

RC2/CCP1

Note 1: 8-bit timer is concatenated with 2-bit internal Q clockor 2 bits of the prescaler to create 10-bit time-base.

DS30292B-page 60 1999 Microchip Technology Inc.

Page 113: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

A PWM output (Figure 8-4) has a time-base (period)and a time that the output stays high (duty cycle). Thefrequency of the PWM is the inverse of the period(1/period).

FIGURE 8-4: PWM OUTPUT

8.3.1 PWM PERIOD

The PWM period is specified by writing to the PR2 reg-ister. The PWM period can be calculated using the fol-lowing formula:

PWM period = [(PR2) + 1] • 4 • TOSC •(TMR2 prescale value)

PWM frequency is defined as 1 / [PWM period].

When TMR2 is equal to PR2, the following three eventsoccur on the next increment cycle:

• TMR2 is cleared

• The CCP1 pin is set (exception: if PWM dutycycle = 0%, the CCP1 pin will not be set)

• The PWM duty cycle is latched from CCPR1L intoCCPR1H

8.3.2 PWM DUTY CYCLE

The PWM duty cycle is specified by writing to theCCPR1L register and to the CCP1CON<5:4> bits. Upto 10-bit resolution is available. The CCPR1L containsthe eight MSbs and the CCP1CON<5:4> contains thetwo LSbs. This 10-bit value is represented byCCPR1L:CCP1CON<5:4>. The following equation isused to calculate the PWM duty cycle in time:

PWM duty cycle = (CCPR1L:CCP1CON<5:4>) •Tosc • (TMR2 prescale value)

CCPR1L and CCP1CON<5:4> can be written to at anytime, but the duty cycle value is not latched intoCCPR1H until after a match between PR2 and TMR2occurs (i.e., the period is complete). In PWM mode,CCPR1H is a read-only register.

The CCPR1H register and a 2-bit internal latch areused to double buffer the PWM duty cycle. This doublebuffering is essential for glitchless PWM operation.

When the CCPR1H and 2-bit latch match TMR2 con-catenated with an internal 2-bit Q clock or 2 bits of theTMR2 prescaler, the CCP1 pin is cleared.

Maximum PWM resolution (bits) for a given PWMfrequency:

8.3.3 SET-UP FOR PWM OPERATION

The following steps should be taken when configuringthe CCP module for PWM operation:

1. Set the PWM period by writing to the PR2 register.

2. Set the PWM duty cycle by writing to theCCPR1L register and CCP1CON<5:4> bits.

3. Make the CCP1 pin an output by clearing theTRISC<2> bit.

4. Set the TMR2 prescale value and enable Timer2by writing to T2CON.

5. Configure the CCP1 module for PWM operation.

Note: The Timer2 postscaler (see Section 8.1) isnot used in the determination of the PWMfrequency. The postscaler could be used tohave a servo update rate at a different fre-quency than the PWM output.

Period

Duty Cycle

TMR2 = PR2

TMR2 = Duty Cycle

TMR2 = PR2

Note: If the PWM duty cycle value is longer thanthe PWM period, the CCP1 pin will not becleared.

log(FPWM

log(2)

FOSC )bits=Resolution

1999 Microchip Technology Inc. DS30292B-page 61

Page 114: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 8-3: REGISTERS ASSOCIATED WITH CAPTURE, COMPARE, AND TIMER1

TABLE 8-4: REGISTERS ASSOCIATED WITH PWM AND TIMER2

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value onall otherresets

0Bh,8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

0Dh PIR2 — — — — — — — CCP2IF ---- ---0 ---- ---0

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

8Dh PIE2 — — — — — — — CCP2IE ---- ---0 ---- ---0

87h TRISC PORTC Data Direction Register 1111 1111 1111 1111

0Eh TMR1L Holding register for the Least Significant Byte of the 16-bit TMR1 register xxxx xxxx uuuu uuuu

0Fh TMR1H Holding register for the Most Significant Byte of the 16-bit TMR1 register xxxx xxxx uuuu uuuu

10h T1CON — — T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON --00 0000 --uu uuuu

15h CCPR1L Capture/Compare/PWM register1 (LSB) xxxx xxxx uuuu uuuu

16h CCPR1H Capture/Compare/PWM register1 (MSB) xxxx xxxx uuuu uuuu

17h CCP1CON — — CCP1X CCP1Y CCP1M3 CCP1M2 CCP1M1 CCP1M0 --00 0000 --00 0000

1Bh CCPR2L Capture/Compare/PWM register2 (LSB) xxxx xxxx uuuu uuuu

1Ch CCPR2H Capture/Compare/PWM register2 (MSB) xxxx xxxx uuuu uuuu

1Dh CCP2CON — — CCP2X CCP2Y CCP2M3 CCP2M2 CCP2M1 CCP2M0 --00 0000 --00 0000

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by Capture and Timer1.Note 1: The PSP is not implemented on the PIC16F873/876; always maintain these bits clear.

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value onall otherresets

0Bh,8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

0Dh PIR2 — — — — — — — CCP2IF ---- ---0 ---- ---0

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

8Dh PIE2 — — — — — — — CCP2IE ---- ---0 ---- ---0

87h TRISC PORTC Data Direction Register 1111 1111 1111 1111

11h TMR2 Timer2 module’s register 0000 0000 0000 0000

92h PR2 Timer2 module’s period register 1111 1111 1111 1111

12h T2CON — TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0 -000 0000 -000 0000

15h CCPR1L Capture/Compare/PWM register1 (LSB) xxxx xxxx uuuu uuuu

16h CCPR1H Capture/Compare/PWM register1 (MSB) xxxx xxxx uuuu uuuu

17h CCP1CON — — CCP1X CCP1Y CCP1M3 CCP1M2 CCP1M1 CCP1M0 --00 0000 --00 0000

1Bh CCPR2L Capture/Compare/PWM register2 (LSB) xxxx xxxx uuuu uuuu

1Ch CCPR2H Capture/Compare/PWM register2 (MSB) xxxx xxxx uuuu uuuu

1Dh CCP2CON — — CCP2X CCP2Y CCP2M3 CCP2M2 CCP2M1 CCP2M0 --00 0000 --00 0000

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by PWM and Timer2.Note 1: Bits PSPIE and PSPIF are reserved on the PIC16F873/876; always maintain these bits clear.

DS30292B-page 62 1999 Microchip Technology Inc.

Page 115: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.0 MASTER SYNCHRONOUSSERIAL PORT (MSSP)MODULE

The Master Synchronous Serial Port (MSSP) module isa serial interface useful for communicating with otherperipheral or microcontroller devices. These peripheraldevices may be serial EEPROMs, shift registers, dis-play drivers, A/D converters, etc. The MSSP modulecan operate in one of two modes:

• Serial Peripheral Interface (SPI)

• Inter-Integrated Circuit (I2C)

Figure 9-1 shows a block diagram for the SPI mode,while Figure 9-5 and Figure 9-9 show the block dia-grams for the two different I2C modes of operation.

1999 Microchip Technology Inc. DS30292B-page 63

Page 116: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 9-1: SSPSTAT: SYNC SERIAL PORT STATUS REGISTER (ADDRESS: 94h)

R/W-0 R/W-0 R-0 R-0 R-0 R-0 R-0 R-0

SMP CKE D/A P S R/W UA BF R = Readable bitW = Writable bitU = Unimplemented bit, read

as ‘0’- n = Value at POR reset

bit7 bit0

bit 7: SMP: Sample bitSPI Master Mode1 = Input data sampled at end of data output time0 = Input data sampled at middle of data output timeSPI Slave ModeSMP must be cleared when SPI is used in slave modeIn I2C master or slave mode:1= Slew rate control disabled for standard speed mode (100 kHz and 1 MHz)0= Slew rate control enabled for high speed mode (400 kHz)

bit 6: CKE: SPI Clock Edge Select (Figure 9-4, Figure 9-5 and Figure 9-6)SPI Mode:CKP = 01 = Transmit happens on transistion from active clock state to idle clock state0 = Transmit happens on transistion from idle clock state to active clock stateCKP = 11 = Data transmitted on falling edge of SCK0 = Data transmitted on rising edge of SCKIn I2C Master or Slave Mode:1 = Input levels conform to SMBUS spec0 = Input levels conform to I2C specs

bit 5: D/A: Data/Address bit (I2C mode only)1 = Indicates that the last byte received or transmitted was data0 = Indicates that the last byte received or transmitted was address

bit 4: P: Stop bit(I2C mode only. This bit is cleared when the MSSP module is disabled, SSPEN is cleared)1 = Indicates that a stop bit has been detected last (this bit is '0' on RESET)0 = Stop bit was not detected last

bit 3: S: Start bit(I2C mode only. This bit is cleared when the MSSP module is disabled, SSPEN is cleared)1 = Indicates that a start bit has been detected last (this bit is '0' on RESET)0 = Start bit was not detected last

bit 2: R/W: Read/Write bit information (I2C mode only)This bit holds the R/W bit information following the last address match. This bit is only valid from the address match tothe next start bit, stop bit or not ACK bit.In I2C slave mode:1 = Read0 = WriteIn I2C master mode:1 = Transmit is in progress0 = Transmit is not in progress.Or’ing this bit with SEN, RSEN, PEN, RCEN or ACKEN will indicate if the MSSP is in IDLE mode.

bit 1: UA: Update Address (10-bit I2C mode only)1 = Indicates that the user needs to update the address in the SSPADD register0 = Address does not need to be updated

bit 0: BF: Buffer Full Status bitReceive (SPI and I2C modes)1 = Receive complete, SSPBUF is full0 = Receive not complete, SSPBUF is emptyTransmit (I2C mode only)1 = Data Transmit in progress (does not include the ACK and stop bits), SSPBUF is full0 = Data Transmit complete (does not include the ACK and stop bits), SSPBUF is empty

DS30292B-page 64 1999 Microchip Technology Inc.

Page 117: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 9-2: SSPCON: SYNC SERIAL PORT CONTROL REGISTER (ADDRESS 14h)

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

WCOL SSPOV SSPEN CKP SSPM3 SSPM2 SSPM1 SSPM0 R = Readable bitW = Writable bitU = Unimplemented bit, read

as ‘0’- n = Value at POR reset

bit7 bit0

bit 7: WCOL: Write Collision Detect bitMaster Mode:1 = A write to SSPBUF was attempted while the I2C conditions were not valid0 = No collisionSlave Mode:1 = SSPBUF register is written while still transmitting the previous word (must be cleared in software)0 = No collision

bit 6: SSPOV: Receive Overflow Indicator bitIn SPI mode1 = A new byte is received while SSPBUF holds previous data. Data in SSPSR is lost on overflow. . Inslave mode the user must read the SSPBUF, even if only transmitting data, to avoid overflows. In mastermode the overflow bit is not set since each operation is initiated by writing to the SSPBUF register. (Mustbe cleared in software).0 = No overflowIn I2C mode1 = A byte is received while the SSPBUF is holding the previous byte. SSPOV is a "don’t care" in trans-mit mode. (Must be cleared in software).0 = No overflow

bit 5: SSPEN: Synchronous Serial Port Enable bitIn SPI mode, when enabled, these pins must be properly configured as input or output.1 = Enables serial port and configures SCK, SDO, SDI, and SS as the source of the serial port pins0 = Disables serial port and configures these pins as I/O port pinsIn I2C mode, when enabled, these pins must be properly configured as input or output.1 = Enables the serial port and configures the SDA and SCL pins as the source of the serial port pins0 = Disables serial port and configures these pins as I/O port pins

bit 4: CKP: Clock Polarity Select bitIn SPI mode1 = Idle state for clock is a high level0 = Idle state for clock is a low levelIn I2C slave mode, SCK release control1 = Enable clock0 = Holds clock low (clock stretch) (Used to ensure data setup time)In I2C master modeUnused in this mode

bit 3-0: SSPM3:SSPM0: Synchronous Serial Port Mode Select bits0000 = SPI master mode, clock = FOSC/40001 = SPI master mode, clock = FOSC/160010 = SPI master mode, clock = FOSC/640011 = SPI master mode, clock = TMR2 output/20100 = SPI slave mode, clock = SCK pin. SS pin control enabled.0101 = SPI slave mode, clock = SCK pin. SS pin control disabled. SS can be used as I/O pin0110 = I2C slave mode, 7-bit address0111 = I2C slave mode, 10-bit address1000 = I2C master mode, clock = FOSC / (4 * (SSPADD+1) )1011 = I2C firmware controlled master mode (slave idle)1110 = I2C firmware controlled master mode, 7-bit address with start and stop bit interrupts enabled1111 = I2C firmware controlled master mode, 10-bit address with start and stop bit interrupts enabled.1001, 1010, 1100, 1101 = reserved

1999 Microchip Technology Inc. DS30292B-page 65

Page 118: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 9-3: SSPCON2: SYNC SERIAL PORT CONTROL REGISTER2 (ADDRESS 91h)

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

GCEN ACKSTAT ACKDT ACKEN RCEN PEN RSEN SEN R = Readable bitW = Writable bitU = Unimplemented bit,

Read as ‘0’- n =Value at POR reset

bit7 bit0

bit 7: GCEN: General Call Enable bit (In I2C slave mode only)1 = Enable interrupt when a general call address (0000h) is received in the SSPSR.0 = General call address disabled.

bit 6: ACKSTAT: Acknowledge Status bit (In I2C master mode only)In master transmit mode:1 = Acknowledge was not received from slave0 = Acknowledge was received from slave

bit 5: ACKDT: Acknowledge Data bit (In I2C master mode only)In master receive mode:Value that will be transmitted when the user initiates an Acknowledge sequence at the end of a receive.1 = Not Acknowledge0 = Acknowledge

bit 4: ACKEN: Acknowledge Sequence Enable bit (In I2C master mode only).In master receive mode:1 = Initiate Acknowledge sequence on SDA and SCL pins, and transmit ACKDT data bit. Automaticallycleared by hardware.0 = Acknowledge sequence idle

bit 3: RCEN: Receive Enable bit (In I2C master mode only).1 = Enables Receive mode for I2C0 = Receive idle

bit 2: PEN: Stop Condition Enable bit (In I2C master mode only).SCK release control1 = Initiate Stop condition on SDA and SCL pins. Automatically cleared by hardware.0 = Stop condition idle

bit 1: RSEN: Repeated Start Condition Enabled bit (In I2C master mode only)1 = Initiate Repeated Start condition on SDA and SCL pins. Automatically cleared by hardware.0 = Repeated Start condition idle.

bit 0: SEN: Start Condition Enabled bit (In I2C master mode only)1 = Initiate Start condition on SDA and SCL pins. Automatically cleared by hardware.0 = Start condition idle.

Note: For bits ACKEN, RCEN, PEN, RSEN, SEN: If the I2C module is not in the idle mode, this bit may notbe set (no spooling), and the SSPBUF may not be written (or writes to the SSPBUF are disabled).

DS30292B-page 66 1999 Microchip Technology Inc.

Page 119: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.1 SPI Mode

The SPI mode allows 8 bits of data to be synchronouslytransmitted and received simultaneously. All fourmodes of SPI are supported. To accomplish communi-cation, typically three pins are used:

• Serial Data Out (SDO)

• Serial Data In (SDI)

• Serial Clock (SCK)

Additionally, a fourth pin may be used when in a slavemode of operation:

• Slave Select (SS)

When initializing the SPI, several options need to bespecified. This is done by programming the appropriatecontrol bits (SSPCON<5:0> and SSPSTAT<7:6>).These control bits allow the following to be specified:

• Master Mode (SCK is the clock output)

• Slave Mode (SCK is the clock input)

• Clock Polarity (Idle state of SCK)

• Data input sample phase(middle or end of data output time)

• Clock edge(output data on rising/falling edge of SCK)

• Clock Rate (Master mode only)

• Slave Select Mode (Slave mode only)

Figure 9-4 shows the block diagram of the MSSP mod-ule when in SPI mode.

FIGURE 9-1: MSSP BLOCK DIAGRAM(SPI MODE)

To enable the serial port, MSSP Enable bit, SSPEN(SSPCON<5>) must be set. To reset or reconfigure SPImode, clear bit SSPEN, re-initialize the SSPCON reg-isters, and then set bit SSPEN. This configures theSDI, SDO, SCK and SS pins as serial port pins. For thepins to behave as the serial port function, some musthave their data direction bits (in the TRIS register)appropriately programmed. That is:

• SDI is automatically controlled by the SPI module

• SDO must have TRISC<5> cleared

• SCK (Master mode) must have TRISC<3>cleared

• SCK (Slave mode) must have TRISC<3> set

• SS must have TRISA<5> set

Any serial port function that is not desired may be over-ridden by programming the corresponding data direc-tion (TRIS) register to the opposite value.

Read Write

InternalData Bus

SSPSR reg

SSPBUF reg

SSPM3:SSPM0

bit0 ShiftClock

SS ControlEnable

EdgeSelect

Clock Select

TMR2 output

TOSCPrescaler4, 16, 64

2EdgeSelect

2

4

Data to TX/RX in SSPSRData direction bit

2SMP:CKE

SDI

SDO

SS

SCK

1999 Microchip Technology Inc. DS30292B-page 67

Page 120: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.1.1 MASTER MODE

The master can initiate the data transfer at any timebecause it controls the SCK. The master determineswhen the slave (Processor 2, Figure 9-5) is to broad-cast data by the software protocol.

In master mode, the data is transmitted/received assoon as the SSPBUF register is written to. If the SPImodule is only going to receive, the SDO output couldbe disabled (programmed as an input). The SSPSRregister will continue to shift in the signal present on theSDI pin at the programmed clock rate. As each byte isreceived, it will be loaded into the SSPBUF register asif a normal received byte (interrupts and status bitsappropriately set). This could be useful in receiverapplications as a “line activity monitor”.

The clock polarity is selected by appropriately program-ming bit CKP (SSPCON<4>). This then would givewaveforms for SPI communication as shown in

Figure 9-6, Figure 9-8 and Figure 9-9 where the MSb istransmitted first. In master mode, the SPI clock rate (bitrate) is user programmable to be one of the following:

• FOSC/4 (or TCY)

• FOSC/16 (or 4 • TCY)

• FOSC/64 (or 16 • TCY)

• Timer2 output/2

This allows a maximum bit clock frequency (at 20 MHz)of 5.0 MHz.

Figure 9-6 shows the waveforms for Master mode.When CKE = 1, the SDO data is valid before there is aclock edge on SCK. The change of the input sample isshown based on the state of the SMP bit. The timewhen the SSPBUF is loaded with the received data isshown.

FIGURE 9-2: SPI MODE TIMING, MASTER MODE

SCK (CKP = 0,

SDI (SMP = 0)

SSPIF

bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0

SDI (SMP = 1)

SCK (CKP = 0,

SCK (CKP = 1,

SCK (CKP = 1,

SDO

bit7

bit7 bit0

bit0

CKE = 0)

CKE = 1)

CKE = 0)

CKE = 1)

DS30292B-page 68 1999 Microchip Technology Inc.

Page 121: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.1.2 SLAVE MODE

In slave mode, the data is transmitted and received asthe external clock pulses appear on SCK. When thelast bit is latched, the interrupt flag bit SSPIF (PIR1<3>)is set.

While in slave mode, the external clock is supplied bythe external clock source on the SCK pin. This externalclock must meet the minimum high and low times asspecified in the electrical specifications.

While in sleep mode, the slave can transmit/receivedata. When a byte is received, the device will wake-upfrom sleep.

FIGURE 9-3: SPI MODE TIMING (SLAVE MODE WITH CKE = 0)

FIGURE 9-4: SPI MODE TIMING (SLAVE MODE WITH CKE = 1)

Note: When the SPI module is in Slave Modewith SS pin control enabled, (SSP-CON<3:0> = 0100) the SPI module willreset if the SS pin is set to VDD.

Note: If the SPI is used in Slave Mode withCKE = '1', then SS pin control must beenabled.

SCK (CKP = 0)

SDI (SMP = 0)

SSPIF

bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0

SCK (CKP = 1)

SDO

bit7 bit0

SS (optional)

SCK (CKP = 0)

SDI (SMP = 0)

SSPIF

bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0

SCK (CKP = 1)

SDO

bit7 bit0

SS

1999 Microchip Technology Inc. DS30292B-page 69

Page 122: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 9-1 REGISTERS ASSOCIATED WITH SPI OPERATION

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0POR,BOR

MCLR,WDT

0Bh, 8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

13h SSPBUF Synchronous Serial Port Receive Buffer/Transmit Register xxxx xxxx uuuu uuuu

14h SSPCON WCOL SSPOV SSPEN CKP SSPM3 SSPM2 SSPM1 SSPM0 0000 0000 0000 0000

94h SSPSTAT SMP CKE D/A P S R/W UA BF 0000 0000 0000 0000

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by the SSP in SPI mode.Note 1: These bits are reserved on the 28-pin devices; always maintain these bits clear.

DS30292B-page 70 1999 Microchip Technology Inc.

Page 123: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2 MSSP I2C Operation

The MSSP module in I2C mode fully implements allmaster and slave functions (including general call sup-port) and provides interrupts-on-start and stop bits inhardware to determine a free bus (multi-master func-tion). The MSSP module implements the standardmode specifications, as well as 7-bit and 10-bitaddressing.

Refer to Application Note AN578, "Use of the SSPModule in the I 2C Multi-Master Environment."

A "glitch" filter is on the SCL and SDA pins when the pinis an input. This filter operates in both the 100 kHz and400 kHz modes. In the 100 kHz mode, when these pinsare an output, there is a slew rate control of the pin thatis independant of device frequency.

FIGURE 9-5: I2C SLAVE MODE BLOCKDIAGRAM

Two pins are used for data transfer. These are the SCLpin, which is the clock, and the SDA pin, which is thedata. The SDA and SCL pins are automatically config-ured when the I2C mode is enabled. The SSP modulefunctions are enabled by setting SSP Enable bitSSPEN (SSPCON<5>).

The MSSP module has six registers for I2C operation.They are the:

• SSP Control Register (SSPCON)• SSP Control Register2 (SSPCON2)• SSP Status Register (SSPSTAT)• Serial Receive/Transmit Buffer (SSPBUF)• SSP Shift Register (SSPSR) - Not directly acces-

sible• SSP Address Register (SSPADD)

The SSPCON register allows control of the I2C opera-tion. Four mode selection bits (SSPCON<3:0>) allowone of the following I2C modes to be selected:

• I2C Slave mode (7-bit address)• I2C Slave mode (10-bit address)• I2C Master mode, clock = OSC/4 (SSPADD +1)

Before selecting any I2C mode, the SCL and SDA pinsmust be programmed to inputs by setting the appropri-ate TRIS bits. Selecting an I2C mode, by setting theSSPEN bit, enables the SCL and SDA pins to be usedas the clock and data lines in I2C mode.

The CKE bit (SSPSTAT<6:7>) sets the levels of theSDA and SCL pins in either master or slave mode.When CKE = 1, the levels will conform to the SMBUSspecification. When CKE = 0, the levels will conform tothe I2C specification.

Read Write

SSPSR reg

Match detect

SSPADD reg

Start andStop bit detect

SSPBUF reg

InternalData Bus

Addr Match

Set, ResetS, P bits

(SSPSTAT reg)

SCL

ShiftClock

MSb LSbSDA

1999 Microchip Technology Inc. DS30292B-page 71

Page 124: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

The SSPSTAT register gives the status of the datatransfer. This information includes detection of aSTART (S) or STOP (P) bit, specifies if the receivedbyte was data or address, if the next byte is the comple-tion of 10-bit address, and if this will be a read or writedata transfer.

SSPBUF is the register to which the transfer data iswritten to or read from. The SSPSR register shifts thedata in or out of the device. In receive operations, theSSPBUF and SSPSR create a doubled bufferedreceiver. This allows reception of the next byte to beginbefore reading the last byte of received data. When thecomplete byte is received, it is transferred to theSSPBUF register and flag bit SSPIF is set. If anothercomplete byte is received before the SSPBUF registeris read, a receiver overflow has occurred and bitSSPOV (SSPCON<6>) is set and the byte in theSSPSR is lost.

The SSPADD register holds the slave address. In 10-bitmode, the user needs to write the high byte of theaddress (1111 0 A9 A8 0). Following the high byteaddress match, the low byte of the address needs to beloaded (A7:A0).

9.2.1 SLAVE MODE

In slave mode, the SCL and SDA pins must be config-ured as inputs. The MSSP module will override theinput state with the output data when required (slave-transmitter).

When an address is matched or the data transfer afteran address match is received, the hardware automati-cally will generate the acknowledge (ACK) pulse, andthen load the SSPBUF register with the received valuecurrently in the SSPSR register.

There are certain conditions that will cause the MSSPmodule not to give this ACK pulse. These are if either(or both):

a) The buffer full bit BF (SSPSTAT<0>) was setbefore the transfer was received.

b) The overflow bit SSPOV (SSPCON<6>) was setbefore the transfer was received.

If the BF bit is set, the SSPSR register value is notloaded into the SSPBUF, but bit SSPIF and SSPOV areset. Table 9-2 shows what happens when a data trans-fer byte is received, given the status of bits BF andSSPOV. The shaded cells show the condition whereuser software did not properly clear the overflow condi-tion. Flag bit BF is cleared by reading the SSPBUF reg-ister, while bit SSPOV is cleared through software.

The SCL clock input must have a minimum high andlow time for proper operation. The high and low timesof the I2C specification, as well as the requirement ofthe MSSP module, is shown in timing parameter #100and parameter #101 of the electrical specifications.

9.2.1.1 ADDRESSING

Once the MSSP module has been enabled, it waits fora START condition to occur. Following the START con-dition, the 8-bits are shifted into the SSPSR register. Allincoming bits are sampled with the rising edge of theclock (SCL) line. The value of register SSPSR<7:1> iscompared to the value of the SSPADD register. Theaddress is compared on the falling edge of the eighthclock (SCL) pulse. If the addresses match, and the BFand SSPOV bits are clear, the following events occur:

a) The SSPSR register value is loaded into theSSPBUF register on the falling edge of the 8thSCL pulse.

b) The buffer full bit, BF, is set on the falling edge ofthe 8th SCL pulse.

c) An ACK pulse is generated.

d) SSP interrupt flag bit, SSPIF (PIR1<3>), is set(interrupt is generated if enabled) on the fallingedge of the 9th SCL pulse.

In 10-bit address mode, two address bytes need to bereceived by the slave. The five Most Significant bits(MSbs) of the first address byte specify if this is a 10-bitaddress. Bit R/W (SSPSTAT<2>) must specify a writeso the slave device will receive the second addressbyte. For a 10-bit address the first byte would equal‘1111 0 A9 A8 0’, where A9 and A8 are the two MSbsof the address. The sequence of events for a 10-bitaddress is as follows, with steps 7- 9 for slave-transmit-ter:

1. Receive first (high) byte of Address (bits SSPIF,BF and UA (SSPSTAT<1>) are set).

2. Update the SSPADD register with the second(low) byte of Address (clears bit UA andreleases the SCL line).

3. Read the SSPBUF register (clears bit BF) andclear flag bit SSPIF.

4. Receive second (low) byte of Address (bitsSSPIF, BF and UA are set).

5. Update the SSPADD register with the first (high)byte of Address. This will clear bit UA andrelease the SCL line.

6. Read the SSPBUF register (clears bit BF) andclear flag bit SSPIF.

7. Receive Repeated Start condition.

8. Receive first (high) byte of Address (bits SSPIFand BF are set).

9. Read the SSPBUF register (clears bit BF) andclear flag bit SSPIF.

Note: Following the Repeated Start condition(step 7) in 10-bit mode, the user onlyneeds to match the first 7-bit address. Theuser does not update the SSPADD for thesecond half of the address.

DS30292B-page 72 1999 Microchip Technology Inc.

Page 125: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.1.2 SLAVE RECEPTION

When the R/W bit of the address byte is clear and anaddress match occurs, the R/W bit of the SSPSTATregister is cleared. The received address is loaded intothe SSPBUF register.

When the address byte overflow condition exists, thenno acknowledge (ACK) pulse is given. An overflow con-dition is defined as either bit BF (SSPSTAT<0>) is setor bit SSPOV (SSPCON<6>) is set.

An SSP interrupt is generated for each data transferbyte. Flag bit SSPIF (PIR1<3>) must be cleared in soft-ware. The SSPSTAT register is used to determine thestatus of the received byte.

TABLE 9-2 DATA TRANSFER RECEIVED BYTE ACTIONS

9.2.1.3 SLAVE TRANSMISSION

When the R/W bit of the incoming address byte is setand an address match occurs, the R/W bit of theSSPSTAT register is set. The received address isloaded into the SSPBUF register. The ACK pulse willbe sent on the ninth bit, and the SCL pin is held low.The transmit data must be loaded into the SSPBUFregister, which also loads the SSPSR register. Then theSCL pin should be enabled by setting bit CKP (SSP-CON<4>). The master must monitor the SCL pin priorto asserting another clock pulse. The slave devicesmay be holding off the master by stretching the clock.The eight data bits are shifted out on the falling edge ofthe SCL input. This ensures that the SDA signal is validduring the SCL high time (Figure 9-7).

An SSP interrupt is generated for each data transferbyte. The SSPIF flag bit must be cleared in softwareand the SSPSTAT register is used to determine the sta-tus of the byte transfer. The SSPIF flag bit is set on thefalling edge of the ninth clock pulse.

As a slave-transmitter, the ACK pulse from the masterreceiver is latched on the rising edge of the ninth SCLinput pulse. If the SDA line is high (not ACK), then thedata transfer is complete. When the not ACK is latchedby the slave, the slave logic is reset and the slave thenmonitors for another occurrence of the START bit. If theSDA line was low (ACK), the transmit data must beloaded into the SSPBUF register, which also loads theSSPSR register. Then the SCL pin should be enabledby setting the CKP bit.

FIGURE 9-6: I2C WAVEFORMS FOR RECEPTION (7-BIT ADDRESS)

Note: The SSPBUF will be loaded if the SSPOVbit is set and the BF flag is cleared. If aread of the SSPBUF was performed, butthe user did not clear the state of theSSPOV bit before the next receiveoccurred, the ACK is not sent and the SSP-BUF is updated.

Status Bits as DataTransfer is Received

SSPSR → SSPBUFGenerate ACK

Pulse

Set bit SSPIF(SSP Interrupt occurs

if enabled)BF SSPOV

0 0 Yes Yes Yes

1 0 No No Yes

1 1 No No Yes

0 1 Yes No Yes

Note 1: Shaded cells show the conditions where the user software did not properly clear the overflow condition.

P98765

D0D1D2D3D4D5D6D7

S

A7 A6 A5 A4 A3 A2 A1SDA

SCL 1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9 1 2 3 4

Bus Masterterminatestransfer

Bit SSPOV is set because the SSPBUF register is still full.

Cleared in softwareSSPBUF register is read

ACK Receiving DataReceiving Data

D0D1D2D3D4D5D6D7ACK

R/W=0Receiving Address

SSPIF

BF (SSPSTAT<0>)

SSPOV (SSPCON<6>)

ACK

ACK is not sent.

Not

1999 Microchip Technology Inc. DS30292B-page 73

Page 126: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 9-7: I2C WAVEFORMS FOR TRANSMISSION (7-BIT ADDRESS)

9.2.2 GENERAL CALL ADDRESS SUPPORT

The addressing procedure for the I2C bus is such thatthe first byte after the START condition usually deter-mines which device will be the slave addressed by themaster. The exception is the general call address,which can address all devices. When this address isused, all devices should, in theory, respond with anacknowledge.

The general call address is one of eight addressesreserved for specific purposes by the I2C protocol. Itconsists of all 0’s with R/W = 0

The general call address is recognized when the Gen-eral Call Enable bit (GCEN) is enabled (SSPCON2<7>is set). Following a start-bit detect, 8-bits are shiftedinto SSPSR and the address is compared againstSSPADD. It is also compared to the general calladdress and fixed in hardware.

If the general call address matches, the SSPSR istransferred to the SSPBUF, the BF flag is set (eighthbit), and on the falling edge of the ninth bit (ACK bit), theSSPIF flag is set.

When the interrupt is serviced, the source for the inter-rupt can be checked by reading the contents of theSSPBUF to determine if the address was device spe-cific or a general call address.

In 10-bit mode, the SSPADD is required to be updatedfor the second half of the address to match, and the UAbit is set (SSPSTAT<1>). If the general call address issampled when GCEN is set while the slave is config-ured in 10-bit address mode, then the second half ofthe address is not necessary, the UA bit will not be set,and the slave will begin receiving data after theacknowledge (Figure 9-8).

FIGURE 9-8: SLAVE MODE GENERAL CALL ADDRESS SEQUENCE (7 OR 10-BIT MODE)

SDA

SCL

SSPIF

BF (SSPSTAT<0>)

CKP (SSPCON<4>)

A7 A6 A5 A4 A3 A2 A1ACK

D7 D6 D5 D4 D3 D2 D1 D0

Not ACKTransmitting DataR/W = 1

Receiving Address

1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9 P

cleared in softwareSSPBUF is written in software

From SSP interruptservice routine

Set bit after writing to SSPBUF

SData insampled

SCL held lowwhile CPU

responds to SSPIF

(the SSPBUF must be written-tobefore the CKP bit can be set)

R/W = 0

SDA

SCL

S

SSPIF

BF

SSPOV

Cleared in software

SSPBUF is read

R/W = 0ACKGeneral Call Address

Address is compared to General Call Address

GCEN

Receiving data ACK

1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9

D7 D6 D5 D4 D3 D2 D1 D0

after ACK, set interrupt flag

'0'

'1'

(SSPSTAT<0>)

(SSPCON<6>)

(SSPCON2<7>)

DS30292B-page 74 1999 Microchip Technology Inc.

Page 127: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.3 SLEEP OPERATION

While in sleep mode, the I2C module can receiveaddresses or data. When an address match or com-plete byte transfer occurs, wake the processor fromsleep (if the SSP interrupt is enabled).

9.2.4 EFFECTS OF A RESET

A reset disables the SSP module and terminates thecurrent transfer.

TABLE 9-3 REGISTERS ASSOCIATED WITH I2C OPERATION

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0POR,BOR

MCLR,WDT

0Bh, 8Bh,10Bh,18Bh

INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

0Dh PIR2 — (2) — EEIF BCLIF — — CCP2IF -r-0 0--0 -r-0 0--0

8Dh PIE2 — (2) — EEIE BCLIE — — CCP2IE -r-0 0--0 -r-0 0--0

13h SSPBUF Synchronous Serial Port Receive Buffer/Transmit Register xxxx xxxx uuuu uuuu

14h SSPCON WCOL SSPOV SSPEN CKP SSPM3 SSPM2 SSPM1 SSPM0 0000 0000 0000 0000

91h SSPCON2 GCEN ACKSTAT ACKDT ACKEN RCEN PEN RSEN SEN 0000 0000 0000 0000

94h SSPSTAT SMP CKE D/A P S R/W UA BF 0000 0000 0000 0000

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used by the SSP in I2C mode.Note 1: These bits are reserved on the 28-pin devices; always maintain these bits clear.

2: These bits are reserved on these devices; always maintain these bits clear.

1999 Microchip Technology Inc. DS30292B-page 75

Page 128: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.5 MASTER MODE

Master mode of operation is supported by interruptgeneration on the detection of the START and STOPconditions. The STOP (P) and START (S) bits arecleared from a reset or when the MSSP module is dis-abled. Control of the I2C bus may be TACKEN when theP bit is set, or the bus is idle with both the S and P bitsclear.

In master mode, the SCL and SDA lines are manipu-lated by the MSSP hardware.

The following events will cause the SSP Interrupt Flagbit, SSPIF, to be set (SSP Interrupt if enabled):

• START condition

• STOP condition

• Data transfer byte transmitted/received

• Acknowledge transmit

• Repeated Start

FIGURE 9-9: SSP BLOCK DIAGRAM (I2C MASTER MODE)

Read Write

SSPSR

Start bit, Stop bit,

Start bit detect,

SSPBUF

InternalData Bus

Set/Reset, S, P, WCOL (SSPSTAT)

ShiftClock

MSb LSb

SDA

AcknowledgeGenerate

Stop bit detectWrite collision detect

Clock ArbitrationState counter forend of XMIT/RCV

SCL

SCL in

Bus Collision

SDA in

Rec

eive

Ena

ble

cloc

kcn

tl

cloc

kar

bitr

ate/

WC

OL

dete

ct(h

old

offc

lock

sour

ce)

SSPADD<6:0>

Baud

Set SSPIF, BCLIFReset ACKSTAT, PEN (SSPCON2)

RateGenerator

SSPM3:SSPM0,

DS30292B-page 76 1999 Microchip Technology Inc.

Page 129: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.6 MULTI-MASTER MODE

In multi-master mode, the interrupt generation on thedetection of the START and STOP conditions allowsthe determination of when the bus is free. The STOP(P) and START (S) bits are cleared from a reset orwhen the MSSP module is disabled. Control of the I2Cbus may be taken when bit P (SSPSTAT<4>) is set, orthe bus is idle with both the S and P bits clear. Whenthe bus is busy, enabling the SSP Interrupt will gener-ate the interrupt when the STOP condition occurs.

In multi-master operation, the SDA line must be moni-tored for abitration to see if the signal level is theexpected output level. This check is performed in hard-ware, with the result placed in the BCLIF bit.

The states where arbitration can be lost are:

• Address Transfer• Data Transfer• A Start Condition• A Repeated Start Condition• An Acknowledge Condition

9.2.7 I2C MASTER MODE SUPPORT

Master Mode is enabled by setting and clearing theappropriate SSPM bits in SSPCON and by setting theSSPEN bit. Once master mode is enabled, the userhas six options.

- Assert a start condition on SDA and SCL.- Assert a Repeated Start condition on SDA and

SCL.- Write to the SSPBUF register initiating trans-

mission of data/address.- Generate a stop condition on SDA and SCL.- Configure the I2C port to receive data.- Generate an Acknowledge condition at the end

of a received byte of data.

9.2.7.1 I2C MASTER MODE OPERATION

The master device generates all of the serial clockpulses and the START and STOP conditions. A trans-fer is ended with a STOP condition or with a RepeatedStart condition. Since the Repeated Start condition isalso the beginning of the next serial transfer, the I2Cbus will not be released.

In Master Transmitter mode serial data is outputthrough SDA, while SCL outputs the serial clock. Thefirst byte transmitted contains the slave address of thereceiving device (7 bits) and the Read/Write (R/W) bit.In this case, the R/W bit will be logic '0'. Serial data istransmitted 8 bits at a time. After each byte is transmit-ted, an acknowledge bit is received. START and STOPconditions are output to indicate the beginning and theend of a serial transfer.

In Master receive mode, the first byte transmitted con-tains the slave address of the transmitting device(7 bits) and the R/W bit. In this case, the R/W bit will belogic '1'. Thus the first byte transmitted is a 7-bit slaveaddress followed by a '1' to indicate receive bit. Serialdata is received via SDA, while SCL outputs the serialclock. Serial data is received 8 bits at a time. After eachbyte is received, an acknowledge bit is transmitted.START and STOP conditions indicate the beginningand end of transmission.

The baud rate generator used for SPI mode operationis now used to set the SCL clock frequency for either100 kHz, 400 kHz or 1 MHz I2C operation. The baudrate generator reload value is contained in the lower 7bits of the SSPADD register. The baud rate generatorwill automatically begin counting on a write to the SSP-BUF. Once the given operation is complete (i.e. trans-mission of the last data bit is followed by ACK) theinternal clock will automatically stop counting and theSCL pin will remain in its last state

A typical transmit sequence would go as follows:

a) The user generates a Start Condition by settingthe START enable bit (SEN) in SSPCON2.

b) SSPIF is set. The module will wait the requiredstart time before any other operation takesplace.

c) The user loads the SSPBUF with address totransmit.

d) Address is shifted out the SDA pin until all 8 bitsare transmitted.

e) The MSSP Module shifts in the ACK bit from theslave device and writes its value into theSSPCON2 register ( SSPCON2<6>).

f) The module generates an interrupt at the end ofthe ninth clock cycle by setting SSPIF.

g) The user loads the SSPBUF with eight bits ofdata.

h) DATA is shifted out the SDA pin until all 8 bitsare transmitted.

Note: The MSSP Module, when configured in I2CMaster Mode, does not allow queueing ofevents. For instance, the user is notallowed to initiate a start condition andimmediately write the SSPBUF register toinitiate transmission before the STARTcondition is complete. In this case, theSSPBUF will not be written to and theWCOL bit will be set, indicating that a writeto the SSPBUF did not occur.

1999 Microchip Technology Inc. DS30292B-page 77

Page 130: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

i) The MSSP module shifts in the ACK bit from theslave device, and writes its value into theSSPCON2 register ( SSPCON2<6>).

j) The MSSP module generates an interrupt at theend of the ninth clock cycle by setting the SSPIFbit.

k) The user generates a STOP condition by settingthe STOP enable bit PEN in SSPCON2.

l) Interrupt is generated once the STOP conditionis complete.

9.2.8 BAUD RATE GENERATOR

In I2C master mode, the reload value for the BRG islocated in the lower 7 bits of the SSPADD register(Figure 9-10). When the BRG is loaded with this value,the BRG counts down to 0 and stops until anotherreload has taken place. The BRG count is decrementedtwice per instruction cycle (TCY), on the Q2 and Q4clock.

In I2C master mode, the BRG is reloaded automatically.If Clock Arbitration is taking place for instance, the BRGwill be reloaded when the SCL pin is sampled high(Figure 9-11).

FIGURE 9-10: BAUD RATE GENERATORBLOCK DIAGRAM

FIGURE 9-11: BAUD RATE GENERATOR TIMING WITH CLOCK ARBITRATION

SSPM3:SSPM0

BRG Down CounterCLKOUTFOSC/4

SSPADD<6:0>

SSPM3:SSPM0

SCL

Reload

Control

Reload

SDA

SCL

SCL deasserted but slave holds

DX-1DX

BRG

SCL is sampled high, reload takesplace, and BRG starts its count.

03h 02h 01h 00h (hold off) 03h 02h

reload

BRGvalue

SCL low (clock arbitration)SCL allowed to transition high

BRG decrements(on Q2 and Q4 cycles)

DS30292B-page 78 1999 Microchip Technology Inc.

Page 131: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.9 I2C MASTER MODE START CONDITIONTIMING

To initiate a START condition, the user sets the startcondition enable bit, SEN (SSPCON2<0>). If the SDAand SCL pins are sampled high, the baud rate genera-tor is re-loaded with the contents of SSPADD<6:0> andstarts its count. If SCL and SDA are both sampled highwhen the baud rate generator times out (TBRG), theSDA pin is driven low. The action of the SDA beingdriven low while SCL is high is the START condition,and causes the S bit (SSPSTAT<3>) to be set. Follow-ing this, the baud rate generator is reloaded with thecontents of SSPADD<6:0> and resumes its count.When the baud rate generator times out (TBRG), theSEN bit (SSPCON2<0>) will be automatically clearedby hardware. The baud rate generator is suspendedleaving the SDA line held low, and the START conditionis complete.

9.2.9.1 WCOL STATUS FLAG

If the user writes the SSPBUF when an STARTsequence is in progress, then WCOL is set and thecontents of the buffer are unchanged (the write doesn’toccur).

FIGURE 9-12: FIRST START BIT TIMING

Note: If at the beginning of START condition theSDA and SCL pins are already sampledlow, or if during the START condition theSCL line is sampled low before the SDAline is driven low, a bus collision occurs, theBus Collision Interrupt Flag (BCLIF) is set,the START condition is aborted, and theI2C module is reset into its IDLE state.

Note: Because queueing of events is notallowed, writing to the lower 5 bits ofSSPCON2 is disabled until the STARTcondition is complete.

SDA

SCL

S

TBRG

1st Bit 2nd Bit

TBRG

SDA = 1,At completion of start bit,SCL = 1

Write to SSPBUF occurs hereTBRG

Hardware clears SEN bit

TBRG

Write to SEN bit occurs here.Set S bit (SSPSTAT<3>)

and sets SSPIF bit

1999 Microchip Technology Inc. DS30292B-page 79

Page 132: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.10 I2C MASTER MODE REPEATED STARTCONDITION TIMING

A Repeated Start condition occurs when the RSEN bit(SSPCON2<1>) is programmed high and the I2C mod-ule is in the idle state. When the RSEN bit is set, theSCL pin is asserted low. When the SCL pin is sampledlow, the baud rate generator is loaded with the contentsof SSPADD<6:0> and begins counting. The SDA pin isreleased (brought high) for one baud rate generatorcount (TBRG). When the baud rate generator times outif SDA is sampled high, the SCL pin will be deasserted(brought high). When SCL is sampled high the baudrate generator is reloaded with the contents ofSSPADD<6:0> and begins counting. SDA and SCLmust be sampled high for one TBRG. This action is thenfollowed by assertion of the SDA pin (SDA is low) forone TBRG, while SCL is high. Following this, the RSENbit in the SSPCON2 register will be automaticallycleared and the baud rate generator will not bereloaded, leaving the SDA pin held low. As soon as astart condition is detected on the SDA and SCL pins,the S bit (SSPSTAT<3>) will be set. The SSPIF bit willnot be set until the baud rate generator has timed-out.

Immediately following the SSPIF bit getting set, theuser may write the SSPBUF with the 7-bit address in7-bit mode, or the default first address in 10-bit mode.After the first eight bits are transmitted and an ACK isreceived, the user may then transmit an additional eightbits of address (10-bit mode) or eight bits of data (7-bitmode).

9.2.10.1 WCOL STATUS FLAG

If the user writes the SSPBUF when a Repeated Startsequence is in progress, then WCOL is set and thecontents of the buffer are unchanged (the write doesn’toccur).

FIGURE 9-13: REPEAT START CONDITION WAVEFORM

Note 1: If RSEN is programmed while any otherevent is in progress, it will not take effect.

Note 2: A bus collision during the Repeated Startcondition occurs if:

• SDA is sampled low when SCL goesfrom low to high.

• SCL goes low before SDA is assertedlow. This may indicate that anothermaster is attempting to transmit adata "1".

Note: Because queueing of events is notallowed, writing of the lower 5 bits ofSSPCON2 is disabled until the RepeatedStart condition is complete.

SDA

SCL

Sr = Repeated Start

Write to SSPCON2

Write to SSPBUF occurs here.Falling edge of ninth clockEnd of Xmit

At completion of start bit,hardware clear RSEN bit

1st Bit

Set S (SSPSTAT<3>)

TBRG

TBRG

SDA = 1,SDA = 1,

SCL(no change)SCL = 1

occurs here.

TBRG TBRG TBRG

and set SSPIF

DS30292B-page 80 1999 Microchip Technology Inc.

Page 133: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.11 I2C MASTER MODE TRANSMISSION

Transmission of a data byte, a 7-bit address or eitherhalf of a 10-bit address is accomplished by simply writ-ing a value to SSPBUF register. This action will set thebuffer full flag (BF) and allow the baud rate generator tobegin counting and start the next transmission. Eachbit of address/data will be shifted out onto the SDA pinafter the falling edge of SCL is asserted (see data holdtime spec). SCL is held low for one baud rate gener-ator rollover count (TBRG). Data should be valid beforeSCL is released high (see data setup time spec).When the SCL pin is released high, it is held that wayfor TBRG. The data on the SDA pin must remain stablefor that duration and some hold time after the next fall-ing edge of SCL. After the eighth bit is shifted out (thefalling edge of the eighth clock), the BF flag is clearedand the master releases SDA allowing the slave devicebeing addressed to respond with an ACK bit during theninth bit time, if an address match occurs or if data wasreceived properly. The status of ACK is read into theACKDT on the falling edge of the ninth clock. If themaster receives an acknowledge, the acknowledgestatus bit (ACKSTAT) is cleared. If not, the bit is set.After the ninth clock, the SSPIF is set and the masterclock (baud rate generator) is suspended until the nextdata byte is loaded into the SSPBUF, leaving SCL lowand SDA unchanged (Figure 9-14).

After the write to the SSPBUF, each bit of address willbe shifted out on the falling edge of SCL until all sevenaddress bits and the R/W bit are completed. On the fall-ing edge of the eighth clock, the master will de-assertthe SDA pin allowing the slave to respond with anacknowledge. On the falling edge of the ninth clock, themaster will sample the SDA pin to see if the addresswas recognized by a slave. The status of the ACK bit isloaded into the ACKSTAT status bit (SSPCON2<6>).Following the falling edge of the ninth clock transmis-sion of the address, the SSPIF is set, the BF flag iscleared, and the baud rate generator is turned off untilanother write to the SSPBUF takes place, holding SCLlow and allowing SDA to float.

9.2.11.1 BF STATUS FLAG

In transmit mode, the BF bit (SSPSTAT<0>) is set whenthe CPU writes to SSPBUF and is cleared when all 8bits are shifted out.

9.2.11.2 WCOL STATUS FLAG

If the user writes the SSPBUF when a transmit isalready in progress (i.e. SSPSR is still shifting out adata byte), then WCOL is set and the contents of thebuffer are unchanged (the write doesn’t occur).

WCOL must be cleared in software.

9.2.11.3 ACKSTAT STATUS FLAG

In transmit mode, the ACKSTAT bit (SSPCON2<6>) iscleared when the slave has sent an acknowledge(ACK = 0), and is set when the slave does not acknowl-edge (ACK = 1). A slave sends an acknowledge whenit has recognized its address (including a general call),or when the slave has properly received its data.

1999 Microchip Technology Inc. DS30292B-page 81

Page 134: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 9-14: I2C MASTER MODE TIMING (TRANSMISSION, 7 OR 10-BIT ADDRESS)

SD

A

SC

L

SS

PIF

BF

(SS

PS

TAT

<0>

)

SE

N

A7

A6

A5

A4

A3

A2

A1

AC

K=

0D

7D

6D

5D

4D

3D

2D

1D

0

AC

KTr

ans

mitt

ing

Dat

aor

Sec

ond

Hal

fR

/W=

0Tr

ansm

itA

ddre

ssto

Sla

ve

12

34

56

78

91

23

45

67

89

P

Cle

ared

inso

ftwar

ese

rvic

ero

utin

e

SS

PB

UF

isw

ritte

nin

softw

are

From

SS

Pin

terr

upt

Afte

rst

artc

ondi

tion

SE

Ncl

eare

dby

hard

war

e.

S

SS

PB

UF

writ

ten

with

7-bi

tadd

ress

and

R/W

star

ttra

nsm

it

SC

Lhe

ldlo

ww

hile

CP

Ure

spon

dsto

SS

PIF

SE

N=

0

of10

-bit

add

ress

Writ

eS

SP

CO

N2<

0>S

EN

=1

STA

RT

cond

ition

beg

ins

From

slav

ecl

ear

AC

KS

TAT

bit

SS

PC

ON

2<6>

AC

KS

TAT

inS

SP

CO

N2

=1

Cle

ared

inso

ftwar

e

SS

PB

UF

wri

tten

PE

N

Cle

ared

inso

ftwa

re

R/W

DS30292B-page 82 1999 Microchip Technology Inc.

Page 135: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.12 I2C MASTER MODE RECEPTION

Master mode reception is enabled by programming thereceive enable bit, RCEN (SSPCON2<3>).

The baud rate generator begins counting, and on eachrollover, the state of the SCL pin changes (high tolow/low to high), and data is shifted into the SSPSR.After the falling edge of the eighth clock, the receiveenable flag is automatically cleared, the contents of theSSPSR are loaded into the SSPBUF, the BF flag is set,the SSPIF is set, and the baud rate generator is sus-pended from counting, holding SCL low. The SSP isnow in IDLE state, awaiting the next command. Whenthe buffer is read by the CPU, the BF flag is automati-cally cleared. The user can then send an acknowledgebit at the end of reception, by setting the acknowledgesequence enable bit, ACKEN (SSPCON2<4>).

9.2.12.1 BF STATUS FLAG

In receive operation, BF is set when an address or databyte is loaded into SSPBUF from SSPSR. It is clearedwhen SSPBUF is read.

9.2.12.2 SSPOV STATUS FLAG

In receive operation, SSPOV is set when 8 bits arereceived into the SSPSR, and the BF flag is already setfrom a previous reception.

9.2.12.3 WCOL STATUS FLAG

If the user writes the SSPBUF when a receive isalready in progress (i.e. SSPSR is still shifting in a databyte), then WCOL is set and the contents of the bufferare unchanged (the write doesn’t occur).

Note: The SSP module must be in an IDLESTATE before the RCEN bit is set or theRCEN bit will be disregarded.

1999 Microchip Technology Inc. DS30292B-page 83

Page 136: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 9-15: I2C MASTER MODE TIMING (RECEPTION 7-BIT ADDRESS)

P9

87

65

D0

D1

D2

D3

D4

D5

D6

D7

S

A7

A6

A5

A4

A3

A2

A1

SD

A

SC

L1

23

45

67

89

12

34

56

78

91

23

4

Bus

Mas

ter

term

inat

estr

ansf

er

AC

K

Rec

eivi

ngD

ata

from

Sla

veR

ecei

ving

Dat

afr

omS

lave

D0

D1

D2

D3

D4

D5

D6

D7

AC

K

R/W

=1

Tran

smit

Add

ress

toS

lave

SS

PIF

BF

AC

Kis

nots

ent

Writ

eto

SS

PC

ON

2<0>

(SE

N=

1)

Writ

eto

SS

PB

UF

occu

rshe

reA

CK

from

Sla

veMas

ter

conf

igur

edas

are

ceiv

erby

prog

ram

min

gS

SP

CO

N2<

3>,(

RC

EN

=1)

PE

Nbi

t=1

writ

ten

here

Dat

ash

ifted

inon

falli

nged

geof

CLK

Cle

ared

inso

ftwar

e

Sta

rtX

MIT

SE

N=

0

SS

PO

V

SD

A=

0,S

CL

=1

whi

leC

PU

(SS

PS

TAT

<0>

)

AC

K

Last

biti

ssh

ifted

into

SS

PS

Ran

dco

nten

tsar

eun

load

edin

toS

SP

BU

F

Cle

ared

inso

ftwar

eC

lear

edin

softw

are

Set

SS

PIF

inte

rrup

tat

end

ofre

ceiv

e

Set

Pbi

t(S

SP

STA

T<

4>)

and

SS

PIF

Cle

ared

inso

ftwar

e

AC

Kfr

omM

aste

r

Set

SS

PIF

aten

d

Set

SS

PIF

inte

rrup

tat

end

ofac

know

ledg

ese

quen

ce

Set

SS

PIF

inte

rrup

tat

end

ofac

know

-le

dge

sequ

ence

ofre

ceiv

e

Set

AC

KE

Nst

arta

ckno

wle

dge

sequ

ence

SS

PO

Vis

setb

ecau

seS

SP

BU

Fis

still

full

SD

A=

AC

KD

T=

1

RC

EN

clea

red

auto

mat

ical

lyR

CE

N=

1st

art

next

rece

ive

Writ

eto

SS

PC

ON

2<4>

tost

arta

ckno

wle

dge

sequ

ence

SD

A=

AC

KD

T(S

SP

CO

N2<

5>)

=0

RC

EN

clea

red

auto

mat

ical

ly

resp

onds

toS

SP

IF

AC

KE

N

Beg

inS

tart

Con

ditio

n

Cle

ared

inso

ftwar

e

SD

A=

AC

KD

T=

0

DS30292B-page 84 1999 Microchip Technology Inc.

Page 137: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.13 ACKNOWLEDGE SEQUENCE TIMING

An acknowledge sequence is enabled by setting theacknowledge sequence enable bit, ACKEN(SSPCON2<4>). When this bit is set, the SCL pin ispulled low and the contents of the acknowledge databit is presented on the SDA pin. If the user wishes togenerate an acknowledge, the ACKDT bit should becleared. If not, the user should set the ACKDT bitbefore starting an acknowledge sequence. The baudrate generator then counts for one rollover period(TBRG), and the SCL pin is deasserted (pulled high).When the SCL pin is sampled high (clock arbitration),

the baud rate generator counts for TBRG. The SCL pinis then pulled low. Following this, the ACKEN bit is auto-matically cleared, the baud rate generator is turned off,and the SSP module then goes into IDLE mode.(Figure 9-16)

9.2.13.1 WCOL STATUS FLAG

If the user writes the SSPBUF when an acknowledegesequence is in progress, the WCOL is set and the con-tents of the buffer are unchanged (the write doesn’toccur).

FIGURE 9-16: ACKNOWLEDGE SEQUENCE WAVEFORM

Note: TBRG = one baud rate generator period.

SDA

SCL

Set SSPIF at the end

Acknowledge sequence starts here,Write to SSPCON2 ACKEN automatically cleared

Cleared in

TBRG TBRG

of receive

ACK

8

ACKEN = 1, ACKDT = 0

D0

9

SSPIF

software

Set SSPIF at the endof acknowledge sequence

Cleared insoftware

1999 Microchip Technology Inc. DS30292B-page 85

Page 138: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.14 STOP CONDITION TIMING

A stop bit is asserted on the SDA pin at the end of areceive/transmit by setting the Stop Sequence Enablebit PEN (SSPCON2<2>). At the end of a receive/trans-mit, the SCL line is held low after the falling edge of theninth clock. When the PEN bit is set, the master willassert the SDA line low . When the SDA line is sam-pled low, the baud rate generator is reloaded andcounts down to 0. When the baud rate generator timesout, the SCL pin will be brought high, and one TBRG

(baud rate generator rollover count) later, the SDA pinwill be deasserted. When the SDA pin is sampled high

while SCL is high, the P bit (SSPSTAT<4>) is set. ATBRG later, the PEN bit is cleared and the SSPIF bit isset (Figure 9-17).

Whenever the firmware decides to take control of thebus, it will first determine if the bus is busy by checkingthe S and P bits in the SSPSTAT register. If the bus isbusy, then the CPU can be interrupted (notified) whena Stop bit is detected (i.e. bus is free).

9.2.14.1 WCOL STATUS FLAG

If the user writes the SSPBUF when a STOP sequenceis in progress, then WCOL is set and the contents of thebuffer are unchanged (the write doesn’t occur).

FIGURE 9-17: STOP CONDITION RECEIVE OR TRANSMIT MODE

SCL

SDA

SDA asserted low before rising edge of clock

Write to SSPCON2Set PEN

Falling edge of

SCL = 1 for TBRG, followed by SDA = 1 for TBRG

9th clock

SCL brought high after TBRG

Note: TBRG = one baud rate generator period.

TBRG TBRG

after SDA sampled high. P bit (SSPSTAT<4>) is set

TBRG

to setup stop condition.

ACK

PTBRG

PEN bit (SSPCON2<2>) is cleared byhardware and the SSPIF bit is set

DS30292B-page 86 1999 Microchip Technology Inc.

Page 139: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.15 CLOCK ARBITRATION

Clock arbitration occurs when the master, during anyreceive, transmit, or repeated start/stop condition,deasserts the SCL pin (SCL allowed to float high).When the SCL pin is allowed to float high, the baud rategenerator (BRG) is suspended from counting until theSCL pin is actually sampled high. When the SCL pin issampled high, the baud rate generator is reloaded withthe contents of SSPADD<6:0> and begins counting.This ensures that the SCL high time will always be atleast one BRG rollover count in the event that the clockis held low by an external device (Figure 9-18).

9.2.16 SLEEP OPERATION

While in sleep mode, the I2C module can receiveaddresses or data, and when an address match orcomplete byte transfer occurs, wake the processor fromsleep (if the SSP interrupt is enabled).

9.2.17 EFFECTS OF A RESET

A reset disables the SSP module and terminates thecurrent transfer.

FIGURE 9-18: CLOCK ARBITRATION TIMING IN MASTER TRANSMIT MODE

SCL

SDA

BRG overflow,Release SCL,If SCL = 1 Load BRG withSSPADD<6:0>, and start count BRG overflow occurs,

Release SCL, Slave device holds SCL low.SCL = 1 BRG starts countingclock high interval.

SCL line sampled once every machine cycle (TOSC • 4).Hold off BRG until SCL is sampled high.

TBRG TBRG TBRG

to measure high time interval

1999 Microchip Technology Inc. DS30292B-page 87

Page 140: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.18 MULTI -MASTER COMMUNICATION, BUSCOLLISION, AND BUS ARBITRATION

Multi-Master mode support is achieved by bus arbitra-tion. When the master outputs address/data bits ontothe SDA pin, arbitration takes place when the masteroutputs a '1' on SDA by letting SDA float high andanother master asserts a '0'. When the SCL pin floatshigh, data should be stable. If the expected data onSDA is a '1' and the data sampled on the SDA pin = '0',a bus collision has TACKEN place. The master will setthe Bus Collision Interrupt Flag, BCLIF and reset theI2C port to its IDLE state. (Figure 9-19).

If a transmit was in progress when the bus collisionoccurred, the transmission is halted, the BF flag iscleared, the SDA and SCL lines are deasserted, andthe SSPBUF can be written to. When the user servicesthe bus collision interrupt service routine, and if the I2Cbus is free, the user can resume communication byasserting a START condition.

If a START, Repeated Start, STOP or Acknowledgecondition was in progress when the bus collisionoccurred, the condition is aborted, the SDA and SCLlines are deasserted, and the respective control bits inthe SSPCON2 register are cleared. When the userservices the bus collision interrupt service routine, andif the I2C bus is free, the user can resume communica-tion by asserting a START condition.

The Master will continue to monitor the SDA and SCLpins, and if a STOP condition occurs, the SSPIF bit willbe set.

A write to the SSPBUF will start the transmission ofdata at the first data bit, regardless of where the trans-mitter left off when the bus collision occurred.

In multi-master mode, the interrupt generation on thedetection of start and stop conditions allows the deter-mination of when the bus is free. Control of the I2C buscan be TACKEN when the P bit is set in the SSPSTATregister, or the bus is idle and the S and P bits arecleared.

FIGURE 9-19: BUS COLLISION TIMING FOR TRANSMIT AND ACKNOWLEDGE

SDA

SCL

BCLIF

SDA released

SDA line pulled lowby another source

Sample SDA. While SCL is high,data doesn’t match what is driven

Bus collision has occurred.

Set bus collisioninterrupt.

by the master.

by master

Data changeswhile SCL = 0

DS30292B-page 88 1999 Microchip Technology Inc.

Page 141: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.18.1 BUS COLLISION DURING A STARTCONDITION

During a START condition, a bus collision occurs if:

a) SDA or SCL are sampled low at the beginning ofthe START condition (Figure 9-20).

b) SCL is sampled low before SDA is asserted low.(Figure 9-21).

During a START condition both the SDA and the SCLpins are monitored.

If:

the SDA pin is already lowor the SCL pin is already low,

then:

the START condition is aborted,and the BCLIF flag is set,and the SSP module is reset to its IDLE state(Figure 9-20).

The START condition begins with the SDA and SCLpins deasserted. When the SDA pin is sampled high,the baud rate generator is loaded from SSPADD<6:0>and counts down to 0. If the SCL pin is sampled low

while SDA is high, a bus collision occurs, because it isassumed that another master is attempting to drive adata '1' during the START condition.

If the SDA pin is sampled low during this count, theBRG is reset and the SDA line is asserted early(Figure 9-22). If however a '1' is sampled on the SDApin, the SDA pin is asserted low at the end of the BRGcount. The baud rate generator is then reloaded andcounts down to 0. During this time, if the SCL pins aresampled as '0', a bus collision does not occur. At theend of the BRG count ,the SCL pin is asserted low.

FIGURE 9-20: BUS COLLISION DURING START CONDITION (SDA ONLY)

Note: The reason that bus collision is not a factorduring a START condition is that no twobus masters can assert a START conditionat the exact same time. Therefore, onemaster will always assert SDA before theother. This condition does not cause a buscollision, because the two masters must beallowed to arbitrate the first address follow-ing the START condition. If the address isthe same, arbitration must be allowed tocontinue into the data portion, REPEATEDSTART or STOP conditions.

SDA

SCL

SEN

SDA sampled low before

SDA goes low before the SEN bit is set.

S bit and SSPIF set because

SSP module reset into idle state.SEN cleared automatically because of bus collision.

S bit and SSPIF set because

Set SEN, enable startcondition if SDA = 1, SCL=1

SDA = 0, SCL = 1

BCLIF

S

SSPIF

SDA = 0, SCL = 1

SSPIF and BCLIF arecleared in software.

SSPIF and BCLIF arecleared in software.

Set BCLIF,

Set BCLIF.START condition.

1999 Microchip Technology Inc. DS30292B-page 89

Page 142: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 9-21: BUS COLLISION DURING START CONDITION (SCL = 0)

FIGURE 9-22: BRG RESET DUE TO SDA COLLISION DURING START CONDITION

SDA

SCL

SENBus collision occurs, Set BCLIF.SCL = 0 before SDA = 0,

Set SEN, enable startsequence if SDA = 1, SCL = 1

TBRG TBRG

SDA = 0, SCL = 1

BCLIF

S

SSPIF

Interrupts clearedin software.

Bus collision occurs, Set BCLIF.SCL = 0 before BRG time out,

'0'

'0'

'0'

'0'

SDA

SCL

SEN

Set S

Set SEN, enable startsequence if SDA = 1, SCL = 1

Less than TBRG TBRG

SDA = 0, SCL = 1

BCLIF

S

SSPIF

s

Interrupts clearedin software.Set SSPIF

SDA = 0, SCL = 1

SDA pulled low by other master.Reset BRG and assert SDA

SCL pulled low after BRGTimeout

Set SSPIF

'0'

DS30292B-page 90 1999 Microchip Technology Inc.

Page 143: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.18.2 BUS COLLISION DURING A REPEATEDSTART CONDITION

During a Repeated Start condition, a bus collisionoccurs if:

a) A low level is sampled on SDA when SCL goesfrom low level to high level.

b) SCL goes low before SDA is asserted low, indi-cating that another master is attempting to trans-mit a data ’1’.

When the user deasserts SDA and the pin is allowed tofloat high, the BRG is loaded with SSPADD<6:0> andcounts down to 0. The SCL pin is then deasserted, andwhen sampled high, the SDA pin is sampled. If SDA islow, a bus collision has occurred (i.e. another master isattempting to transmit a data ’0’). If however SDA is

sampled high, the BRG is reloaded and begins count-ing. If SDA goes from high to low before the BRG timesout, no bus collision occurs, because no two masterscan assert SDA at exactly the same time.

If, however, SCL goes from high to low before the BRGtimes out and SDA has not already been asserted, abus collision occurs. In this case, another master isattempting to transmit a data ’1’ during the RepeatedStart condition.

If at the end of the BRG time out both SCL and SDA arestill high, the SDA pin is driven low, the BRG is reloadedand begins counting. At the end of the count, regard-less of the status of the SCL pin, the SCL pin is drivenlow and the Repeated Start condition is complete(Figure 9-23).

FIGURE 9-23: BUS COLLISION DURING A REPEATED START CONDITION (CASE 1)

FIGURE 9-24: BUS COLLISION DURING REPEATED START CONDITION (CASE 2)

SDA

SCL

RSEN

BCLIF

S

SSPIF

Sample SDA when SCL goes high.If SDA = 0, set BCLIF and release SDA and SCL

Cleared in software'0'

'0'

'0'

'0'

SDA

SCL

BCLIF

RSEN

S

SSPIF

Interrupt clearedin software

SCL goes low before SDA,Set BCLIF. Release SDA and SCL

TBRG TBRG

'0'

'0'

'0'

'0'

1999 Microchip Technology Inc. DS30292B-page 91

Page 144: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.2.18.3 BUS COLLISION DURING A STOPCONDITION

Bus collision occurs during a STOP condition if:

a) After the SDA pin has been deasserted andallowed to float high, SDA is sampled low afterthe BRG has timed out.

b) After the SCL pin is deasserted, SCL is sampledlow before SDA goes high.

The STOP condition begins with SDA asserted low.When SDA is sampled low, the SCL pin is allow to float.When the pin is sampled high (clock arbitration), thebaud rate generator is loaded with SSPADD<6:0> andcounts down to 0. After the BRG times out, SDA issampled. If SDA is sampled low, a bus collision hasoccurred. This is due to another master attempting todrive a data '0'. If the SCL pin is sampled low beforeSDA is allowed to float high, a bus collision occurs.This is a case of another master attempting to drive adata '0' (Figure 9-25).

FIGURE 9-25: BUS COLLISION DURING A STOP CONDITION (CASE 1)

FIGURE 9-26: BUS COLLISION DURING A STOP CONDITION (CASE 2)

SDA

SCL

BCLIF

PEN

P

SSPIF

TBRG TBRG TBRG

SDA asserted low

SDA sampledlow after TBRG,Set BCLIF

'0'

'0'

'0'

'0'

SDA

SCL

BCLIF

PEN

P

SSPIF

TBRG TBRG TBRG

Assert SDA SCL goes low before SDA goes highSet BCLIF

'0'

'0'

DS30292B-page 92 1999 Microchip Technology Inc.

Page 145: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

9.3 Connection Considerations for I2CBus

For standard-mode I2C bus devices, the values ofresistors Rp and Rs in Figure 9-27 depend on the fol-lowing parameters:

• Supply voltage• Bus capacitance• Number of connected devices

(input current + leakage current).

The supply voltage limits the minimum value of resistorRp due to the specified minimum sink current of 3 mAat VOL max = 0.4V for the specified output stages. For

example, with a supply voltage of VDD = 5V+10% andVOL max = 0.4V at 3 mA, Rp min = (5.5-0.4)/0.003 =1.7 kΩ. VDD as a function of Rp is shown in Figure 9-27.The desired noise margin of 0.1VDD for the low levellimits the maximum value of Rs. Series resistors areoptional and used to improve ESD susceptibility.

The bus capacitance is the total capacitance of wire,connections, and pins. This capacitance limits the max-imum value of Rp due to the specified rise time(Figure 9-27).

The SMP bit is the slew rate control enabled bit. This bitis in the SSPSTAT register, and controls the slew rateof the I/O pins when in I2C mode (master or slave).

FIGURE 9-27: SAMPLE DEVICE CONFIGURATION FOR I2C BUS

RpRp

VDD + 10%

SDA

SCL

DEVICE

Cb=10 - 400 pF

RsRs

Note: I2C devices with input levels related to VDD must have one common supplyline to which the pull-up resistor is also connected.

1999 Microchip Technology Inc. DS30292B-page 93

Page 146: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 94 1999 Microchip Technology Inc.

Page 147: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.0 ADDRESSABLE UNIVERSAL SYNCHRONOUS ASYNCHRONOUS RECEIVER TRANSMITTER (USART)

The Universal Synchronous Asynchronous ReceiverTransmitter (USART) module is one of the two serialI/O modules. (USART is also known as a Serial Com-munications Interface or SCI). The USART can be con-figured as a full duplex asynchronous system that cancommunicate with peripheral devices such as CRT ter-minals and personal computers, or it can be configuredas a half duplex synchronous system that can commu-nicate with peripheral devices such as A/D or D/A inte-grated circuits, serial EEPROMs etc.

The USART can be configured in the following modes:

• Asynchronous (full duplex)• Synchronous - Master (half duplex)

• Synchronous - Slave (half duplex)

Bit SPEN (RCSTA<7>) and bits TRISC<7:6> have tobe set in order to configure pins RC6/TX/CK andRC7/RX/DT as the Universal Synchronous Asynchro-nous Receiver Transmitter.

The USART module also has a multi-processor com-munication capability using 9-bit address detection.

REGISTER 10-1: TXSTA: TRANSMIT STATUS AND CONTROL REGISTER (ADDRESS 98h)

R/W-0 R/W-0 R/W-0 R/W-0 U-0 R/W-0 R-1 R/W-0

CSRC TX9 TXEN SYNC — BRGH TRMT TX9D R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit7 bit0

bit 7: CSRC: Clock Source Select bitAsynchronous modeDon’t careSynchronous mode1 = Master mode (Clock generated internally from BRG)0 = Slave mode (Clock from external source)

bit 6: TX9: 9-bit Transmit Enable bit1 = Selects 9-bit transmission0 = Selects 8-bit transmission

bit 5: TXEN: Transmit Enable bit1 = Transmit enabled0 = Transmit disabledNote: SREN/CREN overrides TXEN in SYNC mode.

bit 4: SYNC: USART Mode Select bit1 = Synchronous mode0 = Asynchronous mode

bit 3: Unimplemented: Read as '0'

bit 2: BRGH: High Baud Rate Select bitAsynchronous mode1 = High speed

0 = Low speedSynchronous modeUnused in this mode

bit 1: TRMT: Transmit Shift Register Status bit1 = TSR empty0 = TSR full

bit 0: TX9D: 9th bit of transmit data. Can be parity bit.

1999 Microchip Technology Inc. DS30292B-page 95

Page 148: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 10-2: RCSTA: RECEIVE STATUS AND CONTROL REGISTER (ADDRESS 18h)

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R-0 R-0 R-x

SPEN RX9 SREN CREN ADDEN FERR OERR RX9D R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit7 bit0

bit 7: SPEN: Serial Port Enable bit1 = Serial port enabled (Configures RC7/RX/DT and RC6/TX/CK pins as serial port pins)0 = Serial port disabled

bit 6: RX9: 9-bit Receive Enable bit1 = Selects 9-bit reception0 = Selects 8-bit reception

bit 5: SREN: Single Receive Enable bitAsynchronous modeDon’t careSynchronous mode - master1 = Enables single receive0 = Disables single receiveThis bit is cleared after reception is complete.Synchronous mode - slaveUnused in this mode

bit 4: CREN: Continuous Receive Enable bitAsynchronous mode1 = Enables continuous receive0 = Disables continuous receiveSynchronous mode1 = Enables continuous receive until enable bit CREN is cleared (CREN overrides SREN)0 = Disables continuous receive

bit 3: ADDEN: Address Detect Enable bitAsynchronous mode 9-bit (RX9 = 1)1 = Enables address detection, enable interrupt and load of the receive burffer when RSR<8> is set0 = Disables address detection, all bytes are received, and ninth bit can be used as parity bit

bit 2: FERR: Framing Error bit1 = Framing error (Can be updated by reading RCREG register and receive next valid byte)0 = No framing error

bit 1: OERR: Overrun Error bit1 = Overrun error (Can be cleared by clearing bit CREN)0 = No overrun error

bit 0: RX9D: 9th bit of received data (Can be parity bit)

DS30292B-page 96 1999 Microchip Technology Inc.

Page 149: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.1 USART Baud Rate Generator (BRG)

The BRG supports both the asynchronous and syn-chronous modes of the USART. It is a dedicated 8-bitbaud rate generator. The SPBRG register controls theperiod of a free running 8-bit timer. In asynchronousmode, bit BRGH (TXSTA<2>) also controls the baudrate. In synchronous mode, bit BRGH is ignored.Table 10-1 shows the formula for computation of thebaud rate for different USART modes which only applyin master mode (internal clock).

Given the desired baud rate and Fosc, the nearest inte-ger value for the SPBRG register can be calculatedusing the formula in Table 10-1. From this, the error inbaud rate can be determined.

It may be advantageous to use the high baud rate(BRGH = 1) even for slower baud clocks. This isbecause the FOSC/(16(X + 1)) equation can reduce thebaud rate error in some cases.

Writing a new value to the SPBRG register causes theBRG timer to be reset (or cleared). This ensures theBRG does not wait for a timer overflow before output-ting the new baud rate.

10.1.1 SAMPLING

The data on the RC7/RX/DT pin is sampled three timesby a majority detect circuit to determine if a high or alow level is present at the RX pin.

TABLE 10-1: BAUD RATE FORMULA

TABLE 10-2: REGISTERS ASSOCIATED WITH BAUD RATE GENERATOR

SYNC BRGH = 0 (Low Speed) BRGH = 1 (High Speed)

01

(Asynchronous) Baud Rate = FOSC/(64(X+1))(Synchronous) Baud Rate = FOSC/(4(X+1))

Baud Rate= FOSC/(16(X+1))NA

X = value in SPBRG (0 to 255)

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on allother resets

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

18h RCSTA SPEN RX9 SREN CREN ADDEN FERR OERR RX9D 0000 000x 0000 000x

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented read as '0'. Shaded cells are not used by the BRG.

1999 Microchip Technology Inc. DS30292B-page 97

Page 150: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

-

TABLE 10-3: BAUD RATES FOR ASYNCHRONOUS MODE (BRGH = 0)

BAUDRATE

(K)

FOSC = 20 MHz FOSC = 16 MHz FOSC = 10 MHz

KBAUD%

ERROR

SPBRGvalue

(decimal) KBAUD%

ERROR

SPBRGvalue

(decimal) KBAUD%

ERROR

SPBRGvalue

(decimal)

0.3 - - - - - - - - -

1.2 1.221 1.75 255 1.202 0.17 207 1.202 0.17 129

2.4 2.404 0.17 129 2.404 0.17 103 2.404 0.17 64

9.6 9.766 1.73 31 9.615 0.16 25 9.766 1.73 15

19.2 19.531 1.72 15 19.231 0.16 12 19.531 1.72 7

28.8 31.250 8.51 9 27.778 3.55 8 31.250 8.51 4

33.6 34.722 3.34 8 35.714 6.29 6 31.250 6.99 4

57.6 62.500 8.51 4 62.500 8.51 3 52.083 9.58 2

HIGH 1.221 - 255 0.977 - 255 0.610 - 255

LOW 312.500 - 0 250.000 - 0 156.250 - 0

BAUDRATE

(K)

FOSC = 4 MHz FOSC = 3.6864 MHz

KBAUD

%ERROR

SPBRGvalue

(decimal) KBAUD

%ERROR

SPBRGvalue

(decimal)

0.3 0.300 0 207 0.301 0.33 185

1.2 1.202 0.17 51 1.216 1.33 46

2.4 2.404 0.17 25 2.432 1.33 22

9.6 8.929 6.99 6 9.322 2.90 5

19.2 20.833 8.51 2 18.643 2.90 2

28.8 31.250 8.51 1 - - -

33.6 - - - - - -

57.6 62.500 8.51 0 55.930 2.90 0

HIGH 0.244 - 255 0.218 - 255

LOW 62.500 - 0 55.930 - 0

TABLE 10-4: BAUD RATES FOR ASYNCHRONOUS MODE (BRGH = 1)

BAUDRATE

(K)

FOSC = 20 MHz FOSC = 16 MHz FOSC = 10 MHz

KBAUD%

ERROR

SPBRGvalue

(decimal) KBAUD%

ERROR

SPBRGvalue

(decimal) KBAUD%

ERROR

SPBRGvalue

(decimal)

0.3 - - - - - - - - -

1.2 - - - - - - - - -

2.4 - - - - - - 2.441 1.71 255

9.6 9.615 0.16 129 9.615 0.16 103 9.615 0.16 64

19.2 19.231 0.16 64 19.231 0.16 51 19.531 1.72 31

28.8 29.070 0.94 42 29.412 2.13 33 28.409 1.36 21

33.6 33.784 0.55 36 33.333 0.79 29 32.895 2.10 18

57.6 59.524 3.34 20 58.824 2.13 16 56.818 1.36 10

HIGH 4.883 - 255 3.906 - 255 2.441 - 255

LOW 1250.000 - 0 1000.000 0 625.000 - 0

BAUDRATE

(K)

FOSC = 4 MHz FOSC = 3.6864 MHz

KBAUD

%ERROR

SPBRGvalue

(decimal) KBAUD

%ERROR

SPBRGvalue

(decimal)

0.3 - - - - - -

1.2 1.202 0.17 207 1.203 0.25 185

2.4 2.404 0.17 103 2.406 0.25 92

9.6 9.615 0.16 25 9.727 1.32 22

19.2 19.231 0.16 12 18.643 2.90 11

28.8 27.798 3.55 8 27.965 2.90 7

33.6 35.714 6.29 6 31.960 4.88 6

57.6 62.500 8.51 3 55.930 2.90 3

HIGH 0.977 - 255 0.874 - 255

LOW 250.000 - 0 273.722 - 0

DS30292B-page 98 1999 Microchip Technology Inc.

Page 151: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.2 USART Asynchronous Mode

In this mode, the USART uses standard non-return-to-zero (NRZ) format (one start bit, eight or nine data bits,and one stop bit). The most common data format is 8bits. An on-chip, dedicated, 8-bit baud rate generatorcan be used to derive standard baud rate frequenciesfrom the oscillator. The USART transmits and receivesthe LSb first. The USART’s transmitter and receiver arefunctionally independent, but use the same data formatand baud rate. The baud rate generator produces aclock either x16 or x64 of the bit shift rate, dependingon bit BRGH (TXSTA<2>). Parity is not supported bythe hardware, but can be implemented in software (andstored as the ninth data bit). Asynchronous mode isstopped during SLEEP.

Asynchronous mode is selected by clearing bit SYNC(TXSTA<4>).

The USART Asynchronous module consists of the fol-lowing important elements:

• Baud Rate Generator• Sampling Circuit

• Asynchronous Transmitter• Asynchronous Receiver

10.2.1 USART ASYNCHRONOUS TRANSMITTER

The USART transmitter block diagram is shown inFigure 10-1. The heart of the transmitter is the transmit(serial) shift register (TSR). The shift register obtains itsdata from the read/write transmit buffer, TXREG. TheTXREG register is loaded with data in software. TheTSR register is not loaded until the STOP bit has beentransmitted from the previous load. As soon as theSTOP bit is transmitted, the TSR is loaded with newdata from the TXREG register (if available). Once theTXREG register transfers the data to the TSR register(occurs in one TCY), the TXREG register is empty andflag bit TXIF (PIR1<4>) is set. This interrupt can beenabled/disabled by setting/clearing enable bit TXIE

( PIE1<4>). Flag bit TXIF will be set, regardless of thestate of enable bit TXIE and cannot be cleared in soft-ware. It will reset only when new data is loaded into theTXREG register. While flag bit TXIF indicates the statusof the TXREG register, another bit TRMT (TXSTA<1>)shows the status of the TSR register. Status bit TRMTis a read only bit, which is set when the TSR register isempty. No interrupt logic is tied to this bit, so the userhas to poll this bit in order to determine if the TSR reg-ister is empty.

Transmission is enabled by setting enable bit TXEN(TXSTA<5>). The actual transmission will not occuruntil the TXREG register has been loaded with dataand the baud rate generator (BRG) has produced ashift clock (Figure 10-2). The transmission can also bestarted by first loading the TXREG register and thensetting enable bit TXEN. Normally, when transmissionis first started, the TSR register is empty. At that point,transfer to the TXREG register will result in an immedi-ate transfer to TSR, resulting in an empty TXREG. Aback-to-back transfer is thus possible (Figure 10-3).Clearing enable bit TXEN during a transmission willcause the transmission to be aborted and will reset thetransmitter. As a result, the RC6/TX/CK pin will revertto hi-impedance.

In order to select 9-bit transmission, transmit bit TX9(TXSTA<6>) should be set and the ninth bit should bewritten to TX9D (TXSTA<0>). The ninth bit must bewritten before writing the 8-bit data to the TXREG reg-ister. This is because a data write to the TXREG regis-ter can result in an immediate transfer of the data to theTSR register (if the TSR is empty). In such a case, anincorrect ninth data bit may be loaded in the TSRregister.

FIGURE 10-1: USART TRANSMIT BLOCK DIAGRAM

Note 1: The TSR register is not mapped in datamemory, so it is not available to the user.

2: Flag bit TXIF is set when enable bit TXENis set. TXIF is cleared by loading TXREG.

TXIFTXIE

Interrupt

TXEN Baud Rate CLK

SPBRG

Baud Rate GeneratorTX9D

MSb LSb

Data Bus

TXREG register

TSR register

(8) 0

TX9

TRMT SPEN

RC6/TX/CK pin

Pin Bufferand Control

8

• • •

1999 Microchip Technology Inc. DS30292B-page 99

Page 152: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Steps to follow when setting up an AsynchronousTransmission:

1. Initialize the SPBRG register for the appropriatebaud rate. If a high speed baud rate is desired,set bit BRGH. (Section 10.1)

2. Enable the asynchronous serial port by clearingbit SYNC and setting bit SPEN.

3. If interrupts are desired, then set enable bitTXIE.

4. If 9-bit transmission is desired, then set transmitbit TX9.

5. Enable the transmission by setting bit TXEN,which will also set bit TXIF.

6. If 9-bit transmission is selected, the ninth bitshould be loaded in bit TX9D.

7. Load data to the TXREG register (starts trans-mission).

FIGURE 10-2: ASYNCHRONOUS MASTER TRANSMISSION

FIGURE 10-3: ASYNCHRONOUS MASTER TRANSMISSION (BACK TO BACK)

TABLE 10-5: REGISTERS ASSOCIATED WITH ASYNCHRONOUS TRANSMISSION

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value onall otherResets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN — FERR OERR RX9D 0000 -00x 0000 -00x

19h TXREG USART Transmit Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented locations read as '0'. Shaded cells are not used for asynchronous transmission.Note 1: Bits PSPIE and PSPIF are reserved on the PIC16F873/876; always maintain these bits clear.

Word 1Stop Bit

Word 1Transmit Shift Reg

Start Bit Bit 0 Bit 1 Bit 7/8

Write to TXREGWord 1

BRG output(shift clock)

RC6/TX/CK (pin)

TXIF bit(Transmit bufferreg. empty flag)

TRMT bit(Transmit shiftreg. empty flag)

Transmit Shift Reg.

Write to TXREG

BRG output(shift clock)

RC6/TX/CK (pin)TXIF bit(interrupt reg. flag)

TRMT bit(Transmit shiftreg. empty flag)

Word 1 Word 2

Word 1 Word 2

Start Bit Stop Bit Start Bit

Transmit Shift Reg.

Word 1 Word 2Bit 0 Bit 1 Bit 7/8 Bit 0

Note: This timing diagram shows two consecutive transmissions.

DS30292B-page 100 1999 Microchip Technology Inc.

Page 153: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.2.2 USART ASYNCHRONOUS RECEIVER

The receiver block diagram is shown in Figure 10-4.The data is received on the RC7/RX/DT pin and drivesthe data recovery block. The data recovery block isactually a high speed shifter operating at x16 times thebaud rate, whereas the main receive serial shifter oper-ates at the bit rate or at FOSC.

Once asynchronous mode is selected, reception isenabled by setting bit CREN (RCSTA<4>).

The heart of the receiver is the receive (serial) shift reg-ister (RSR). After sampling the STOP bit, the receiveddata in the RSR is transferred to the RCREG register (ifit is empty). If the transfer is complete, flag bit RCIF(PIR1<5>) is set. The actual interrupt can be enabled/disabled by setting/clearing enable bit RCIE (PIE1<5>).Flag bit RCIF is a read only bit which is cleared by thehardware. It is cleared when the RCREG register hasbeen read and is empty. The RCREG is a double buff-ered register (i.e. it is a two deep FIFO). It is possible

for two bytes of data to be received and transferred tothe RCREG FIFO and a third byte to begin shifting tothe RSR register. On the detection of the STOP bit ofthe third byte, if the RCREG register is still full, the over-run error bit OERR (RCSTA<1>) will be set. The wordin the RSR will be lost. The RCREG register can beread twice to retrieve the two bytes in the FIFO. Over-run bit OERR has to be cleared in software. This isdone by resetting the receive logic (CREN is clearedand then set). If bit OERR is set, transfers from theRSR register to the RCREG register are inhibited, so itis essential to clear error bit OERR if it is set. Framingerror bit FERR (RCSTA<2>) is set if a stop bit isdetected as clear. Bit FERR and the 9th receive bit arebuffered the same way as the receive data. Readingthe RCREG will load bits RX9D and FERR with newvalues, therefore it is essential for the user to read theRCSTA register before reading RCREG register inorder not to lose the old FERR and RX9D information.

FIGURE 10-4: USART RECEVE BLOCK DIAGRAM

FIGURE 10-5: ASYNCHRONOUS RECEPTION

x64 Baud Rate CLK

SPBRG

Baud Rate Generator

RC7/RX/DT

Pin Bufferand Control

SPEN

DataRecovery

CRENOERR FERR

RSR registerMSb LSb

RX9D RCREG RegisterFIFO

Interrupt RCIF

RCIE

Data Bus

8

÷64

÷16or

Stop Start(8) 7 1 0

RX9

• • •

Startbit bit7/8bit1bit0 bit7/8 bit0Stop

bit

Startbit

Startbitbit7/8 Stop

bit

RX (pin)

regRcv buffer reg

Rcv shift

Read Rcvbuffer regRCREG

RCIF(interrupt flag)

OERR bit

CREN

WORD 1RCREG

WORD 2RCREG

Stopbit

Note: This timing diagram shows three words appearing on the RX input. The RCREG (receive buffer) is read after the third word, causing the OERR (overrun) bit to be set.

1999 Microchip Technology Inc. DS30292B-page 101

Page 154: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Steps to follow when setting up an AsynchronousReception:

1. Initialize the SPBRG register for the appropriatebaud rate. If a high speed baud rate is desired,set bit BRGH. (Section 10.1).

2. Enable the asynchronous serial port by clearingbit SYNC and setting bit SPEN.

3. If interrupts are desired, then set enable bitRCIE.

4. If 9-bit reception is desired, then set bit RX9.5. Enable the reception by setting bit CREN.

6. Flag bit RCIF will be set when reception is com-plete and an interrupt will be generated if enablebit RCIE is set.

7. Read the RCSTA register to get the ninth bit (ifenabled) and determine if any error occurredduring reception.

8. Read the 8-bit received data by reading theRCREG register.

9. If any error occurred, clear the error by clearingenable bit CREN.

TABLE 10-6: REGISTERS ASSOCIATED WITH ASYNCHRONOUS RECEPTION

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value onall otherResets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN — FERR OERR RX9D 0000 -00x 0000 -00x

1Ah RCREG USART Receive Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented locations read as '0'. Shaded cells are not used for asynchronous reception.Note 1: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.

DS30292B-page 102 1999 Microchip Technology Inc.

Page 155: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.2.3 SETTING UP 9-BIT MODE WITH ADDRESS DETECT

Steps to follow when setting up an AsynchronousReception with Address Detect Enabled:

• Initialize the SPBRG register for the appropriate baud rate. If a high speed baud rate is desired, set bit BRGH.

• Enable the asynchronous serial port by clearing bit SYNC and setting bit SPEN.

• If interrupts are desired, then set enable bit RCIE.

• Set bit RX9 to enable 9-bit reception.• Set ADDEN to enable address detect.• Enable the reception by setting enable bit CREN.

• Flag bit RCIF will be set when reception is com-plete, and an interrupt will be generated if enable bit RCIE was set.

• Read the RCSTA register to get the ninth bit and determine if any error occurred during reception.

• Read the 8-bit received data by reading the RCREG register, to determine if the device is being addressed.

• If any error occurred, clear the error by clearing enable bit CREN.

• If the device has been addressed, clear the ADDEN bit to allow data bytes and address bytes to be read into the receive buffer, and interrupt the CPU.

FIGURE 10-6: USART RECEIVE BLOCK DIAGRAM

x64 Baud Rate CLK

SPBRG

Baud Rate Generator

RC7/RX/DT

Pin Bufferand Control

SPEN

DataRecovery

CRENOERR FERR

RSR registerMSb LSb

RX9D RCREG RegisterFIFO

Interrupt RCIF

RCIE

Data Bus

8

÷ 64

÷ 16or

Stop Start(8) 7 1 0

RX9

• • •

RX9ADDEN

RX9ADDEN

RSR<8>

EnableLoad of

ReceiveBuffer

8

8

1999 Microchip Technology Inc. DS30292B-page 103

Page 156: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 10-7: ASYNCHRONOUS RECEPTION WITH ADDRESS DETECT

FIGURE 10-8: ASYNCHRONOUS RECEPTION WITH ADDRESS BYTE FIRST

TABLE 10-7: REGISTERS ASSOCIATED WITH ASYNCHRONOUS RECEPTION

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value onall otherResets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN ADDEN FERR OERR RX9D 0000 000x 0000 000x

1Ah RCREG USART Receive Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented locations read as '0'. Shaded cells are not used for Asynchronous Reception.Note 1: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.

Startbit bit1bit0 bit8 bit0Stop

bit

Startbit bit8 Stop

bit

RC7/RX/DT (pin)

Load RSR

Read

RCIF

WORD 1RCREG

Bit8 = 0, Data Byte Bit8 = 1, Address Byte

Note: This timing diagram shows a data byte followed by an address byte. The data byte is not read into the RCREG(receive buffer) because ADDEN = 1.

Startbit bit1bit0 bit8 bit0Stop

bit

Startbit bit8 Stop

bit

RC7/RX/DT (pin)

Load RSR

Read

RCIF

WORD 1RCREG

Bit8 = 1, Address Byte Bit8 = 0, Data Byte

Note: This timing diagram shows a data byte followed by an address byte. The data byte is not read into the RCREG(receive buffer) because ADDEN was not updated and still = 0.

DS30292B-page 104 1999 Microchip Technology Inc.

Page 157: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.3 USART Synchronous Master Mode

In Synchronous Master mode, the data is transmitted ina half-duplex manne (i.e., transmission and receptiondo not occur at the same time). When transmitting data,the reception is inhibited and vice versa. Synchronousmode is entered by setting bit SYNC (TXSTA<4>). Inaddition, enable bit SPEN (RCSTA<7>) is set in orderto configure the RC6/TX/CK and RC7/RX/DT I/O pinsto CK (clock) and DT (data) lines respectively. TheMaster mode indicates that the processor transmits themaster clock on the CK line. The Master mode isentered by setting bit CSRC (TXSTA<7>).

10.3.1 USART SYNCHRONOUS MASTER TRANSMISSION

The USART transmitter block diagram is shown inFigure 10-6. The heart of the transmitter is the transmit(serial) shift register (TSR). The shift register obtains itsdata from the read/write transmit buffer registerTXREG. The TXREG register is loaded with data insoftware. The TSR register is not loaded until the lastbit has been transmitted from the previous load. Assoon as the last bit is transmitted, the TSR is loadedwith new data from the TXREG (if available). Once theTXREG register transfers the data to the TSR register(occurs in one Tcycle), the TXREG is empty and inter-rupt bit TXIF (PIR1<4>) is set. The interrupt can beenabled/disabled by setting/clearing enable bit TXIE(PIE1<4>). Flag bit TXIF will be set regardless of thestate of enable bit TXIE and cannot be cleared in soft-ware. It will reset only when new data is loaded into theTXREG register. While flag bit TXIF indicates the statusof the TXREG register, another bit TRMT (TXSTA<1>)shows the status of the TSR register. TRMT is a readonly bit which is set when the TSR is empty. No inter-rupt logic is tied to this bit, so the user has to poll thisbit in order to determine if the TSR register is empty.The TSR is not mapped in data memory, so it is notavailable to the user.

Transmission is enabled by setting enable bit TXEN(TXSTA<5>). The actual transmission will not occuruntil the TXREG register has been loaded with data.The first data bit will be shifted out on the next availablerising edge of the clock on the CK line. Data out is sta-ble around the falling edge of the synchronous clock(Figure 10-9). The transmission can also be started byfirst loading the TXREG register and then setting bitTXEN (Figure 10-10). This is advantageous when slowbaud rates are selected, since the BRG is kept in resetwhen bits TXEN, CREN and SREN are clear. Settingenable bit TXEN will start the BRG, creating a shiftclock immediately. Normally, when transmission is firststarted, the TSR register is empty, so a transfer to theTXREG register will result in an immediate transfer toTSR resulting in an empty TXREG. Back-to-back trans-fers are possible.

Clearing enable bit TXEN during a transmission willcause the transmission to be aborted and will reset thetransmitter. The DT and CK pins will revert to hi-imped-ance. If either bit CREN or bit SREN is set during atransmission, the transmission is aborted and the DT

pin reverts to a hi-impedance state (for a reception).The CK pin will remain an output if bit CSRC is set(internal clock). The transmitter logic, however, is notreset, although it is disconnected from the pins. In orderto reset the transmitter, the user has to clear bit TXEN.If bit SREN is set (to interrupt an on-going transmissionand receive a single word), then after the single word isreceived, bit SREN will be cleared and the serial portwill revert back to transmitting, since bit TXEN is stillset. The DT line will immediately switch from hi-imped-ance receive mode to transmit and start driving. Toavoid this, bit TXEN should be cleared.

In order to select 9-bit transmission, the TX9(TXSTA<6>) bit should be set and the ninth bit shouldbe written to bit TX9D (TXSTA<0>). The ninth bit mustbe written before writing the 8-bit data to the TXREGregister. This is because a data write to the TXREG canresult in an immediate transfer of the data to the TSRregister (if the TSR is empty). If the TSR was empty andthe TXREG was written before writing the “new” TX9D,the “present” value of bit TX9D is loaded.

Steps to follow when setting up a Synchronous MasterTransmission:

1. Initialize the SPBRG register for the appropriatebaud rate (Section 10.1).

2. Enable the synchronous master serial port bysetting bits SYNC, SPEN and CSRC.

3. If interrupts are desired, set enable bit TXIE.4. If 9-bit transmission is desired, set bit TX9.

5. Enable the transmission by setting bit TXEN.6. If 9-bit transmission is selected, the ninth bit

should be loaded in bit TX9D.7. Start transmission by loading data to the

TXREG register.

1999 Microchip Technology Inc. DS30292B-page 105

Page 158: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 10-8: REGISTERS ASSOCIATED WITH SYNCHRONOUS MASTER TRANSMISSION

FIGURE 10-9: SYNCHRONOUS TRANSMISSION

FIGURE 10-10: SYNCHRONOUS TRANSMISSION (THROUGH TXEN)

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other Resets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN — FERR OERR RX9D 0000 -00x 0000 -00x

19h TXREG USART Transmit Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented, read as '0'. Shaded cells are not used for synchronous master transmission.Note 1: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.

bit 0 bit 1 bit 7WORD 1

Q1Q2 Q3Q4 Q1 Q2Q3 Q4Q1 Q2Q3 Q4Q1 Q2Q3 Q4Q1 Q2 Q3Q4 Q3Q4 Q1Q2 Q3Q4 Q1Q2 Q3Q4 Q1Q2 Q3 Q4Q1 Q2Q3 Q4Q1 Q2Q3 Q4Q1 Q2Q3 Q4

bit 2 bit 0 bit 1 bit 7RC7/RX/DT pin

RC6/TX/CK pin

Write toTXREG reg

TXIF bit(Interrupt flag)

TRMT

TXEN bit’1’ ’1’

Note: Sync master mode; SPBRG = '0'. Continuous transmission of two 8-bit words

WORD 2

TRMT bit

Write word1 Write word2

RC7/RX/DT pin

RC6/TX/CK pin

Write toTXREG reg

TXIF bit

TRMT bit

bit0 bit1 bit2 bit6 bit7

TXEN bit

DS30292B-page 106 1999 Microchip Technology Inc.

Page 159: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.3.2 USART SYNCHRONOUS MASTER RECEPTION

Once synchronous mode is selected, reception isenabled by setting either enable bit SREN (RCSTA<5>)or enable bit CREN (RCSTA<4>). Data is sampled onthe RC7/RX/DT pin on the falling edge of the clock. Ifenable bit SREN is set, then only a single word isreceived. If enable bit CREN is set, the reception iscontinuous until CREN is cleared. If both bits are set,CREN takes precedence. After clocking the last bit, thereceived data in the Receive Shift Register (RSR) istransferred to the RCREG register (if it is empty). Whenthe transfer is complete, interrupt flag bit RCIF(PIR1<5>) is set. The actual interrupt can be enabled/disabled by setting/clearing enable bit RCIE (PIE1<5>).Flag bit RCIF is a read only bit, which is reset by thehardware. In this case, it is reset when the RCREG reg-ister has been read and is empty. The RCREG is a dou-ble buffered register (i.e., it is a two deep FIFO). It ispossible for two bytes of data to be received and trans-ferred to the RCREG FIFO and a third byte to beginshifting into the RSR register. On the clocking of the lastbit of the third byte, if the RCREG register is still full,then overrun error bit OERR (RCSTA<1>) is set. Theword in the RSR will be lost. The RCREG register canbe read twice to retrieve the two bytes in the FIFO. BitOERR has to be cleared in software (by clearing bitCREN). If bit OERR is set, transfers from the RSR tothe RCREG are inhibited, so it is essential to clear bit

OERR if it is set. The ninth receive bit is buffered thesame way as the receive data. Reading the RCREGregister will load bit RX9D with a new value, therefore itis essential for the user to read the RCSTA registerbefore reading RCREG in order not to lose the oldRX9D information.

Steps to follow when setting up a Synchronous MasterReception:

1. Initialize the SPBRG register for the appropriatebaud rate. (Section 10.1)

2. Enable the synchronous master serial port bysetting bits SYNC, SPEN and CSRC.

3. Ensure bits CREN and SREN are clear.4. If interrupts are desired, then set enable bit RCIE.5. If 9-bit reception is desired, then set bit RX9.6. If a single reception is required, set bit SREN.

For continuous reception set bit CREN.7. Interrupt flag bit RCIF will be set when reception

is complete and an interrupt will be generated ifenable bit RCIE was set.

8. Read the RCSTA register to get the ninth bit (ifenabled) and determine if any error occurredduring reception.

9. Read the 8-bit received data by reading theRCREG register.

10. If any error occurred, clear the error by clearingbit CREN.

TABLE 10-9: REGISTERS ASSOCIATED WITH SYNCHRONOUS MASTER RECEPTION

FIGURE 10-11: SYNCHRONOUS RECEPTION (MASTER MODE, SREN)

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other Resets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN — FERR OERR RX9D 0000 -00x 0000 -00x

1Ah RCREG USART Receive Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented read as '0'. Shaded cells are not used for synchronous master reception.Note 1: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.

CREN bit

RC7/RX/DT pin

RC6/TX/CK pin

Write tobit SREN

SREN bit

RCIF bit(interrupt)

Read RXREG

Note: Timing diagram demonstrates SYNC master mode with bit SREN = '1' and bit BRG = '0'.

Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4Q2 Q1 Q2 Q3 Q4Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

’0’

bit0 bit1 bit2 bit3 bit4 bit5 bit6 bit7

’0’

Q1 Q2 Q3 Q4

1999 Microchip Technology Inc. DS30292B-page 107

Page 160: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

10.4 USART Synchronous Slave Mode

Synchronous slave mode differs from the Master modein the fact that the shift clock is supplied externally atthe RC6/TX/CK pin (instead of being supplied internallyin master mode). This allows the device to transfer orreceive data while in SLEEP mode. Slave mode isentered by clearing bit CSRC (TXSTA<7>).

10.4.1 USART SYNCHRONOUS SLAVE TRANSMIT

The operation of the synchronous master and slavemodes are identical except in the case of the SLEEPmode.

If two words are written to the TXREG and then theSLEEP instruction is executed, the following will occur:

a) The first word will immediately transfer to theTSR register and transmit.

b) The second word will remain in TXREG register.

c) Flag bit TXIF will not be set. d) When the first word has been shifted out of TSR,

the TXREG register will transfer the secondword to the TSR and flag bit TXIF will now beset.

e) If enable bit TXIE is set, the interrupt will wakethe chip from SLEEP and if the global interruptis enabled, the program will branch to the inter-rupt vector (0004h).

Steps to follow when setting up a Synchronous SlaveTransmission:

1. Enable the synchronous slave serial port by set-ting bits SYNC and SPEN and clearing bitCSRC.

2. Clear bits CREN and SREN.3. If interrupts are desired, then set enable bit

TXIE.4. If 9-bit transmission is desired, then set bit TX9.5. Enable the transmission by setting enable bit

TXEN.6. If 9-bit transmission is selected, the ninth bit

should be loaded in bit TX9D.7. Start transmission by loading data to the

TXREG register.

10.4.2 USART SYNCHRONOUS SLAVE RECEPTION

The operation of the synchronous master and slavemodes is identical, except in the case of the SLEEPmode. Bit SREN is a “don't care” in slave mode.

If receive is enabled by setting bit CREN prior to theSLEEP instruction, then a word may be received duringSLEEP. On completely receiving the word, the RSRregister will transfer the data to the RCREG registerand if enable bit RCIE bit is set, the interrupt generatedwill wake the chip from SLEEP. If the global interrupt isenabled, the program will branch to the interrupt vector(0004h).

Steps to follow when setting up a Synchronous SlaveReception:

1. Enable the synchronous master serial port bysetting bits SYNC and SPEN and clearing bitCSRC.

2. If interrupts are desired, set enable bit RCIE.3. If 9-bit reception is desired, set bit RX9.

4. To enable reception, set enable bit CREN.5. Flag bit RCIF will be set when reception is com-

plete and an interrupt will be generated, ifenable bit RCIE was set.

6. Read the RCSTA register to get the ninth bit (ifenabled) and determine if any error occurredduring reception.

7. Read the 8-bit received data by reading theRCREG register.

8. If any error occurred, clear the error by clearingbit CREN.

DS30292B-page 108 1999 Microchip Technology Inc.

Page 161: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 10-10: REGISTERS ASSOCIATED WITH SYNCHRONOUS SLAVE TRANSMISSION

TABLE 10-11: REGISTERS ASSOCIATED WITH SYNCHRONOUS SLAVE RECEPTION

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other Resets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN ADDEN FERR OERR RX9D 0000 000x 0000 000x

19h TXREG USART Transmit Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented read as '0'. Shaded cells are not used for Synchronous Slave Transmission.Note 1: Bits PSPIE and PSPIF are reserved on the 28-pin devices; always maintain these bits clear.

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0Value on:

POR,BOR

Value on all other Resets

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

18h RCSTA SPEN RX9 SREN CREN ADDEN FERR OERR RX9D 0000 000x 0000 000x

1Ah RCREG

USART Receive Register 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

98h TXSTA CSRC TX9 TXEN SYNC — BRGH TRMT TX9D 0000 -010 0000 -010

99h SPBRG Baud Rate Generator Register 0000 0000 0000 0000

Legend: x = unknown, - = unimplemented read as '0'. Shaded cells are not used for Synchronous Slave Reception.Note 1: Bits PSPIE and PSPIF are reserved on the 28-pin devices, always maintain these bits clear.

1999 Microchip Technology Inc. DS30292B-page 109

Page 162: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 110 1999 Microchip Technology Inc.

Page 163: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

11.0 ANALOG-TO-DIGITAL CONVERTER (A/D) MODULE

The Analog-to-Digital (A/D) Converter module has fiveinputs for the 28-pin devices and eight for the otherdevices.

The analog input charges a sample and hold capacitor.The output of the sample and hold capacitor is theinput into the converter. The converter then generatesa digital result of this analog level via successiveapproximation. The A/D conversion of the analog inputsignal results in a corresponding 10-bit digital number.The A/D module has high and low voltage referenceinput that is software selectable to some combinationof VDD, VSS, RA2 or RA3.

The A/D converter has a unique feature of being able tooperate while the device is in SLEEP mode. To operatein sleep, the A/D clock must be derived from the A/D’sinternal RC oscillator.

The A/D module has four registers. These registersare:

• A/D Result High Register (ADRESH)• A/D Result Low Register (ADRESL)

• A/D Control Register0 (ADCON0)• A/D Control Register1 (ADCON1)

The ADCON0 register, shown in Register 11-1, con-trols the operation of the A/D module. The ADCON1register, shown in Register 11-2, configures the func-tions of the port pins. The port pins can be configuredas analog inputs (RA3 can also be the voltage refer-ence) or as digital I/O.

Additional information on using the A/D module can befound in the PICmicro™ Mid-Range MCU Family Ref-erence Manual (DS33023).

REGISTER 11-1: ADCON0 REGISTER (ADDRESS: 1Fh)

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 U-0 R/W-0

ADCS1 ADCS0 CHS2 CHS1 CHS0 GO/DONE — ADON R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit7 bit0

bit 7-6: ADCS1:ADCS0: A/D Conversion Clock Select bits00 = FOSC/201 = FOSC/810 = FOSC/3211 = FRC (clock derived from an RC oscillation)

bit 5-3: CHS2:CHS0: Analog Channel Select bits000 = channel 0, (RA0/AN0)001 = channel 1, (RA1/AN1)010 = channel 2, (RA2/AN2)011 = channel 3, (RA3/AN3)100 = channel 4, (RA5/AN4)101 = channel 5, (RE0/AN5)(1)

110 = channel 6, (RE1/AN6)(1)

111 = channel 7, (RE2/AN7)(1)

bit 2: GO/DONE: A/D Conversion Status bitIf ADON = 11 = A/D conversion in progress (setting this bit starts the A/D conversion)0 = A/D conversion not in progress (This bit is automatically cleared by hardware when the A/D conversion is complete)

bit 1: Unimplemented: Read as '0'

bit 0: ADON: A/D On bit1 = A/D converter module is operating0 = A/D converter module is shutoff and consumes no operating current

Note 1: These channels are not available on the 28-pin devices.

1999 Microchip Technology Inc. DS30292B-page 111

Page 164: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

REGISTER 11-2: ADCON1 REGISTER (ADDRESS 9Fh)

U-0 U-0 R/W-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0

ADFM — — — PCFG3 PCFG2 PCFG1 PCFG0 R = Readable bitW = Writable bitU = Unimplemented bit,

read as ‘0’- n = Value at POR reset

bit7 bit0

bit 7: ADFM: A/D Result format select1 = Right Justified. 6 most significant bits of ADRESH are read as ‘0’.0 = Left Justified. 6 least significant bits of ADRESL are read as ‘0’.

bit 6-4: Unimplemented: Read as ’0’

bit 3-0: PCFG3:PCFG0: A/D Port Configuration Control bits

A = Analog input

D = Digital I/O

Note 1: These channels are not available on the 28-pin devices.2: This column indicates the number of analog channels available as A/D inputs and the numer of analog channels

used as voltage reference inputs.

PCFG3:PCFG0

AN7(1)

RE2AN6(1)

RE1AN5(1)

RE0AN4RA5

AN3RA3

AN2RA2

AN1RA1

AN0RA0

VREF+ VREF-CHAN /Refs(2)

0000 A A A A A A A A VDD VSS 8/0

0001 A A A A VREF+ A A A RA3 VSS 7/1

0010 D D D A A A A A VDD VSS 5/0

0011 D D D A VREF+ A A A RA3 VSS 4/1

0100 D D D D A D A A VDD VSS 3/0

0101 D D D D VREF+ D A A RA3 VSS 2/1

011x D D D D D D D D VDD VSS 0/0

1000 A A A A VREF+ VREF- A A RA3 RA2 6/2

1001 D D A A A A A A VDD VSS 6/0

1010 D D A A VREF+ A A A RA3 VSS 5/1

1011 D D A A VREF+ VREF- A A RA3 RA2 4/2

1100 D D D A VREF+ VREF- A A RA3 RA2 3/2

1101 D D D D VREF+ VREF- A A RA3 RA2 2/2

1110 D D D D D D D A VDD VSS 1/0

1111 D D D D VREF+ VREF- D A RA3 RA2 1/2

DS30292B-page 112 1999 Microchip Technology Inc.

Page 165: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

The ADRESH:ADRESL registers contain the 10-bitresult of the A/D conversion. When the A/D conversionis complete, the result is loaded into this A/D result reg-ister pair, the GO/DONE bit (ADCON0<2>) is clearedand the A/D interrupt flag bit ADIF is set. The block dia-gram of the A/D module is shown in Figure 11-1.

After the A/D module has been configured as desired,the selected channel must be acquired before the con-version is started. The analog input channels musthave their corresponding TRIS bits selected as inputs.To determine sample time, see Section 11.1. After thisacquisition time has elapsed, the A/D conversion canbe started. The following steps should be followed fordoing an A/D conversion:

1. Configure the A/D module:

• Configure analog pins / voltage reference / and digital I/O (ADCON1)

• Select A/D input channel (ADCON0)• Select A/D conversion clock (ADCON0)• Turn on A/D module (ADCON0)

2. Configure A/D interrupt (if desired):• Clear ADIF bit • Set ADIE bit

• Set GIE bit 3. Wait the required acquisition time.4. Start conversion:

• Set GO/DONE bit (ADCON0)5. Wait for A/D conversion to complete, by either:

• Polling for the GO/DONE bit to be cleared

OR

• Waiting for the A/D interrupt

6. Read A/D Result register pair(ADRESH:ADRESL), clear bit ADIF if required.

7. For next conversion, go to step 1 or step 2 asrequired. The A/D conversion time per bit isdefined as TAD. A minimum wait of 2TAD isrequired before next acquisition starts.

1999 Microchip Technology Inc. DS30292B-page 113

Page 166: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 11-1: A/D BLOCK DIAGRAM

11.1 A/D Acquisition Requirements

For the A/D converter to meet its specified accuracy,the charge holding capacitor (CHOLD) must be allowedto fully charge to the input channel voltage level. Theanalog input model is shown in Figure 11-2. Thesource impedance (RS) and the internal samplingswitch (RSS) impedance directly affect the timerequired to charge the capacitor CHOLD. The samplingswitch (RSS) impedance varies over the device voltage(VDD), Figure 11-2. The maximum recommendedimpedance for analog sources is 10 kΩ. As theimpedance is decreased, the acquisition time may bedecreased. After the analog input channel is selected(changed), this acquisition must be done before theconversion can be started.

To calculate the minimum acquisition time,Equation 11-1 may be used. This equation assumesthat 1/2 LSb error is used (1024 steps for the A/D). The1/2 LSb error is the maximum error allowed for the A/Dto meet its specified resolution.

To calculate the minimum acquisition time, TACQ, seethe PICmicro™ Mid-Range Reference Manual(DS33023).

(Input voltage)

VAIN

VREF+

(Referencevoltage)

VDD

PCFG3:PCFG0

CHS2:CHS0

RE2/AN7(1)

RE1/AN6(1)

RE0/AN5(1)

RA5/AN4

RA3/AN3/VREF+

RA2/AN2/VREF-

RA1/AN1

RA0/AN0

111

110

101

100

011

010

001

000

A/DConverter

Note 1: Not available on 28-pin devices.

VREF-

(Referencevoltage)

VSS

PCFG3:PCFG0

DS30292B-page 114 1999 Microchip Technology Inc.

Page 167: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

EQUATION 11-1: ACQUISITION TIME

FIGURE 11-2: ANALOG INPUT MODEL

TACQ

TC

TACQ

=

=======

Amplifier Settling Time +Hold Capacitor Charging Time +Temperature Coefficient

TAMP + TC + TCOFF

2µS + TC + [(Temperature -25°C)(0.05µS/°C)] CHOLD (RIC + RSS + RS) In(1/2047)- 120pF (1kΩ + 7kΩ + 10kΩ) In(0.0004885)16.47µS2µS + 16.47µS + [(50°C -25×C)(0.05µS/×C)19.72µS

Note 1: The reference voltage (VREF) has no effect on the equation, since it cancels itself out.

2: The charge holding capacitor (CHOLD) is not discharged after each conversion.

3: The maximum recommended impedance for analog sources is 10 kΩ. This is required to meet the pin leak-age specification.

4: After a conversion has completed, a 2.0TAD delay must complete before acquisition can begin again. During this time, the holding capacitor is not connected to the selected A/D input channel.

CPINVA

RS ANx

5 pF

VDD

VT = 0.6V

VT = 0.6V I LEAKAGE

RIC ≤ 1k

SamplingSwitchSS RSS

CHOLD= DAC capacitance

VSS

6V

Sampling Switch

5V4V3V2V

5 6 7 8 9 10 11

( kΩ )

VDD

= 120 pF± 500 nA

Legend CPIN

VTI LEAKAGE

RICSSCHOLD

= input capacitance= threshold voltage= leakage current at the pin due to

= interconnect resistance= sampling switch= sample/hold capacitance (from DAC)

various junctions

1999 Microchip Technology Inc. DS30292B-page 115

Page 168: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

11.2 Selecting the A/D Conversion Clock

The A/D conversion time per bit is defined as TAD. TheA/D conversion requires a minimum 12TAD per 10-bitconversion. The source of the A/D conversion clock issoftware selected. The four possible options for TAD

are:

• 2TOSC

• 8TOSC

• 32TOSC

• Internal RC oscillator

For correct A/D conversions, the A/D conversion clock(TAD) must be selected to ensure a minimum TAD timeof 1.6 µs.

Table 11-1shows the resultant TAD times derived fromthe device operating frequencies and the A/D clocksource selected.

TABLE 11-1: TAD vs. MAXIMUM DEVICE OPERATING FREQUENCIES (STANDARD DEVICES (C))

11.3 Configuring Analog Port Pins

The ADCON1, and TRIS registers control the operationof the A/D port pins. The port pins that are desired asanalog inputs must have their corresponding TRIS bitsset (input). If the TRIS bit is cleared (output), the digitaloutput level (VOH or VOL) will be converted.

The A/D operation is independent of the state of theCHS2:CHS0 bits and the TRIS bits.

AD Clock Source (TAD) Maximum Device Frequency

Operation ADCS1:ADCS0 Max.

2TOSC 00 1.25 MHz

8TOSC 01 5 MHz

32TOSC 10 20 MHz

RC(1, 2, 3) 11 Note 1

Note 1: The RC source has a typical TAD time of 4 µs but can vary between 2-6 µs.2: When the device frequencies are greater than 1 MHz, the RC A/D conversion clock source is only recommended for sleep

operation. 3: For extended voltage devices (LC), please refer to the Electrical Specifications section.

Note 1: When reading the port register, any pinconfigured as an analog input channel willread as cleared (a low level). Pins config-ured as digital inputs will convert an ana-log input. Analog levels on a digitallyconfigured input will not affect the conver-sion accuracy.

2: Analog levels on any pin that is defined asa digital input (including the AN7:AN0pins), may cause the input buffer to con-sume current that is out of the devicespecifications.

DS30292B-page 116 1999 Microchip Technology Inc.

Page 169: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

11.4 A/D Conversions

Clearing the GO/DONE bit during a conversion willabort the current conversion. The A/D result registerpair will NOT be updated with the partially completedA/D conversion sample. That is, theADRESH:ADRESL registers will continue to containthe value of the last completed conversion (or the lastvalue written to the ADRESH:ADRESL registers).After the A/D conversion is aborted, a 2TAD wait is

required before the next acquisition is started. Afterthis 2TAD wait, acquisition on the selected channel isautomatically started.

In Figure 11-3, after the GO bit is set, the first time seg-mant has a minimum of TCY and a maximum of TAD.

FIGURE 11-3: A/D CONVERSION TAD CYCLES

Note: The GO/DONE bit should NOT be set inthe same instruction that turns on the A/D.

TAD1 TAD2 TAD3 TAD4 TAD5 TAD6 TAD7 TAD8 TAD9

Set GO bit

Holding capacitor is disconnected from analog input (typically 100 ns)

b9 b8 b7 b6 b5 b4 b3 b2

TAD10 TAD11

b1 b0

TCY to TAD

Conversion Starts

ADRES is loaded,GO bit is cleared,ADIF bit is set,holding capacitor is connected to analog input.

1999 Microchip Technology Inc. DS30292B-page 117

Page 170: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

11.4.1 A/D RESULT REGISTERS

The ADRESH:ADRESL register pair is the locationwhere the 10-bit A/D result is loaded at the completionof the A/D conversion. This register pair is 16-bitswide. The A/D module gives the flexibility to left or rightjustify the 10-bit result in the 16-bit result register. TheA/D Format Select bit (ADFM) controls this justifica-tion. Figure 11-4 shows the operation of the A/D resultjustification. The extra bits are loaded with ’0’s’. Whenan A/D result will not overwrite these locations (A/Ddisable), these registers may be used as two generalpurpose 8-bit registers.

11.5 A/D Operation During Sleep

The A/D module can operate during SLEEP mode. Thisrequires that the A/D clock source be set to RC(ADCS1:ADCS0 = 11). When the RC clock source isselected, the A/D module waits one instruction cyclebefore starting the conversion. This allows the SLEEPinstruction to be executed, which eliminates all digitalswitching noise from the conversion. When the conver-sion is completed the GO/DONE bit will be cleared andthe result loaded into the ADRES register. If the A/Dinterrupt is enabled, the device will wake-up from

SLEEP. If the A/D interrupt is not enabled, the A/D mod-ule will then be turned off, although the ADON bit willremain set.

When the A/D clock source is another clock option (notRC), a SLEEP instruction will cause the present conver-sion to be aborted and the A/D module to be turned off,though the ADON bit will remain set.

Turning off the A/D places the A/D module in its lowestcurrent consumption state.

11.6 Effects of a Reset

A device reset forces all registers to their reset state.This forces the A/D module to be turned off, and anyconversion is aborted.

The value that is in the ADRESH:ADRESL registers isnot modified for a Power-on Reset. TheADRESH:ADRESL registers will contain unknown dataafter a Power-on Reset.

FIGURE 11-4: A/D RESULT JUSTIFICATION

Note: For the A/D module to operate in SLEEP,the A/D clock source must be set to RC(ADCS1:ADCS0 = 11). To allow the con-version to occur during SLEEP, ensure theSLEEP instruction immediately follows theinstruction that sets the GO/DONE bit.

10-Bit Result

ADRESH ADRESL

0000 00

ADFM = 0

02 1 0 77

10-bit Result

ADRESH ADRESL

10-bit Result

0000 00

7 0 7 6 5 0

ADFM = 1

Right Justified Left Justified

DS30292B-page 118 1999 Microchip Technology Inc.

Page 171: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 11-2: REGISTERS/BITS ASSOCIATED WITH A/D

Addr Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0POR,BOR

MCLR, WDT

0Bh INTCON GIE PEIE T0IE INTE RBIE T0IF INTF RBIF 0000 000x 0000 000u

0Ch PIR1 PSPIF(1) ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000

8Ch PIE1 PSPIE(1) ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000

1Eh ADRESH A/D Result Register High Byte xxxx xxxx uuuu uuuu

9Eh ADRESL A/D Result Register Low Byte xxxx xxxx uuuu uuuu

1Fh ADCON0 ADCS1 ADCS0 CHS2 CHS1 CHS0 GO/DONE — ADON 0000 00-0 0000 00-0

9Fh ADCON1 ADFM — — — PCFG3 PCFG2 PCFG1 PCFG0 --0- 0000 --0- 0000

85h TRISA — — PORTA Data Direction Register --11 1111 --11 1111

05h PORTA — — PORTA Data Latch when written: PORTA pins when read --0x 0000 --0u 0000

89h(1) TRISE IBF OBF IBOV PSPMODE — PORTE Data Direction Bits 0000 -111 0000 -111

09h(1) PORTE — — — — — RE2 RE1 RE0 ---- -xxx ---- -uuu

Legend: x = unknown, u = unchanged, - = unimplemented read as '0'. Shaded cells are not used for A/D conversion.Note 1: These registers/bits are not available on the 28-pin devices.

1999 Microchip Technology Inc. DS30292B-page 119

Page 172: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 120 1999 Microchip Technology Inc.

Page 173: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.0 SPECIAL FEATURES OF THE CPU

These devices have a host of features intended to max-imize system reliability, minimize cost through elimina-tion of external components, provide power savingoperating modes and offer code protection. These are:

• OSC Selection• Reset

- Power-on Reset (POR)- Power-up Timer (PWRT)- Oscillator Start-up Timer (OST)

- Brown-out Reset (BOR)• Interrupts• Watchdog Timer (WDT)

• SLEEP• Code protection• ID locations

• In-Circuit Serial Programming• Low Voltage In-Circuit Serial Programming• In-Circuit Debugger

These devices have a watchdog timer, which can beshut off only through configuration bits. It runs off itsown RC oscillator for added reliability. There are twotimers that offer necessary delays on power-up. One isthe Oscillator Start-up Timer (OST), intended to keepthe chip in reset until the crystal oscillator is stable. Theother is the Power-up Timer (PWRT), which provides afixed delay of 72 ms (nominal) on power-up only. It isdesigned to keep the part in reset while the power sup-ply stabilizes. With these two timers on-chip, mostapplications need no external reset circuitry.

SLEEP mode is designed to offer a very low currentpower-down mode. The user can wake-up from SLEEPthrough external reset, Watchdog Timer Wake-up, orthrough an interrupt. Several oscillator options are alsomade available to allow the part to fit the application.The RC oscillator option saves system cost while theLP crystal option saves power. A set of configurationbits are used to select various options.

Additional information on special features is available inthe PICmicro™ Mid-Range Reference Manual,(DS33023).

12.1 Configuration Bits

The configuration bits can be programmed (read as '0')or left unprogrammed (read as '1') to select variousdevice configurations. These bits are mapped in pro-gram memory location 2007h.

The user will note that address 2007h is beyond theuser program memory space. In fact, it belongs to thespecial test/configuration memory space (2000h -3FFFh), which can be accessed only during program-ming.

1999 Microchip Technology Inc. DS30292B-page 121

Page 174: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

e

REGISTER 12-1: CONFIGURATION WORD

CP1 CP0 DEBUG — WRT CPD LVP BODEN CP1 CP0 PWRTE WDTE F0SC1 F0SC0 Register: CONFIGAddress 2007hbit13 bit0

bit 13-12:

bit 5-4: CP1:CP0: Flash Program Memory Code Protection bits (2)

11 = Code protection off10 = 1F00h to 1FFFh code protected (PIC16F877, 876)10 = 0F00h to 0FFFh code protected (PIC16F874, 873)01 = 1000h to 1FFFh code protected (PIC16F877, 876)01 = 0800h to 0FFFh code protected (PIC16F874, 873)00 = 0000h to 1FFFh code protected (PIC16F877, 876)00 = 0000h to 0FFFh code protected (PIC16F874, 873)

bit 11: DEBUG: In-Circuit Debugger Mode1 = In-Circuit Debugger disabled, RB6 and RB7 are general purpose I/O pins.0 = In-Circuit Debugger enabled, RB6 and RB7 are dedicated to the debugger.

bit 10: Unimplemented: Read as ‘1’

bit 9: WRT: Flash Program Memory Write Enable 1 = Unprotected program memory may be written to by EECON control

0 = Unprotected program memory may not be written to by EECON control

bit 8: CPD: Data EE Memory Code Protection 1 = Code protection off

0 = Data EEPROM memory code protected

bit 7: LVP: Low Voltage In-Circuit Serial Programming Enable bit1 = RB3/PGM pin has PGM function, low voltage programming enabled0 = RB3 is digital I/O, HV on MCLR must be used for programming

bit 6: BODEN: Brown-out Reset Enable bit (1)

1 = BOR enabled0 = BOR disabled

bit 3: PWRTE: Power-up Timer Enable bit (1)

1 = PWRT disabled0 = PWRT enabled

bit 2: WDTE: Watchdog Timer Enable bit1 = WDT enabled0 = WDT disabled

bit 1-0: FOSC1:FOSC0: Oscillator Selection bits11 = RC oscillator10 = HS oscillator01 = XT oscillator00 = LP oscillator

Note 1: Enabling Brown-out Reset automatically enables Power-up Timer (PWRT), regardless of the value of bit PWRTE. Ensure thPower-up Timer is enabled anytime Brown-out Reset is enabled.

2: All of the CP1:CP0 pairs have to be given the same value to enable the code protection scheme listed.

DS30292B-page 122 1999 Microchip Technology Inc.

Page 175: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.2 Oscillator Configurations

12.2.1 OSCILLATOR TYPES

The PIC16F87X can be operated in four different oscil-lator modes. The user can program two configurationbits (FOSC1 and FOSC0) to select one of these fourmodes:

• LP Low Power Crystal

• XT Crystal/Resonator• HS High Speed Crystal/Resonator• RC Resistor/Capacitor

12.2.2 CRYSTAL OSCILLATOR/CERAMIC RESONATORS

In XT, LP or HS modes, a crystal or ceramic resonatoris connected to the OSC1/CLKIN and OSC2/CLKOUTpins to establish oscillation (Figure 12-1). ThePIC16F87X oscillator design requires the use of a par-allel cut crystal. Use of a series cut crystal may give afrequency out of the crystal manufacturers specifica-tions. When in XT, LP or HS modes, the device canhave an external clock source to drive the OSC1/CLKIN pin (Figure 12-2).

FIGURE 12-1: CRYSTAL/CERAMIC RESONATOR OPERATION (HS, XT OR LP OSC CONFIGURATION)

FIGURE 12-2: EXTERNAL CLOCK INPUT OPERATION (HS, XT OR LP OSC CONFIGURATION)

TABLE 12-1: CERAMIC RESONATORS

Note 1: See Table 12-1 and Table 12-2 for rec-ommended values of C1 and C2.

2: A series resistor (RS) may be requiredfor AT strip cut crystals.

3: RF varies with the crystal chosen.

C1(1)

C2(1)

XTAL

OSC2

OSC1

RF(3)

SLEEP

To

logic

PIC16F87XRS(2)

internal

OSC1

OSC2Open

Clock fromext. system PIC16F87X

Ranges Tested:

Mode Freq OSC1 OSC2

XT 455 kHz2.0 MHz4.0 MHz

68 - 100 pF15 - 68 pF15 - 68 pF

68 - 100 pF15 - 68 pF15 - 68 pF

HS 8.0 MHz16.0 MHz

10 - 68 pF10 - 22 pF

10 - 68 pF10 - 22 pF

These values are for design guidance only. See notes at bottom of page.

Resonators Used:

455 kHz Panasonic EFO-A455K04B ± 0.3%

2.0 MHz Murata Erie CSA2.00MG ± 0.5%

4.0 MHz Murata Erie CSA4.00MG ± 0.5%

8.0 MHz Murata Erie CSA8.00MT ± 0.5%

16.0 MHz Murata Erie CSA16.00MX ± 0.5%

All resonators used did not have built-in capacitors.

1999 Microchip Technology Inc. DS30292B-page 123

Page 176: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 12-2: CAPACITOR SELECTION FOR CRYSTAL OSCILLATOR

12.2.3 RC OSCILLATOR

For timing insensitive applications, the “RC” deviceoption offers additional cost savings. The RC oscillatorfrequency is a function of the supply voltage, the resis-tor (REXT) and capacitor (CEXT) values, and the operat-ing temperature. In addition to this, the oscillatorfrequency will vary from unit to unit due to normal pro-cess parameter variation. Furthermore, the differencein lead frame capacitance between package types willalso affect the oscillation frequency, especially for lowCEXT values. The user also needs to take into accountvariation due to tolerance of external R and C compo-nents used. Figure 12-3 shows how the R/C combina-tion is connected to the PIC16F87X.

FIGURE 12-3: RC OSCILLATOR MODE

Osc TypeCrystal

FreqCap. Range

C1

Cap. Range

C2

LP 32 kHz 33 pF 33 pF

200 kHz 15 pF 15 pF

XT 200 kHz 47-68 pF 47-68 pF

1 MHz 15 pF 15 pF

4 MHz 15 pF 15 pF

HS 4 MHz 15 pF 15 pF

8 MHz 15-33 pF 15-33 pF

20 MHz 15-33 pF 15-33 pF

These values are for design guidance only. See notes at bottom of page.

Crystals Used

32 kHz Epson C-001R32.768K-A ± 20 PPM

200 kHz STD XTL 200.000KHz ± 20 PPM

1 MHz ECS ECS-10-13-1 ± 50 PPM

4 MHz ECS ECS-40-20-1 ± 50 PPM

8 MHz EPSON CA-301 8.000M-C ± 30 PPM

20 MHz EPSON CA-301 20.000M-C ± 30 PPM

Note 1: Higher capacitance increases the stabilityof oscillator but also increases the start-uptime.

2: Since each resonator/crystal has its owncharacteristics, the user should consultthe resonator/crystal manufacturer forappropriate values of external compo-nents.

3: Rs may be required in HS mode, as wellas XT mode, to avoid overdriving crystalswith low drive level specification.

4: When migrating from other PICmicrodevices, oscillator performance should beverified.

OSC2/CLKOUT

Cext

Rext

PIC16F87X

OSC1

FOSC/4

InternalClock

VDD

VSS

Recommended values: 3 kΩ ≤ Rext ≤ 100 kΩCext > 20pF

DS30292B-page 124 1999 Microchip Technology Inc.

Page 177: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.3 Reset

The PIC16F87X differentiates between various kinds ofreset:

• Power-on Reset (POR)• MCLR reset during normal operation• MCLR reset during SLEEP

• WDT Reset (during normal operation)• WDT Wake-up (during SLEEP)• Brown-out Reset (BOR)

Some registers are not affected in any reset condition.Their status is unknown on POR and unchanged in anyother reset. Most other registers are reset to a “resetstate” on Power-on Reset (POR), on the MCLR and

WDT Reset, on MCLR reset during SLEEP, and Brown-out Reset (BOR). They are not affected by a WDTWake-up, which is viewed as the resumption of normaloperation. The TO and PD bits are set or cleared differ-ently in different reset situations as indicated inTable 12-4. These bits are used in software to deter-mine the nature of the reset. See Table 12-6 for a fulldescription of reset states of all registers.

A simplified block diagram of the on-chip reset circuit isshown in Figure 12-4.

These devices have a MCLR noise filter in the MCLRreset path. The filter will detect and ignore small pulses.

It should be noted that a WDT Reset does not driveMCLR pin low.

FIGURE 12-4: SIMPLIFIED BLOCK DIAGRAM OF ON-CHIP RESET CIRCUIT

S

R Q

ExternalReset

MCLR

VDD

OSC1

WDTModule

VDD risedetect

OST/PWRT

On-chip RC OSC

WDT

Time-out

Power-on Reset

OST

10-bit Ripple counter

PWRT

Chip_Reset

10-bit Ripple counter

Reset

Enable OST

Enable PWRT

SLEEP

Note 1: This is a separate oscillator from the RC oscillator of the CLKIN pin.

Brown-outReset BODEN

(1)

1999 Microchip Technology Inc. DS30292B-page 125

Page 178: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.4 Power-On Reset (POR)

A Power-on Reset pulse is generated on-chip whenVDD rise is detected (in the range of 1.2V - 1.7V). Totake advantage of the POR, tie the MCLR pin directly(or through a resistor) to VDD. This will eliminate exter-nal RC components usually needed to create a Power-on Reset. A maximum rise time for VDD is specified.See Electrical Specifications for details.

When the device starts normal operation (exits thereset condition), device operating parameters (voltage,frequency, temperature,...) must be met to ensure oper-ation. If these conditions are not met, the device mustbe held in reset until the operating conditions are met.Brown-out Reset may be used to meet the start-up con-ditions. For additional information, refer to ApplicationNote, AN007, “Power-up Trouble Shooting”,(DS00007).

12.5 Power-up Timer (PWRT)

The Power-up Timer provides a fixed 72 ms nominaltime-out on power-up only from the POR. The Power-up Timer operates on an internal RC oscillator. Thechip is kept in reset as long as the PWRT is active. ThePWRT’s time delay allows VDD to rise to an acceptablelevel. A configuration bit is provided to enable/disablethe PWRT.

The power-up time delay will vary from chip to chip dueto VDD, temperature and process variation. See DCparameters for details (TPWRT, parameter #33).

12.6 Oscillator Start-up Timer (OST)

The Oscillator Start-up Timer (OST) provides 1024oscillator cycle (from OSC1 input) delay after thePWRT delay is over. This ensures that the crystal oscil-lator or resonator has started and stabilized.

The OST time-out is invoked only for XT, LP and HSmodes and only on Power-on Reset or wake-up fromSLEEP.

12.7 Brown-Out Reset (BOR)

The configuration bit, BODEN, can enable or disablethe Brown-out Reset circuit. If VDD falls below VBOR

(parameter D005, about 4V) for longer than TBOR

(parameter #35, about 100µS), the brown-out situa-tion will reset the device. If VDD falls below VBOR forless than TBOR, a reset may not occur.

Once the brown-out occurs, the device will remain inbrown-out reset until VDD rises above VBOR. Thepower-up timer then keeps the device in reset forTPWRT (parameter #33, about 72mS). If VDD shouldfall below VBOR during TPWRT, the brown-out resetprocess will restart when VDD rises above VBOR withthe power-up timer reset. The power-up timer isalways enabled when the brown-out reset circuit isenabled regardless of the state of the PWRT configu-ration bit.

12.8 Time-out Sequence

On power-up, the time-out sequence is as follows: ThePWRT delay starts (if enabled) when a POR resetoccurs. Then OST starts counting 1024 oscillatorcycles when PWRT ends (LP, XT, HS). When the OSTends, the device comes out of RESET.

If MCLR is kept low long enough, the time-outs willexpire. Bringing MCLR high will begin execution imme-diately. This is useful for testing purposes or to synchro-nize more than one PIC16CXX device operating inparallel.

Table 12-5 shows the reset conditions for the STATUS,PCON and PC registers, while Table 12-6 shows thereset conditions for all the registers.

12.9 Power Control/Status Register (PCON)

The Power Control/Status Register, PCON, has up totwo bits depending upon the device.

Bit0 is Brown-out Reset Status bit, BOR. Bit BOR isunknown on a Power-on Reset. It must then be set bythe user and checked on subsequent resets to see if bitBOR cleared, indicating a BOR occurred. The BOR bitis a "don’t care" bit and is not necessarily predictable ifthe Brown-out Reset circuitry is disabled (by clearingbit BODEN in the Configuration Word).

Bit1 is POR (Power-on Reset Status bit). It is cleared ona Power-on Reset and unaffected otherwise. The usermust set this bit following a Power-on Reset.

DS30292B-page 126 1999 Microchip Technology Inc.

Page 179: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 12-3: TIME-OUT IN VARIOUS SITUATIONS

TABLE 12-4: STATUS BITS AND THEIR SIGNIFICANCE

TABLE 12-5: RESET CONDITION FOR SPECIAL REGISTERS

Oscillator Configuration Power-up Brown-out Wake-up from SLEEPPWRTE = 0 PWRTE = 1

XT, HS, LP 72 ms + 1024TOSC 1024TOSC 72 ms + 1024TOSC 1024TOSC

RC 72 ms — 72 ms —

POR BOR TO PD

0 x 1 1 Power-on Reset

0 x 0 x Illegal, TO is set on POR

0 x x 0 Illegal, PD is set on POR

1 0 1 1 Brown-out Reset

1 1 0 1 WDT Reset

1 1 0 0 WDT Wake-up

1 1 u u MCLR Reset during normal operation

1 1 1 0 MCLR Reset during SLEEP or interrupt wake-up from SLEEP

ConditionProgramCounter

STATUSRegister

PCONRegister

Power-on Reset 000h 0001 1xxx ---- --0x

MCLR Reset during normal operation 000h 000u uuuu ---- --uu

MCLR Reset during SLEEP 000h 0001 0uuu ---- --uu

WDT Reset 000h 0000 1uuu ---- --uu

WDT Wake-up PC + 1 uuu0 0uuu ---- --uu

Brown-out Reset 000h 0001 1uuu ---- --u0

Interrupt wake-up from SLEEP PC + 1(1) uuu1 0uuu ---- --uu

Legend: u = unchanged, x = unknown, - = unimplemented bit read as '0'.Note 1: When the wake-up is due to an interrupt and the GIE bit is set, the PC is loaded with the interrupt vector

(0004h).

1999 Microchip Technology Inc. DS30292B-page 127

Page 180: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 12-6: INITIALIZATION CONDITIONS FOR ALL REGISTERS

Register Devices Power-on Reset,Brown-out Reset

MCLR ResetsWDT Reset

Wake-up via WDT or Interrupt

W 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

INDF 873 874 876 877 N/A N/A N/A

TMR0 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

PCL 873 874 876 877 0000h 0000h PC + 1(2)

STATUS 873 874 876 877 0001 1xxx 000q quuu(3) uuuq quuu(3)

FSR 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

PORTA 873 874 876 877 --0x 0000 --0u 0000 --uu uuuu

PORTB 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

PORTC 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

PORTD 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

PORTE 873 874 876 877 ---- -xxx ---- -uuu ---- -uuu

PCLATH 873 874 876 877 ---0 0000 ---0 0000 ---u uuuu

INTCON 873 874 876 877 0000 000x 0000 000u uuuu uuuu(1)

PIR1 873 874 876 877 r000 0000 r000 0000 ruuu uuuu(1)

873 874 876 877 0000 0000 0000 0000 uuuu uuuu(1)

PIR2 873 874 876 877 -r-0 0--0 -r-0 0--0 -r-u u--u(1)

TMR1L 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

TMR1H 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

T1CON 873 874 876 877 --00 0000 --uu uuuu --uu uuuu

TMR2 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

T2CON 873 874 876 877 -000 0000 -000 0000 -uuu uuuu

SSPBUF 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

SSPCON 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

CCPR1L 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

CCPR1H 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

CCP1CON 873 874 876 877 --00 0000 --00 0000 --uu uuuu

RCSTA 873 874 876 877 0000 000x 0000 000x uuuu uuuu

TXREG 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

RCREG 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

CCPR2L 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

CCPR2H 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

CCP2CON 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

ADRESH 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

ADCON0 873 874 876 877 0000 00-0 0000 00-0 uuuu uu-u

OPTION_REG 873 874 876 877 1111 1111 1111 1111 uuuu uuuu

TRISA 873 874 876 877 --11 1111 --11 1111 --uu uuuu

TRISB 873 874 876 877 1111 1111 1111 1111 uuuu uuuu

TRISC 873 874 876 877 1111 1111 1111 1111 uuuu uuuu

TRISD 873 874 876 877 1111 1111 1111 1111 uuuu uuuu

TRISE 873 874 876 877 0000 -111 0000 -111 uuuu -uuu

PIE1 873 874 876 877 r000 0000 r000 0000 ruuu uuuu

873 874 876 877 0000 0000 0000 0000 uuuu uuuu

PIE2 873 874 876 877 -r-0 0--0 -r-0 0--0 -r-u u--u

Legend: u = unchanged, x = unknown, - = unimplemented bit, read as ’0’, q = value depends on condition, r = reserved maintain clear.

Note 1: One or more bits in INTCON, PIR1 and/or PIR2 will be affected (to cause wake-up).2: When the wake-up is due to an interrupt and the GIE bit is set, the PC is loaded with the interrupt vector

(0004h).3: See Table 12-5 for reset value for specific condition.

DS30292B-page 128 1999 Microchip Technology Inc.

Page 181: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 12-5: TIME-OUT SEQUENCE ON POWER-UP (MCLR TIED TO VDD)

PCON 873 874 876 877 ---- --qq ---- --uu ---- --uu

PR2 873 874 876 877 1111 1111 1111 1111 1111 1111

SSPADD 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

SSPSTAT 873 874 876 877 --00 0000 --00 0000 --uu uuuu

TXSTA 873 874 876 877 0000 -010 0000 -010 uuuu -uuu

SPBRG 873 874 876 877 0000 0000 0000 0000 uuuu uuuu

ADRESL 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

ADCON1 873 874 876 877 0--- 0000 0--- 0000 u--- uuuu

EEDATA 873 874 876 877 0--- 0000 0--- 0000 u--- uuuu

EEADR 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

EEDATH 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

EEADRH 873 874 876 877 xxxx xxxx uuuu uuuu uuuu uuuu

EECON1 873 874 876 877 x--- x000 u--- u000 u--- uuuu

EECON2 873 874 876 877 ---- ---- ---- ---- ---- ----

TABLE 12-6: INITIALIZATION CONDITIONS FOR ALL REGISTERS (CONTINUED)

Register Devices Power-on Reset,Brown-out Reset

MCLR ResetsWDT Reset

Wake-up via WDT or Interrupt

Legend: u = unchanged, x = unknown, - = unimplemented bit, read as ’0’, q = value depends on condition, r = reserved maintain clear.

Note 1: One or more bits in INTCON, PIR1 and/or PIR2 will be affected (to cause wake-up).2: When the wake-up is due to an interrupt and the GIE bit is set, the PC is loaded with the interrupt vector

(0004h).3: See Table 12-5 for reset value for specific condition.

TPWRT

TOST

VDD

MCLR

INTERNAL POR

PWRT TIME-OUT

OST TIME-OUT

INTERNAL RESET

1999 Microchip Technology Inc. DS30292B-page 129

Page 182: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 12-6: TIME-OUT SEQUENCE ON POWER-UP (MCLR NOT TIED TO VDD): CASE 1

FIGURE 12-7: TIME-OUT SEQUENCE ON POWER-UP (MCLR NOT TIED TO VDD): CASE 2

FIGURE 12-8: SLOW RISE TIME (MCLR TIED TO VDD)

TPWRT

TOST

VDD

MCLR

INTERNAL POR

PWRT TIME-OUT

OST TIME-OUT

INTERNAL RESET

VDD

MCLR

INTERNAL POR

PWRT TIME-OUT

OST TIME-OUT

INTERNAL RESET

TPWRT

TOST

VDD

MCLR

INTERNAL POR

PWRT TIME-OUT

OST TIME-OUT

INTERNAL RESET

0V 1V

5V

TPWRT

TOST

DS30292B-page 130 1999 Microchip Technology Inc.

Page 183: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.10 Interrupts

The PIC16F87X family has up to 14 sources of inter-rupt. The interrupt control register (INTCON) recordsindividual interrupt requests in flag bits. It also has indi-vidual and global interrupt enable bits.

A global interrupt enable bit, GIE (INTCON<7>)enables (if set) all un-masked interrupts or disables (ifcleared) all interrupts. When bit GIE is enabled, and aninterrupt’s flag bit and mask bit are set, the interrupt willvector immediately. Individual interrupts can be dis-abled through their corresponding enable bits in vari-ous registers. Individual interrupt bits are setregardless of the status of the GIE bit. The GIE bit iscleared on reset.

The “return from interrupt” instruction, RETFIE, exitsthe interrupt routine, as well as sets the GIE bit, whichre-enables interrupts.

The RB0/INT pin interrupt, the RB port change interruptand the TMR0 overflow interrupt flags are contained inthe INTCON register.

The peripheral interrupt flags are contained in the spe-cial function registers, PIR1 and PIR2. The correspond-ing interrupt enable bits are contained in specialfunction registers, PIE1 and PIE2, and the peripheralinterrupt enable bit is contained in special function reg-ister INTCON.

When an interrupt is responded to, the GIE bit iscleared to disable any further interrupt, the returnaddress is pushed onto the stack and the PC is loadedwith 0004h. Once in the interrupt service routine, thesource(s) of the interrupt can be determined by pollingthe interrupt flag bits. The interrupt flag bit(s) must becleared in software before re-enabling interrupts toavoid recursive interrupts.

For external interrupt events, such as the INT pin orPORTB change interrupt, the interrupt latency will bethree or four instruction cycles. The exact latencydepends when the interrupt event occurs. The latencyis the same for one or two cycle instructions. Individualinterrupt flag bits are set regardless of the status oftheir corresponding mask bit or the GIE bit

FIGURE 12-9: INTERRUPT LOGIC

Note: Individual interrupt flag bits are set, regard-less of the status of their corresponding mask bit or the GIE bit.

PSPIFPSPIE

ADIFADIE

RCIFRCIE

TXIFTXIE

SSPIFSSPIE

CCP1IFCCP1IE

TMR2IFTMR2IE

TMR1IFTMR1IE

T0IFT0IE

INTFINTE

RBIFRBIE

GIE

PEIE

Wake-up (If in SLEEP mode)

Interrupt to CPU

CCP2IECCP2IF

The following table shows which devices have which interrupts.

Device T0IF INTF RBIF PSPIF ADIF RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF EEIF BCLIF CCP2IF

PIC16F876/873 Yes Yes Yes - Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes

PIC16F877/874 Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes

BCLIEBCLIF

EEIFEEIE

1999 Microchip Technology Inc. DS30292B-page 131

Page 184: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.10.1 INT INTERRUPT

External interrupt on the RB0/INT pin is edge triggered,either rising, if bit INTEDG (OPTION_REG<6>) is set,or falling, if the INTEDG bit is clear. When a valid edgeappears on the RB0/INT pin, flag bit INTF(INTCON<1>) is set. This interrupt can be disabled byclearing enable bit INTE (INTCON<4>). Flag bit INTFmust be cleared in software in the interrupt service rou-tine before re-enabling this interrupt. The INT interruptcan wake-up the processor from SLEEP, if bit INTE wasset prior to going into SLEEP. The status of global inter-rupt enable bit GIE decides whether or not the proces-sor branches to the interrupt vector following wake-up.See Section 12.13 for details on SLEEP mode.

12.10.2 TMR0 INTERRUPT

An overflow (FFh → 00h) in the TMR0 register will setflag bit T0IF (INTCON<2>). The interrupt can beenabled/disabled by setting/clearing enable bit T0IE(INTCON<5>). (Section 5.0)

12.10.3 PORTB INTCON CHANGE

An input change on PORTB<7:4> sets flag bit RBIF(INTCON<0>). The interrupt can be enabled/disabledby setting/clearing enable bit RBIE (INTCON<4>).(Section 3.2)

12.11 Context Saving During Interrupts

During an interrupt, only the return PC value is savedon the stack. Typically, users may wish to save key reg-isters during an interrupt, (i.e., W register and STATUSregister). This will have to be implemented in software.

For the PIC16F873/874 devices, the register W_TEMPmust be defined in both banks 0 and 1 and must bedefined at the same offset from the bank base address(i.e., If W_TEMP is defined at 0x20 in bank 0, it mustalso be defined at 0xA0 in bank 1.). The registers,PCLATH_TEMP and STATUS_TEMP, are only definedin bank 0.

Since the upper 16 bytes of each bank are common inthe PIC16F876/877 devices, temporary holding regis-ters W_TEMP, STATUS_TEMP and PCLATH_TEMPshould be placed in here. These 16 locations don’trequire banking and therefore, make it easier for con-text save and restore. The same basic code inExample 12-1 can be used.

EXAMPLE 12-1: SAVING STATUS, W, AND PCLATH REGISTERS IN RAM MOVWF W_TEMP ;Copy W to TEMP register SWAPF STATUS,W ;Swap status to be saved into W CLRF STATUS ;bank 0, regardless of current bank, Clears IRP,RP1,RP0 MOVWF STATUS_TEMP ;Save status to bank zero STATUS_TEMP register MOVF PCLATH, W ;Only required if using pages 1, 2 and/or 3 MOVWF PCLATH_TEMP ;Save PCLATH into W CLRF PCLATH ;Page zero, regardless of current page : :(ISR) : MOVF PCLATH_TEMP, W ;Restore PCLATH MOVWF PCLATH ;Move W into PCLATH SWAPF STATUS_TEMP,W ;Swap STATUS_TEMP register into W ;(sets bank to original state) MOVWF STATUS ;Move W into STATUS register SWAPF W_TEMP,F ;Swap W_TEMP SWAPF W_TEMP,W ;Swap W_TEMP into W

DS30292B-page 132 1999 Microchip Technology Inc.

Page 185: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.12 Watchdog Timer (WDT)

The Watchdog Timer is as a free running on-chip RCoscillator which does not require any external compo-nents. This RC oscillator is separate from the RC oscil-lator of the OSC1/CLKIN pin. That means that the WDTwill run, even if the clock on the OSC1/CLKIN andOSC2/CLKOUT pins of the device has been stopped,for example, by execution of a SLEEP instruction.

During normal operation, a WDT time-out generates adevice RESET (Watchdog Timer Reset). If the device isin SLEEP mode, a WDT time-out causes the device towake-up and continue with normal operation (Watch-dog Timer Wake-up). The TO bit in the STATUS registerwill be cleared upon a Watchdog Timer time-out.

The WDT can be permanently disabled by clearingconfiguration bit WDTE (Section 12.1).

WDT time-out period values may be found in the Elec-trical Specifications section under parameter #31. Val-ues for the WDT prescaler (actually a postscaler, butshared with the Timer0 prescaler) may be assignedusing the OPTION_REG register.

.

FIGURE 12-10: WATCHDOG TIMER BLOCK DIAGRAM

FIGURE 12-11: SUMMARY OF WATCHDOG TIMER REGISTERS

Note: The CLRWDT and SLEEP instructions clearthe WDT and the postscaler, if assigned tothe WDT, and prevent it from timing out andgenerating a device RESET condition.

Note: When a CLRWDT instruction is executedand the prescaler is assigned to the WDT,the prescaler count will be cleared, but theprescaler assignment is not changed.

Address Name Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0

2007h Config. bits (1) BODEN(1) CP1 CP0 PWRTE(1) WDTE FOSC1 FOSC0

81h,181h OPTION_REG RBPU INTEDG T0CS T0SE PSA PS2 PS1 PS0

Legend: Shaded cells are not used by the Watchdog Timer.Note 1: See Register 12-1 for operation of these bits.

From TMR0 Clock Source(Figure 5-1)

To TMR0 (Figure 5-1)

Postscaler

WDT Timer

WDT Enable Bit

0

1 MUX

PSA

8 - to - 1 MUX PS2:PS0

0 1

MUX PSA

WDTTime-outNote: PSA and PS2:PS0 are bits in the OPTION_REG register.

8

1999 Microchip Technology Inc. DS30292B-page 133

Page 186: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.13 Power-down Mode (SLEEP)

Power-down mode is entered by executing a SLEEPinstruction.

If enabled, the Watchdog Timer will be cleared butkeeps running, the PD bit (STATUS<3>) is cleared, theTO (STATUS<4>) bit is set, and the oscillator driver isturned off. The I/O ports maintain the status they hadbefore the SLEEP instruction was executed (drivinghigh, low, or hi-impedance).

For lowest current consumption in this mode, place allI/O pins at either VDD or VSS, ensure no external cir-cuitry is drawing current from the I/O pin, power-downthe A/D and disable external clocks. Pull all I/O pinsthat are hi-impedance inputs, high or low externally, toavoid switching currents caused by floating inputs. TheT0CKI input should also be at VDD or VSS for lowestcurrent consumption. The contribution from on-chippull-ups on PORTB should be considered.

The MCLR pin must be at a logic high level (VIHMC).

12.13.1 WAKE-UP FROM SLEEP

The device can wake up from SLEEP through one ofthe following events:

1. External reset input on MCLR pin.

2. Watchdog Timer wake-up (if WDT wasenabled).

3. Interrupt from INT pin, RB port change or somePeripheral Interrupts.

External MCLR Reset will cause a device reset. Allother events are considered a continuation of programexecution and cause a "wake-up". The TO and PD bitsin the STATUS register can be used to determine thecause of device reset. The PD bit, which is set onpower-up, is cleared when SLEEP is invoked. The TObit is cleared if a WDT time-out occurred and causedwake-up.

The following peripheral interrupts can wake the devicefrom SLEEP:

1. PSP read or write.2. TMR1 interrupt. Timer1 must be operating as

an asynchronous counter.3. CCP capture mode interrupt.4. Special event trigger (Timer1 in asynchronous

mode using an external clock).5. SSP (Start/Stop) bit detect interrupt.

6. SSP transmit or receive in slave mode (SPI/I2C).7. USART RX or TX (synchronous slave mode).8. A/D conversion (when A/D clock source is RC).

9. EEPROM write operation completion

Other peripherals cannot generate interrupts since dur-ing SLEEP, no on-chip clocks are present.

When the SLEEP instruction is being executed, the nextinstruction (PC + 1) is pre-fetched. For the device towake-up through an interrupt event, the corresponding

interrupt enable bit must be set (enabled). Wake-up isregardless of the state of the GIE bit. If the GIE bit isclear (disabled), the device continues execution at theinstruction after the SLEEP instruction. If the GIE bit isset (enabled), the device executes the instruction afterthe SLEEP instruction and then branches to the inter-rupt address (0004h). In cases where the execution ofthe instruction following SLEEP is not desirable, theuser should have a NOP after the SLEEP instruction.

12.13.2 WAKE-UP USING INTERRUPTS

When global interrupts are disabled (GIE cleared) andany interrupt source has both its interrupt enable bitand interrupt flag bit set, one of the following will occur:

• If the interrupt occurs before the execution of a SLEEP instruction, the SLEEP instruction will com-plete as a NOP. Therefore, the WDT and WDT postscaler will not be cleared, the TO bit will not be set and PD bits will not be cleared.

• If the interrupt occurs during or after the execu-tion of a SLEEP instruction, the device will imme-diately wake up from sleep. The SLEEP instruction will be completely executed before the wake-up. Therefore, the WDT and WDT postscaler will be cleared, the TO bit will be set and the PD bit will be cleared.

Even if the flag bits were checked before executing aSLEEP instruction, it may be possible for flag bits tobecome set before the SLEEP instruction completes. Todetermine whether a SLEEP instruction executed, testthe PD bit. If the PD bit is set, the SLEEP instructionwas executed as a NOP.

To ensure that the WDT is cleared, a CLRWDT instruc-tion should be executed before a SLEEP instruction.

DS30292B-page 134 1999 Microchip Technology Inc.

Page 187: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 12-12: WAKE-UP FROM SLEEP THROUGH INTERRUPT

12.14 In-Circuit Debugger

When the DEBUG bit in the configuration word is pro-grammed to a ’0’, the In-Circuit Debugger functionalityis enabled. This function allows simple debugging func-tions when used with MPLAB. When the microcontrol-ler has this feature enabled, some of the resources arenot available for general use. Table 12-7 shows whichfeatures are consumed by the background debugger.

TABLE 12-7: DEBUGGER RESOURCES

To use the In-Circuit Debugger function of the micro-controller, the design must implement In-Circuit SerialProgramming connections to MCLR/VPP, VDD, GND,RB7 and RB6. This will interface to the In-CircuitDebugger module available from Microchip or one ofthe third party development tool companies.

12.15 Program Verification/Code Protection

If the code protection bit(s) have not been pro-grammed, the on-chip program memory can be readout for verification purposes.

12.16 ID Locations

Four memory locations (2000h - 2003h) are designatedas ID locations where the user can store checksum orother code-identification numbers. These locations arenot accessible during normal execution but are read-able and writable during program/verify. It is recom-mended that only the 4 least significant bits of the IDlocation are used.

Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

OSC1

CLKOUT(4)

INT pin

INTF flag(INTCON<1>)

GIE bit(INTCON<7>)

INSTRUCTION FLOW

PC

Instructionfetched

Instructionexecuted

PC PC+1 PC+2

Inst(PC) = SLEEP

Inst(PC - 1)

Inst(PC + 1)

SLEEP

Processor inSLEEP

Interrupt Latency(Note 2)

Inst(PC + 2)

Inst(PC + 1)

Inst(0004h) Inst(0005h)

Inst(0004h)Dummy cycle

PC + 2 0004h 0005h

Dummy cycle

TOST(2)

PC+2

Note 1: XT, HS or LP oscillator mode assumed.2: TOST = 1024TOSC (drawing not to scale) This delay will not be there for RC osc mode.3: GIE = ’1’ assumed. In this case after wake- up, the processor jumps to the interrupt routine.

If GIE = ’0’, execution will continue in-line.4: CLKOUT is not available in these osc modes, but shown here for timing reference.

I/O pins RB6, RB7

Stack 1 level

Program Memory Address 0000h must be NOP

Last 100h words

Data Memory 0x070(0x0F0, 0x170, 0x1F0)0x1EB - 0x1EF

1999 Microchip Technology Inc. DS30292B-page 135

Page 188: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

12.17 In-Circuit Serial Programming

PIC16F87X microcontrollers can be serially pro-grammed while in the end application circuit. This issimply done with two lines for clock and data and threeother lines for power, ground, and the programmingvoltage. This allows customers to manufacture boardswith unprogrammed devices, and then program themicrocontroller just before shipping the product. Thisalso allows the most recent firmware or a custom firm-ware to be programmed.

When using ICSP, the part must be supplied 4.5V to5.5V if a bulk erase will be executed. This includesreprogramming of the code protect both from an on-state to off-state. For all other cases of ICSP, the partmay be programmed at the normal operating voltages.This means calibration values, unique user IDs or usercode can be reprogrammed or added.

For complete details of serial programming, pleaserefer to the In-Circuit Serial Programming (ICSP™)Guide, (DS30277B).

12.18 Low Voltage ICSP Programming

The LVP bit of the configuration word enables low volt-age ICSP programming. This mode allows the micro-controller to be programmed via ICSP using a VDD

source in the operating voltage range. This only meansthat VPP does not have to be brought to VIHH, but caninstead be left at the normal operating voltage. In thismode, the RB3/PGM pin is dedicated to the program-ming function and ceases to be a general purpose I/Opin. During programming, VDD is applied to the MCLRpin. To enter programming mode, VDD must be appliedto the RB3/PGM provided the LVP bit is set. The LVPbit defaults to on (‘1’) from the factory.

If low-voltage programming mode is not used, the LVPbit can be programmed to a '0' and RB3/PGM becomesa digital I/O pin. However, the LVP bit may only be pro-grammed when programming is entered with VIHH onMCLR. The LVP bit can only be charged when usinghigh voltage on MCLR.

It should be noted, that once the LVP bit is programmedto 0, only the high voltage programming mode is avail-able and only high voltage programming mode can beused to program the device.

When using low voltage ICSP, the part must be sup-plied 4.5V to 5.5V if a bulk erase will be executed. Thisincludes reprogramming of the code protect bits froman on-state to off-state. For all other cases of low volt-age ICSP, the part may be programmed at the normaloperating voltage. This means calibration values,unique user IDs or user code can be reprogrammed oradded.

Note 1: The high voltage programming mode isalways available, regardless of the state ofthe LVP bit, by applying VIHH to the MCLRpin.

2: While in low voltage ICSP mode, the RB3pin can no longer be used as a generalpurpose I/O pin.

3: When using low voltage ICSP program-ming (LVP) and the pull-ups on PORTBare enabled, bit 3 in the TRISB registermust be cleared to disable the pull-up onRB3 and ensure the proper operation ofthe device.

DS30292B-page 136 1999 Microchip Technology Inc.

Page 189: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

13.0 INSTRUCTION SET SUMMARYEach PIC16CXX instruction is a 14-bit word dividedinto an OPCODE which specifies the instruction typeand one or more operands which further specify theoperation of the instruction. The PIC16CXX instructionset summary in Table 13-2 lists byte-oriented, bit-ori-ented, and literal and control operations. Table 13-1shows the opcode field descriptions.

For byte-oriented instructions, ’f’ represents a file reg-ister designator and ’d’ represents a destination desig-nator. The file register designator specifies which fileregister is to be used by the instruction.

The destination designator specifies where the result ofthe operation is to be placed. If ’d’ is zero, the result isplaced in the W register. If ’d’ is one, the result is placedin the file register specified in the instruction.

For bit-oriented instructions, ’b’ represents a bit fielddesignator which selects the number of the bit affectedby the operation, while ’f’ represents the number of thefile in which the bit is located.

For literal and control operations, ’k’ represents aneight or eleven bit constant or literal value.

TABLE 13-1: OPCODE FIELD DESCRIPTIONS

The instruction set is highly orthogonal and is groupedinto three basic categories:

• Byte-oriented operations• Bit-oriented operations• Literal and control operations

All instructions are executed within one single instruc-tion cycle, unless a conditional test is true or the pro-gram counter is changed as a result of an instruction.In this case, the execution takes two instruction cycleswith the second cycle executed as a NOP. One instruc-tion cycle consists of four oscillator periods. Thus, foran oscillator frequency of 4 MHz, the normal instruction

execution time is 1 µs. If a conditional test is true or theprogram counter is changed as a result of an instruc-tion, the instruction execution time is 2 µs.

Table 13-2 lists the instructions recognized by theMPASM assembler.

Figure 13-1 shows the general formats that the instruc-tions can have.

All examples use the following format to represent ahexadecimal number:

0xhh

where h signifies a hexadecimal digit.

FIGURE 13-1: GENERAL FORMAT FOR INSTRUCTIONS

A description of each instruction is available in thePICmicro™ Mid-Range Reference Manual,(DS33023).

Field Description

f Register file address (0x00 to 0x7F)

W Working register (accumulator)

b Bit address within an 8-bit file register

k Literal field, constant data or label

x Don't care location (= 0 or 1) The assembler will generate code with x = 0. It is the recommended form of use for compati-bility with all Microchip software tools.

d Destination select; d = 0: store result in W,d = 1: store result in file register f. Default is d = 1

PC Program Counter

TO Time-out bit

PD Power-down bit

Note: To maintain upward compatibility withfuture PIC16CXX products, do not use theOPTION and TRIS instructions.

Byte-oriented file register operations13 8 7 6 0

d = 0 for destination W

OPCODE d f (FILE #)

d = 1 for destination ff = 7-bit file register address

Bit-oriented file register operations13 10 9 7 6 0

OPCODE b (BIT #) f (FILE #)

b = 3-bit bit addressf = 7-bit file register address

Literal and control operations

13 8 7 0

OPCODE k (literal)

k = 8-bit immediate value

13 11 10 0

OPCODE k (literal)

k = 11-bit immediate value

General

CALL and GOTO instructions only

1999 Microchip Technology Inc. DS30292B-page 137

Page 190: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 13-2: PIC16CXXX INSTRUCTION SET Mnemonic,Operands

Description Cycles 14-Bit Opcode StatusAffected

Notes

MSb LSb

BYTE-ORIENTED FILE REGISTER OPERATIONS

ADDWFANDWFCLRFCLRWCOMFDECFDECFSZINCFINCFSZIORWFMOVFMOVWFNOPRLFRRFSUBWFSWAPFXORWF

f, df, df-f, df, df, df, df, df, df, df-f, df, df, df, df, d

Add W and fAND W with fClear fClear WComplement fDecrement fDecrement f, Skip if 0Increment fIncrement f, Skip if 0Inclusive OR W with fMove fMove W to fNo OperationRotate Left f through CarryRotate Right f through CarrySubtract W from fSwap nibbles in fExclusive OR W with f

111111

1(2)1

1(2)111111111

000000000000000000000000000000000000

011101010001000110010011101110101111010010000000000011011100001011100110

dfffdffflfff0xxxdfffdfffdfffdfffdfffdfffdffflfff0xx0dfffdfffdfffdfffdfff

ffffffffffffxxxxffffffffffffffffffffffffffffffff0000ffffffffffffffffffff

C,DC,ZZZZZZ

Z

ZZ

CC

C,DC,Z

Z

1,21,22

1,21,2

1,2,31,2

1,2,31,21,2

1,21,21,21,21,2

BIT-ORIENTED FILE REGISTER OPERATIONS

BCFBSFBTFSCBTFSS

f, bf, bf, bf, b

Bit Clear fBit Set fBit Test f, Skip if ClearBit Test f, Skip if Set

11

1 (2)1 (2)

01010101

00bb01bb10bb11bb

bfffbfffbfffbfff

ffffffffffffffff

1,21,233

LITERAL AND CONTROL OPERATIONS

ADDLWANDLWCALLCLRWDTGOTOIORLWMOVLWRETFIERETLWRETURNSLEEPSUBLWXORLW

kkk-kkk-k--kk

Add literal and WAND literal with WCall subroutineClear Watchdog TimerGo to addressInclusive OR literal with WMove literal to WReturn from interruptReturn with literal in W Return from SubroutineGo into standby modeSubtract W from literalExclusive OR literal with W

1121211222111

11111000101111001100001111

111x10010kkk00001kkk100000xx000001xx00000000110x1010

kkkkkkkkkkkk0110kkkkkkkkkkkk0000kkkk00000110kkkkkkkk

kkkkkkkkkkkk0100kkkkkkkkkkkk1001kkkk10000011kkkkkkkk

C,DC,ZZ

TO,PD

Z

TO,PDC,DC,Z

Z

Note 1: When an I/O register is modified as a function of itself ( e.g., MOVF PORTB, 1), the value used will be that value present on the pins themselves. For example, if the data latch is ’1’ for a pin configured as input and is driven low by an external device, the data will be written back with a ’0’.

2: If this instruction is executed on the TMR0 register (and, where applicable, d = 1), the prescaler will be cleared if assigned to the Timer0 Module.

3: If Program Counter (PC) is modified or a conditional test is true, the instruction requires two cycles. The second cycle is executed as a NOP.

Note: Additional information on the mid-range instruction set is available in the PICmicro™ Mid-Range MCU FamilyReference Manual (DS33023).

DS30292B-page 138 1999 Microchip Technology Inc.

Page 191: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

13.1 Instruction Descriptions

ADDLW Add Literal and W

Syntax: [label] ADDLW k

Operands: 0 ≤ k ≤ 255

Operation: (W) + k → (W)

Status Affected: C, DC, Z

Description: The contents of the W register are added to the eight bit literal ’k’ and the result is placed in the W register.

ADDWF Add W and f

Syntax: [label] ADDWF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (W) + (f) → (destination)

Status Affected: C, DC, Z

Description: Add the contents of the W register with register ’f’. If ’d’ is 0, the result is stored in the W register. If ’d’ is 1, the result is stored back in reg-ister ’f’.

ANDLW AND Literal with W

Syntax: [label] ANDLW k

Operands: 0 ≤ k ≤ 255

Operation: (W) .AND. (k) → (W)

Status Affected: Z

Description: The contents of W register are AND’ed with the eight bit literal 'k'. The result is placed in the W register.

ANDWF AND W with f

Syntax: [label] ANDWF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (W) .AND. (f) → (destination)

Status Affected: Z

Description: AND the W register with register 'f'. If 'd' is 0, the result is stored in the W register. If 'd' is 1, the result is stored back in register 'f'.

BCF Bit Clear f

Syntax: [label] BCF f,b

Operands: 0 ≤ f ≤ 1270 ≤ b ≤ 7

Operation: 0 → (f<b>)

Status Affected: None

Description: Bit 'b' in register 'f' is cleared.

BSF Bit Set f

Syntax: [label] BSF f,b

Operands: 0 ≤ f ≤ 1270 ≤ b ≤ 7

Operation: 1 → (f<b>)

Status Affected: None

Description: Bit 'b' in register 'f' is set.

1999 Microchip Technology Inc. DS30292B-page 139

Page 192: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

BTFSS Bit Test f, Skip if Set

Syntax: [label] BTFSS f,b

Operands: 0 ≤ f ≤ 1270 ≤ b < 7

Operation: skip if (f<b>) = 1

Status Affected: None

Description: If bit ’b’ in register ’f’ is ’0’, the next instruction is executed.If bit ’b’ is ’1’, then the next instruc-tion is discarded and a NOP is exe-cuted instead making this a 2TCY instruction.

BTFSC Bit Test, Skip if Clear

Syntax: [label] BTFSC f,b

Operands: 0 ≤ f ≤ 1270 ≤ b ≤ 7

Operation: skip if (f<b>) = 0

Status Affected: None

Description: If bit ’b’ in register ’f’ is ’1’, the next instruction is executed.If bit ’b’, in register ’f’, is ’0’, the next instruction is discarded, and a NOP is executed instead, making this a 2TCY instruction.

CALL Call Subroutine

Syntax: [ label ] CALL k

Operands: 0 ≤ k ≤ 2047

Operation: (PC)+ 1→ TOS,k → PC<10:0>,(PCLATH<4:3>) → PC<12:11>

Status Affected: None

Description: Call Subroutine. First, return address (PC+1) is pushed onto the stack. The eleven bit immedi-ate address is loaded into PC bits <10:0>. The upper bits of the PC are loaded from PCLATH. CALL is a two cycle instruction.

CLRF Clear f

Syntax: [label] CLRF f

Operands: 0 ≤ f ≤ 127

Operation: 00h → (f)1 → Z

Status Affected: Z

Description: The contents of register ’f’ are cleared and the Z bit is set.

CLRW Clear W

Syntax: [ label ] CLRW

Operands: None

Operation: 00h → (W)1 → Z

Status Affected: Z

Description: W register is cleared. Zero bit (Z) is set.

CLRWDT Clear Watchdog Timer

Syntax: [ label ] CLRWDT

Operands: None

Operation: 00h → WDT0 → WDT prescaler,1 → TO1 → PD

Status Affected: TO, PD

Description: CLRWDT instruction resets the Watchdog Timer. It also resets the prescaler of the WDT. Status bits TO and PD are set.

DS30292B-page 140 1999 Microchip Technology Inc.

Page 193: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

COMF Complement f

Syntax: [ label ] COMF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) → (destination)

Status Affected: Z

Description: The contents of register ’f’ are complemented. If ’d’ is 0, the result is stored in W. If ’d’ is 1, the result is stored back in register ’f’.

DECF Decrement f

Syntax: [label] DECF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) - 1 → (destination)

Status Affected: Z

Description: Decrement register ’f’. If ’d’ is 0, the result is stored in the W regis-ter. If ’d’ is 1, the result is stored back in register ’f’.

DECFSZ Decrement f, Skip if 0

Syntax: [ label ] DECFSZ f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) - 1 → (destination); skip if result = 0

Status Affected: None

Description: The contents of register ’f’ are decremented. If ’d’ is 0, the result is placed in the W register. If ’d’ is 1, the result is placed back in reg-ister ’f’. If the result is 1, the next instruc-tion is executed. If the result is 0, then a NOP is executed instead making it a 2TCY instruction.

GOTO Unconditional Branch

Syntax: [ label ] GOTO k

Operands: 0 ≤ k ≤ 2047

Operation: k → PC<10:0>PCLATH<4:3> → PC<12:11>

Status Affected: None

Description: GOTO is an unconditional branch. The eleven bit immediate value is loaded into PC bits <10:0>. The upper bits of PC are loaded from PCLATH<4:3>. GOTO is a two cycle instruction.

INCF Increment f

Syntax: [ label ] INCF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) + 1 → (destination)

Status Affected: Z

Description: The contents of register ’f’ are incremented. If ’d’ is 0, the result is placed in the W register. If ’d’ is 1, the result is placed back in reg-ister ’f’.

INCFSZ Increment f, Skip if 0

Syntax: [ label ] INCFSZ f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) + 1 → (destination), skip if result = 0

Status Affected: None

Description: The contents of register ’f’ are incremented. If ’d’ is 0, the result is placed in the W register. If ’d’ is 1, the result is placed back in regis-ter ’f’.If the result is 1, the next instruc-tion is executed. If the result is 0, a NOP is executed instead making it a 2TCY instruction.

1999 Microchip Technology Inc. DS30292B-page 141

Page 194: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

IORLW Inclusive OR Literal with W

Syntax: [ label ] IORLW k

Operands: 0 ≤ k ≤ 255

Operation: (W) .OR. k → (W)

Status Affected: Z

Description: The contents of the W register are OR’ed with the eight bit literal 'k'. The result is placed in the W reg-ister.

IORWF Inclusive OR W with f

Syntax: [ label ] IORWF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (W) .OR. (f) → (destination)

Status Affected: Z

Description: Inclusive OR the W register with register 'f'. If 'd' is 0 the result is placed in the W register. If 'd' is 1 the result is placed back in regis-ter 'f'.

MOVF Move f

Syntax: [ label ] MOVF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) → (destination)

Status Affected: Z

Description: The contents of register f are moved to a destination dependant upon the status of d. If d = 0, des-tination is W register. If d = 1, the destination is file register f itself. d = 1 is useful to test a file register since status flag Z is affected.

MOVLW Move Literal to W

Syntax: [ label ] MOVLW k

Operands: 0 ≤ k ≤ 255

Operation: k → (W)

Status Affected: None

Description: The eight bit literal 'k' is loaded into W register. The don’t cares will assemble as 0’s.

MOVWF Move W to f

Syntax: [ label ] MOVWF f

Operands: 0 ≤ f ≤ 127

Operation: (W) → (f)

Status Affected: None

Description: Move data from W register to reg-ister 'f'.

NOP No Operation

Syntax: [ label ] NOP

Operands: None

Operation: No operation

Status Affected: None

Description: No operation.

DS30292B-page 142 1999 Microchip Technology Inc.

Page 195: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

RETFIE Return from Interrupt

Syntax: [ label ] RETFIE

Operands: None

Operation: TOS → PC,1 → GIE

Status Affected: None

RETLW Return with Literal in W

Syntax: [ label ] RETLW k

Operands: 0 ≤ k ≤ 255

Operation: k → (W); TOS → PC

Status Affected: None

Description: The W register is loaded with the eight bit literal ’k’. The program counter is loaded from the top of the stack (the return address). This is a two cycle instruction.

RETURN Return from Subroutine

Syntax: [ label ] RETURN

Operands: None

Operation: TOS → PC

Status Affected: None

Description: Return from subroutine. The stack is POPed and the top of the stack (TOS) is loaded into the program counter. This is a two cycle instruction.

RLF Rotate Left f through Carry

Syntax: [ label ] RLF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: See description below

Status Affected: C

Description: The contents of register ’f’ are rotated one bit to the left through the Carry Flag. If ’d’ is 0, the result is placed in the W register. If ’d’ is 1, the result is stored back in register ’f’.

RRF Rotate Right f through Carry

Syntax: [ label ] RRF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: See description below

Status Affected: C

Description: The contents of register ’f’ are rotated one bit to the right through the Carry Flag. If ’d’ is 0, the result is placed in the W register. If ’d’ is 1, the result is placed back in reg-ister ’f’.

SLEEP

Syntax: [ label ]

SLEEP

Operands: None

Operation: 00h → WDT,0 → WDT prescaler,1 → TO,0 → PD

Status Affected: TO, PD

Description: The power-down status bit, PD is cleared. Time-out status bit, TO is set. Watchdog Timer and its prescaler are cleared.The processor is put into SLEEP mode with the oscillator stopped.

Register fC

Register fC

1999 Microchip Technology Inc. DS30292B-page 143

Page 196: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

SUBLW Subtract W from LiteralSyntax: [ label ] SUBLW k

Operands: 0 ≤ k ≤ 255

Operation: k - (W) → (W)

Status Affected: C, DC, Z

Description: The W register is subtracted (2’s complement method) from the eight bit literal 'k'. The result is placed in the W register.

SUBWF Subtract W from fSyntax: [ label ] SUBWF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f) - (W) → (destination)

Status Affected: C, DC, Z

Description: Subtract (2’s complement method) W register from register 'f'. If 'd' is 0, the result is stored in the W regis-ter. If 'd' is 1, the result is stored back in register 'f'.

SWAPF Swap Nibbles in f

Syntax: [ label ] SWAPF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (f<3:0>) → (destination<7:4>),(f<7:4>) → (destination<3:0>)

Status Affected: None

Description: The upper and lower nibbles of register 'f' are exchanged. If 'd' is 0, the result is placed in W regis-ter. If 'd' is 1, the result is placed in register 'f'.

XORLW Exclusive OR Literal with W

Syntax: [label] XORLW k

Operands: 0 ≤ k ≤ 255

Operation: (W) .XOR. k → (W)

Status Affected: Z

Description: The contents of the W register are XOR’ed with the eight bit lit-eral 'k'. The result is placed in the W register.

XORWF Exclusive OR W with f

Syntax: [label] XORWF f,d

Operands: 0 ≤ f ≤ 127d ∈ [0,1]

Operation: (W) .XOR. (f) → (destination)

Status Affected: Z

Description: Exclusive OR the contents of the W register with register 'f'. If 'd' is 0, the result is stored in the W register. If 'd' is 1, the result is stored back in register 'f'.

DS30292B-page 144 1999 Microchip Technology Inc.

Page 197: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

14.0 DEVELOPMENT SUPPORTThe PICmicro® microcontrollers are supported with afull range of hardware and software development tools:

• Integrated Development Environment- MPLAB® IDE Software

• Assemblers/Compilers/Linkers- MPASM Assembler- MPLAB-C17 and MPLAB-C18 C Compilers

- MPLINK/MPLIB Linker/Librarian• Simulators

- MPLAB-SIM Software Simulator

• Emulators- MPLAB-ICE Real-Time In-Circuit Emulator- PICMASTER®/PICMASTER-CE In-Circuit

Emulator- ICEPIC™

• In-Circuit Debugger- MPLAB-ICD for PIC16F877

• Device Programmers

- PRO MATE II Universal Programmer- PICSTART Plus Entry-Level Prototype

Programmer• Low-Cost Demonstration Boards

- SIMICE

- PICDEM-1- PICDEM-2- PICDEM-3

- PICDEM-17- SEEVAL

- KEELOQ

14.1 MPLAB Integrated Development Environment Software

The MPLAB IDE software brings an ease of softwaredevelopment previously unseen in the 8-bit microcon-troller market. MPLAB is a Windows-based applica-tion which contains:

• Multiple functionality

- editor- simulator- programmer (sold separately)

- emulator (sold separately)• A full featured editor• A project manager

• Customizable tool bar and key mapping• A status bar• On-line help

MPLAB allows you to:

• Edit your source files (either assembly or ‘C’)

• One touch assemble (or compile) and download to PICmicro tools (automatically updates all project information)

• Debug using:

- source files- absolute listing file- object code

The ability to use MPLAB with Microchip’s simulator,MPLAB-SIM, allows a consistent platform and the abil-ity to easily switch from the cost-effective simulator tothe full featured emulator with minimal retraining.

14.2 MPASM Assembler

MPASM is a full featured universal macro assembler forall PICmicro MCU’s. It can produce absolute codedirectly in the form of HEX files for device program-mers, or it can generate relocatable objects forMPLINK.

MPASM has a command line interface and a Windowsshell and can be used as a standalone application on aWindows 3.x or greater system. MPASM generatesrelocatable object files, Intel standard HEX files, MAPfiles to detail memory usage and symbol reference, anabsolute LST file which contains source lines and gen-erated machine code, and a COD file for MPLABdebugging.

MPASM features include:

• MPASM and MPLINK are integrated into MPLAB projects.

• MPASM allows user defined macros to be created for streamlined assembly.

• MPASM allows conditional assembly for multi pur-pose source files.

• MPASM directives allow complete control over the assembly process.

14.3 MPLAB-C17 and MPLAB-C18 C Compilers

The MPLAB-C17 and MPLAB-C18 Code DevelopmentSystems are complete ANSI ‘C’ compilers and inte-grated development environments for Microchip’sPIC17CXXX and PIC18CXXX family of microcontrol-lers, respectively. These compilers provide powerfulintegration capabilities and ease of use not found withother compilers.

For easier source level debugging, the compilers pro-vide symbol information that is compatible with theMPLAB IDE memory display.

1999 Microchip Technology Inc. DS30292B-page 145

Page 198: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

14.4 MPLINK/MPLIB Linker/Librarian

MPLINK is a relocatable linker for MPASM andMPLAB-C17 and MPLAB-C18. It can link relocatableobjects from assembly or C source files along with pre-compiled libraries using directives from a linker script.

MPLIB is a librarian for pre-compiled code to be usedwith MPLINK. When a routine from a library is calledfrom another source file, only the modules that containsthat routine will be linked in with the application. Thisallows large libraries to be used efficiently in many dif-ferent applications. MPLIB manages the creation andmodification of library files.

MPLINK features include:

• MPLINK works with MPASM and MPLAB-C17 and MPLAB-C18.

• MPLINK allows all memory areas to be defined as sections to provide link-time flexibility.

MPLIB features include:

• MPLIB makes linking easier because single librar-ies can be included instead of many smaller files.

• MPLIB helps keep code maintainable by grouping related modules together.

• MPLIB commands allow libraries to be created and modules to be added, listed, replaced, deleted, or extracted.

14.5 MPLAB-SIM Software Simulator

The MPLAB-SIM Software Simulator allows codedevelopment in a PC host environment by simulatingthe PICmicro series microcontrollers on an instructionlevel. On any given instruction, the data areas can beexamined or modified and stimuli can be applied froma file or user-defined key press to any of the pins. Theexecution can be performed in single step, execute untilbreak, or trace mode.

MPLAB-SIM fully supports symbolic debugging usingMPLAB-C17 and MPLAB-C18 and MPASM. The Soft-ware Simulator offers the flexibility to develop anddebug code outside of the laboratory environment mak-ing it an excellent multi-project software developmenttool.

14.6 MPLAB-ICE High Performance Universal In-Circuit Emulator with MPLAB IDE

The MPLAB-ICE Universal In-Circuit Emulator isintended to provide the product development engineerwith a complete microcontroller design tool set forPICmicro microcontrollers (MCUs). Software control ofMPLAB-ICE is provided by the MPLAB IntegratedDevelopment Environment (IDE), which allows editing,“make” and download, and source debugging from asingle environment.

Interchangeable processor modules allow the systemto be easily reconfigured for emulation of different pro-cessors. The universal architecture of the MPLAB-ICEallows expansion to support new PICmicro microcon-trollers.

The MPLAB-ICE Emulator System has been designedas a real-time emulation system with advanced fea-tures that are generally found on more expensive devel-opment tools. The PC platform and Microsoft® Windows3.x/95/98 environment were chosen to best make thesefeatures available to you, the end user.

MPLAB-ICE 2000 is a full-featured emulator systemwith enhanced trace, trigger, and data monitoring fea-tures. Both systems use the same processor modulesand will operate across the full operating speed rangeof the PICmicro MCU.

14.7 PICMASTER/PICMASTER CE

The PICMASTER system from Microchip Technology isa full-featured, professional quality emulator system.This flexible in-circuit emulator provides a high-quality,universal platform for emulating Microchip 8-bitPICmicro microcontrollers (MCUs). PICMASTER sys-tems are sold worldwide, with a CE compliant modelavailable for European Union (EU) countries.

14.8 ICEPIC

ICEPIC is a low-cost in-circuit emulation solution for the Microchip Technology PIC16C5X, PIC16C6X, PIC16C7X, and PIC16CXXX families of 8-bit one-time-programmable (OTP) microcontrollers. The modular system can support different subsets of PIC16C5X or PIC16CXXX products through the use of interchangeable personality modules or daughter boards. The emulator is capable of emulating without target application circuitry being present.

14.9 MPLAB-ICD In-Circuit Debugger

Microchip’s In-Circuit Debugger, MPLAB-ICD, is a pow-erful, low-cost run-time development tool. This tool isbased on the flash PIC16F877 and can be used todevelop for this and other PICmicro microcontrollersfrom the PIC16CXXX family. MPLAB-ICD utilizes theIn-Circuit Debugging capability built into thePIC16F87X. This feature, along with Microchip’s In-Cir-cuit Serial Programming protocol, offers cost-effectivein-circuit flash programming and debugging from thegraphical user interface of the MPLAB IntegratedDevelopment Environment. This enables a designer todevelop and debug source code by watching variables,single-stepping and setting break points. Running atfull speed enables testing hardware in real-time. TheMPLAB-ICD is also a programmer for the flashPIC16F87X family.

DS30292B-page 146 1999 Microchip Technology Inc.

Page 199: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

14.10 PRO MATE II Universal Programmer

The PRO MATE II Universal Programmer is a full-fea-tured programmer capable of operating in stand-alonemode as well as PC-hosted mode. PRO MATE II is CEcompliant.

The PRO MATE II has programmable VDD and VPP

supplies which allows it to verify programmed memoryat VDD min and VDD max for maximum reliability. It hasan LCD display for instructions and error messages,keys to enter commands and a modular detachablesocket assembly to support various package types. Instand-alone mode the PRO MATE II can read, verify orprogram PICmicro devices. It can also set code-protectbits in this mode.

14.11 PICSTART Plus Entry Level Development System

The PICSTART programmer is an easy-to-use, low-cost prototype programmer. It connects to the PC viaone of the COM (RS-232) ports. MPLAB IntegratedDevelopment Environment software makes using theprogrammer simple and efficient.

PICSTART Plus supports all PICmicro devices with upto 40 pins. Larger pin count devices such as thePIC16C92X, and PIC17C76X may be supported withan adapter socket. PICSTART Plus is CE compliant.

14.12 SIMICE Entry-Level Hardware Simulator

SIMICE is an entry-level hardware development sys-tem designed to operate in a PC-based environmentwith Microchip’s simulator MPLAB-SIM. Both SIMICEand MPLAB-SIM run under Microchip Technology’sMPLAB Integrated Development Environment (IDE)software. Specifically, SIMICE provides hardware sim-ulation for Microchip’s PIC12C5XX, PIC12CE5XX, andPIC16C5X families of PICmicro 8-bit microcontrollers.SIMICE works in conjunction with MPLAB-SIM to pro-vide non-real-time I/O port emulation. SIMICE enablesa developer to run simulator code for driving the targetsystem. In addition, the target system can provide inputto the simulator code. This capability allows for simpleand interactive debugging without having to manuallygenerate MPLAB-SIM stimulus files. SIMICE is a valu-able debugging tool for entry-level system develop-ment.

14.13 PICDEM-1 Low-Cost PICmicroDemonstration Board

The PICDEM-1 is a simple board which demonstratesthe capabilities of several of Microchip’s microcontrol-lers. The microcontrollers supported are: PIC16C5X(PIC16C54 to PIC16C58A), PIC16C61, PIC16C62X,PIC16C71, PIC16C8X, PIC17C42, PIC17C43 andPIC17C44. All necessary hardware and software isincluded to run basic demo programs. The users canprogram the sample microcontrollers provided with

the PICDEM-1 board, on a PRO MATE II orPICSTART-Plus programmer, and easily test firm-ware. The user can also connect the PICDEM-1board to the MPLAB-ICE emulator and download thefirmware to the emulator for testing. Additional proto-type area is available for the user to build some addi-tional hardware and connect it to the microcontrollersocket(s). Some of the features include an RS-232interface, a potentiometer for simulated analog input,push-button switches and eight LEDs connected toPORTB.

14.14 PICDEM-2 Low-Cost PIC16CXX Demonstration Board

The PICDEM-2 is a simple demonstration board thatsupports the PIC16C62, PIC16C64, PIC16C65,PIC16C73 and PIC16C74 microcontrollers. All thenecessary hardware and software is included torun the basic demonstration programs. The usercan program the sample microcontrollers providedwith the PICDEM-2 board, on a PRO MATE II pro-grammer or PICSTART-Plus, and easily test firmware.The MPLAB-ICE emulator may also be used with thePICDEM-2 board to test firmware. Additional prototypearea has been provided to the user for adding addi-tional hardware and connecting it to the microcontrollersocket(s). Some of the features include a RS-232 inter-face, push-button switches, a potentiometer for simu-lated analog input, a Serial EEPROM to demonstrateusage of the I2C bus and separate headers for connec-tion to an LCD module and a keypad.

14.15 PICDEM-3 Low-Cost PIC16CXXX Demonstration Board

The PICDEM-3 is a simple demonstration board thatsupports the PIC16C923 and PIC16C924 in the PLCCpackage. It will also support future 44-pin PLCCmicrocontrollers with a LCD Module. All the neces-sary hardware and software is included to run thebasic demonstration programs. The user can pro-gram the sample microcontrollers provided withthe PICDEM-3 board, on a PRO MATE II program-mer or PICSTART Plus with an adapter socket, andeasily test firmware. The MPLAB-ICE emulator mayalso be used with the PICDEM-3 board to test firm-ware. Additional prototype area has been provided tothe user for adding hardware and connecting it to themicrocontroller socket(s). Some of the features includean RS-232 interface, push-button switches, a potenti-ometer for simulated analog input, a thermistor andseparate headers for connection to an external LCDmodule and a keypad. Also provided on the PICDEM-3board is an LCD panel, with 4 commons and 12 seg-ments, that is capable of displaying time, temperatureand day of the week. The PICDEM-3 provides an addi-tional RS-232 interface and Windows 3.1 software forshowing the demultiplexed LCD signals on a PC. A sim-ple serial interface allows the user to construct a hard-ware demultiplexer for the LCD signals.

1999 Microchip Technology Inc. DS30292B-page 147

Page 200: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

14.16 PICDEM-17

The PICDEM-17 is an evaluation board that demon-strates the capabilities of several Microchip microcon-trollers, including PIC17C752, PIC17C756,PIC17C762, and PIC17C766. All necessary hardwareis included to run basic demo programs, which are sup-plied on a 3.5-inch disk. A programmed sample isincluded, and the user may erase it and program it withthe other sample programs using the PRO MATE II orPICSTART Plus device programmers and easily debugand test the sample code. In addition, PICDEM-17 sup-ports down-loading of programs to and executing out ofexternal FLASH memory on board. The PICDEM-17 isalso usable with the MPLAB-ICE or PICMASTER emu-lator, and all of the sample programs can be run andmodified using either emulator. Additionally, a gener-ous prototype area is available for user hardware.

14.17 SEEVAL Evaluation and Programming System

The SEEVAL SEEPROM Designer’s Kit supports allMicrochip 2-wire and 3-wire Serial EEPROMs. The kitincludes everything necessary to read, write, erase orprogram special features of any Microchip SEEPROMproduct including Smart Serials and secure serials.The Total Endurance Disk is included to aid in trade-off analysis and reliability calculations. The total kit cansignificantly reduce time-to-market and result in anoptimized system.

14.18 KEELOQ Evaluation and Programming Tools

KEELOQ evaluation and programming tools supportMicrochips HCS Secure Data Products. The HCS eval-uation kit includes an LCD display to show changingcodes, a decoder to decode transmissions, and a pro-gramming interface to program test transmitters.

DS30292B-page 148 1999 Microchip Technology Inc.

Page 201: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 14-1: DEVELOPMENT TOOLS FROM MICROCHIP

PIC12CXXX

PIC14000

PIC16C5X

PIC16C6X

PIC16CXXX

PIC16F62X

PIC16C7X

PIC16C7XX

PIC16C8X

PIC16F8XX

PIC16C9XX

PIC17C4X

PIC17C7XX

PIC18CXX2

24CXX/25CXX/93CXX

HCSXXX

MCRFXXX

MCP2510

Software Tools

MP

LA

B

Inte

gra

ted

Dev

elo

pm

ent

En

viro

nm

ent

á

á

á

á

á

á

á

á

á

á

á

á

á

á

MP

LA

B

C17

Co

mp

iler

á

á

MP

LA

B

C18

Co

mp

iler

á

MP

AS

M/M

PL

INK

á

á

á

á

á

á

á

á

á

á

á

á

á

á

á

á

Emulators

MP

LA

B

-IC

E

á

á

á

á

á

á

**

á

á

á

á

á

á

á

á

PIC

MA

ST

ER

/PIC

MA

ST

ER

-CE

á

á

á

á

á

á

á

á

á

á

áIC

EP

IC

Lo

w-C

ost

In-C

ircu

it E

mu

lato

r

á

á

á

á

á

á

á

á

Debugger

MP

LA

B

-IC

D In

-Cir

cuit

D

ebu

gg

er

á

*

á

*

á

Programmers

PIC

ST

AR

T

Plu

s L

ow

-Co

st U

niv

ersa

l Dev

. Kit

á

á

á

á

á

á

**

á

á

á

á

á

á

á

á

PR

O M

AT

E

II

Un

iver

sal P

rog

ram

mer

á

á

á

á

á

á

**

á

á

á

á

á

á

á

á

á

á

Demo Boards and Eval Kits

SIM

ICE

á

á

PIC

DE

M-1

á

á

á

†á

á

PIC

DE

M-2

á

á

á

PIC

DE

M-3

á

PIC

DE

M-1

4A

á

PIC

DE

M-1

7

á

KE

EL

OQ

® E

valu

atio

n K

it

á

KE

EL

OQ

Tra

nsp

on

der

Kit

á

mic

roID

™ P

rogr

amm

er’s

Kit

á

125

kHz

mic

roID

Dev

elop

er’s

Kit

á

125

kHz

Ant

icol

lisio

n m

icro

ID

Dev

elop

er’s

Kit

á

13.5

6 M

Hz

Ant

icol

lisio

n m

icro

ID

Dev

elop

er’s

Kit

á

MC

P25

10 C

AN

Dev

elop

er’s

Kit

á

*C

onta

ct th

e M

icro

chip

Tec

hnol

ogy

Inc.

web

site

at w

ww

.mic

roch

ip.c

om fo

r in

form

atio

n on

how

to u

se th

e M

PLA

B-I

CD

In-C

ircui

t Deb

ugge

r (D

V16

4001

) w

ith P

IC16

C62

, 63,

64,

65,

72,

73,

74,

76,

77

**C

onta

ct M

icro

chip

Tec

hnol

ogy

Inc.

for

avai

labi

lity

date

.†

Dev

elop

men

t too

l is

avai

labl

e on

sel

ect d

evic

es.

1999 Microchip Technology Inc. DS30292B-page 149

Page 202: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 150 1999 Microchip Technology Inc.

Page 203: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

15.0 ELECTRICAL CHARACTERISTICS Absolute Maximum Ratings †

Ambient temperature under bias................................................................................................................ .-55 to +125°C

Storage temperature .............................................................................................................................. -65°C to +150°C

Voltage on any pin with respect to VSS (except VDD, MCLR. and RA4).......................................... -0.3V to (VDD + 0.3V)

Voltage on VDD with respect to VSS ............................................................................................................ -0.3 to +7.5V

Voltage on MCLR with respect to VSS (Note 2)..................................................................................................0 to +14V

Voltage on RA4 with respect to Vss ..................................................................................................................0 to +8.5V

Total power dissipation (Note 1)................................................................................................................................1.0W

Maximum current out of VSS pin ...........................................................................................................................300 mA

Maximum current into VDD pin ..............................................................................................................................250 mA

Input clamp current, IIK (VI < 0 or VI > VDD)..................................................................................................................... ± 20 mA

Output clamp current, IOK (VO < 0 or VO > VDD) ............................................................................................................. ± 20 mA

Maximum output current sunk by any I/O pin..........................................................................................................25 mA

Maximum output current sourced by any I/O pin ....................................................................................................25 mA

Maximum current sunk by PORTA, PORTB, and PORTE (combined) (Note 3)....................................................200 mA

Maximum current sourced by PORTA, PORTB, and PORTE (combined) (Note 3) ..............................................200 mA

Maximum current sunk by PORTC and PORTD (combined) (Note 3) ..................................................................200 mA

Maximum current sourced by PORTC and PORTD (combined) (Note 3) .............................................................200 mA

Note 1: Power dissipation is calculated as follows: Pdis = VDD x IDD - ∑ IOH + ∑ (VDD - VOH) x IOH + ∑(VOl x IOL)

2: Voltage spikes below VSS at the MCLR pin, inducing currents greater than 80 mA, may cause latch-up. Thus,a series resistor of 50-100Ω should be used when applying a “low” level to the MCLR pin, rather than pullingthis pin directly to VSS.

3: PORTD and PORTE are not implemented on the 28-pin devices.

† NOTICE: Stresses above those listed under “Absolute Maximum Ratings” may cause permanent damage to the device. This is a stress rating only and functional operation of the device at those or any other conditions above those indicated in the operation listings of this specification is not implied. Exposure to maximum rating conditions for extended periods may affect device reliability.

1999 Microchip Technology Inc. DS30292B-page 151

Page 204: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-1: PIC16FXXX-20 VOLTAGE-FREQUENCY GRAPH

FIGURE 15-2: PIC16LFXXX-04 VOLTAGE-FREQUENCY GRAPH

Frequency

Volt

age

6.0 V

5.5 V

4.5 V

4.0 V

2.0 V

20 MHz

5.0 V

3.5 V

3.0 V

2.5 V

16 MHz

Frequency

Volt

age

6.0 V

5.5 V

4.5 V

4.0 V

2.0 V

5.0 V

3.5 V

3.0 V

2.5 V

FMAX = (6.0 MHz/V) (VDDAPPMIN - 2.0 V) + 4 MHz

Note 1: VDDAPPMIN is the minimum voltage of the PICmicro® device in the application.

4 MHz 10 MHz

Note 2: FMAX has a maximum frequency of 10MHz.

DS30292B-page 152 1999 Microchip Technology Inc.

Page 205: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-3: PIC16FXXX-04 VOLTAGE-FREQUENCY GRAPH

Frequency

Volt

age

6.0 V

5.5 V

4.5 V

4.0 V

2.0 V

5.0 V

3.5 V

3.0 V

2.5 V

PIC16CXXX-04

4 MHz

1999 Microchip Technology Inc. DS30292B-page 153

Page 206: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

15.1 DC Characteristics: PIC16F873/874/876/877-04 (Commercial, Industrial)PIC16F873/874/876/877-20 (Commercial, Industrial)

DC CHARACTERISTICSStandard Operating Conditions (unless otherwise stated)Operating temperature -40°C≤ TA ≤ +85°C for industrial and 0°C ≤ TA ≤ +70°C for commercial

Param No.

Characteristic Sym Min Typ† Max Units Conditions

D001D001A

Supply Voltage VDD 4.04.5

VBOR*

---

5.55.55.5

VVV

XT, RC and LP osc configurationHS osc configurationBOR enabled, Fmax = 14MHz (Note 7)

D002* RAM Data RetentionVoltage (Note 1)

VDR - 1.5 - V

D003 VDD start voltage toensure internal Power-on Reset signal

VPOR - VSS - V See section on Power-on Reset for details

D004* VDD rise rate to ensure internal Power-on Reset signal

SVDD 0.05 - - V/ms See section on Power-on Reset for details

D005 Brown-out Reset Voltage VBOR 3.7 4.0 4.35 V BODEN bit in configuration word enabled

D010

D013

Supply Current (Note 2,5) IDD -

-

1.6

7

4

15

mA

mA

XT, RC osc configuration FOSC = 4 MHz, VDD = 5.5V (Note 4)

HS osc configuration FOSC = 20 MHz, VDD = 5.5V

D015* Brown-out Reset Current (Note 6)

∆IBOR - 85 200 µA BOR enabled VDD = 5.0V

D020D021D021A

Power-down Current (Note 3,5)

IPD ---

10.51.51.5

421619

µAµAµA

VDD = 4.0V, WDT enabled, -40°C to +85°CVDD = 4.0V, WDT disabled, -0°C to +70°CVDD = 4.0V, WDT disabled, -40°C to +85°C

D023* Brown-out Reset Current (Note 6)

∆IBOR - 85 200 µA BOR enabled VDD = 5.0V

Legend: * These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Note 1: This is the limit to which VDD can be lowered without losing RAM data.2: The supply current is mainly a function of the operating voltage and frequency. Other factors such as I/O pin

loading and switching rate, oscillator type, internal code execution pattern and temperature also have an impact on the current consumption.The test conditions for all IDD measurements in active operation mode are: OSC1 = external square wave, from rail to rail; all I/O pins tristated, pulled to VDD MCLR = VDD; WDT enabled/disabled as specified.

3: The power-down current in SLEEP mode does not depend on the oscillator type. Power-down current is measured with the part in SLEEP mode, with all I/O pins in hi-impedance state and tied to VDD and VSS.

4: For RC osc configuration, current through Rext is not included. The current through the resistor can be esti-mated by the formula Ir = VDD/2Rext (mA) with Rext in kOhm.

5: Timer1 oscillator (when enabled) adds approximately 20 µA to the specification. This value is from character-ization and is for design guidance only. This is not tested.

6: The ∆ current is the additional current consumed when this peripheral is enabled. This current should be added to the base IDD or IPD measurement.

7: When BOR is enabled, the device will operate correctly until the VBOR voltage trip point is reached.

DS30292B-page 154 1999 Microchip Technology Inc.

Page 207: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

15.2 DC Characteristics: PIC16LF873/874/876/877-04 (Commercial, Industrial)

DC CHARACTERISTICSStandard Operating Conditions (unless otherwise stated)Operating temperature -40°C ≤ TA ≤ +85°C for industrial and

0°C ≤ TA ≤ +70°C for commercial

Param No.

Characteristic Sym Min Typ† Max Units Conditions

D001 Supply Voltage VDD 2.0 - 5.5 V LP, XT, RC osc configuration (DC - 4 MHz)

D002* RAM Data Retention Voltage (Note 1)

VDR - 1.5 - V

D003 VDD start voltage to ensure internal Power-on Reset signal

VPOR - VSS - V See section on Power-on Reset for details

D004* VDD rise rate to ensure internal Power-on Reset signal

SVDD 0.05 - - V/ms See section on Power-on Reset for details

D005 Brown-out Reset Voltage VBOR 3.7 4.0 4.35 V BODEN bit in configuration word enabled

D010

D010A

Supply Current (Note 2,5) IDD -

-

0.6

20

2.0

35

mA

µA

XT, RC osc configurationFOSC = 4 MHz, VDD = 3.0V (Note 4)

LP osc configurationFOSC = 32 kHz, VDD = 3.0V, WDT disabled

D015* Brown-out Reset Current (Note 6)

∆IBOR - 85 200 µA BOR enabled VDD = 5.0V

D020D021D021A

Power-down Current (Note 3,5)

IPD ---

7.50.90.9

3055

µAµAµA

VDD = 3.0V, WDT enabled, -40°C to +85°CVDD = 3.0V, WDT disabled, 0°C to +70°CVDD = 3.0V, WDT disabled, -40°C to +85°C

D023* Brown-out Reset Current (Note 6)

∆IBOR - 85 200 µA BOR enabled VDD = 5.0V

Legend: * These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Note 1: This is the limit to which VDD can be lowered without losing RAM data.2: The supply current is mainly a function of the operating voltage and frequency. Other factors such as I/O pin

loading and switching rate, oscillator type, internal code execution pattern and temperature also have an impact on the current consumption.The test conditions for all IDD measurements in active operation mode are: OSC1 = external square wave, from rail to rail; all I/O pins tristated, pulled to VDD MCLR = VDD; WDT enabled/disabled as specified.

3: The power-down current in SLEEP mode does not depend on the oscillator type. Power-down current is measured with the part in SLEEP mode, with all I/O pins in hi-impedance state and tied to VDD and VSS.

4: For RC osc configuration, current through Rext is not included. The current through the resistor can be esti-mated by the formula Ir = VDD/2Rext (mA) with Rext in kOhm.

5: Timer1 oscillator (when enabled) adds approximately 20 µA to the specification. This value is from charac-terization and is for design guidance only. This is not tested.

6: The ∆ current is the additional current consumed when this peripheral is enabled. This current should be added to the base IDD or IPD measurement.

1999 Microchip Technology Inc. DS30292B-page 155

Page 208: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

15.3 DC Characteristics: PIC16F873/874/876/877-04 (Commercial, Industrial)PIC16F873/874/876/877-20 (Commercial, Industrial)PIC16LF873/874/876/877-04 (Commercial, Industrial)

DC CHARACTERISTICS

Standard Operating Conditions (unless otherwise stated)Operating temperature -40°C ≤ TA ≤ +85°C for industrial and

0°C ≤ TA ≤ +70°C for commercialOperating voltage VDD range as described in DC spec Section 15.1 and Section 15.2.

ParamNo.

Characteristic Sym Min Typ† Max Units Conditions

Input Low VoltageI/O ports VIL

D030 with TTL buffer VSS - 0.15VDD V For entire VDD rangeD030A VSS - 0.8V V 4.5V ≤ VDD ≤ 5.5VD031 with Schmitt Trigger buffer VSS - 0.2VDD VD032 MCLR, OSC1 (in RC mode) VSS - 0.2VDD VD033 OSC1 (in XT, HS and LP) VSS - 0.3VDD V Note1

Ports RC3 and RC4D034 with Schmitt Trigger buffer VSS - 0.3VDD V For entire VDD rangeD034A with SMBus -0.5 - 0.6 V for VDD = 4.5 to 5.5V

Input High VoltageI/O ports VIH -

D040 with TTL buffer 2.0 - VDD V 4.5V ≤ VDD ≤ 5.5VD040A 0.25VDD

+ 0.8V- VDD V For entire VDD range

D041 with Schmitt Trigger buffer 0.8VDD - VDD V For entire VDD rangeD042 MCLR 0.8VDD - VDD VD042A OSC1 (XT, HS and LP) 0.7VDD - VDD V Note1D043 OSC1 (in RC mode) 0.9VDD - VDD V

Ports RC3 and RC4D044 with Schmitt Trigger buffer 0.7VDD - VDD V For entire VDD rangeD044A with SMBus 1.4 - 5.5 V for VDD = 4.5 to 5.5VD070 PORTB weak pull-up current IPURB 50 250 400 µA VDD = 5V, VPIN = VSS

Input Leakage Current (Notes 2, 3)

D060 I/O ports IIL - - ±1 µA Vss ≤ VPIN ≤ VDD, Pin at hi-imped-ance

D061 MCLR, RA4/T0CKI - - ±5 µA Vss ≤ VPIN ≤ VDD

D063 OSC1 - - ±5 µA Vss ≤ VPIN ≤ VDD, XT, HS and LP osc configuration

Output Low VoltageD080 I/O ports VOL - - 0.6 V IOL = 8.5 mA, VDD = 4.5V,

-40°C to +85°CD083 OSC2/CLKOUT (RC osc config) - - 0.6 V IOL = 1.6 mA, VDD = 4.5V,

-40°C to +85°CLegend: * These parameters are characterized but not tested.

† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Note 1: In RC oscillator configuration, the OSC1/CLKIN pin is a Schmitt Trigger input. It is not recommended that the PIC16F87X be driven with external clock in RC mode.

2: The leakage current on the MCLR pin is strongly dependent on the applied voltage level. The specified levels represent normal operating conditions. Higher leakage current may be measured at different input voltages.

3: Negative current is defined as current sourced by the pin.

DS30292B-page 156 1999 Microchip Technology Inc.

Page 209: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Output High VoltageD090 I/O ports (Note 3) VOH VDD - 0.7 - - V IOH = -3.0 mA, VDD = 4.5V,

-40°C to +85°CD092 OSC2/CLKOUT (RC osc config) VDD - 0.7 - - V IOH = -1.3 mA, VDD = 4.5V,

-40°C to +85°CD150* Open-Drain High Voltage VOD - - 8.5 V RA4 pin

- -Capacitive Loading Specs on Output Pins

D100 OSC2 pin COSC2 - - 15 pF In XT, HS and LP modes when exter-nal clock is used to drive OSC1.

D101D102

All I/O pins and OSC2 (in RC

mode) SCL, SDA in I2C mode

CIO

CB

--

--

50400

pFpF

Data EEPROM MemoryD120 Endurance ED 100K - - E/W 25°C at 5VD121 VDD for read/write VDRW Vmin - 5.5 V Using EECON to read/write

Vmin = min operating voltageD122 Erase/write cycle time TDEW - 4 8 ms

Program FLASH MemoryD130 Endurance EP 1000 - - E/W 25°C at 5VD131 VDD for read VPR Vmin - 5.5 V Vmin = min operating voltageD132a VDD for erase/write Vmin - 5.5 V using EECON to read/write,

Vmin = min operating voltageD133 Erase/Write cycle time TPEW - 4 8 ms

DC CHARACTERISTICS

Standard Operating Conditions (unless otherwise stated)Operating temperature -40°C ≤ TA ≤ +85°C for industrial and

0°C ≤ TA ≤ +70°C for commercialOperating voltage VDD range as described in DC spec Section 15.1 and Section 15.2.

ParamNo.

Characteristic Sym Min Typ† Max Units Conditions

Legend: * These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Note 1: In RC oscillator configuration, the OSC1/CLKIN pin is a Schmitt Trigger input. It is not recommended that the PIC16F87X be driven with external clock in RC mode.

2: The leakage current on the MCLR pin is strongly dependent on the applied voltage level. The specified levels represent normal operating conditions. Higher leakage current may be measured at different input voltages.

3: Negative current is defined as current sourced by the pin.

1999 Microchip Technology Inc. DS30292B-page 157

Page 210: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

15.4 Timing Parameter Symbology

The timing parameter symbols have been created fol-lowing one of the following formats:

FIGURE 15-4: LOAD CONDITIONS

1. TppS2ppS 3. TCC:ST (I2C specifications only)2. TppS 4. Ts (I2C specifications only)T

F Frequency T TimeLowercase letters (pp) and their meanings:

ppcc CCP1 osc OSC1ck CLKOUT rd RDcs CS rw RD or WR

di SDI sc SCKdo SDO ss SSdt Data in t0 T0CKI

io I/O port t1 T1CKImc MCLR wr WRUppercase letters and their meanings:

SF Fall P PeriodH High R Rise

I Invalid (Hi-impedance) V ValidL Low Z Hi-impedance

I2C onlyAA output access High HighBUF Bus free Low Low

TCC:ST (I2C specifications only)

CCHD Hold SU Setup

STDAT DATA input hold STO STOP conditionSTA START condition

VDD/2

CL

RL

Pin Pin

VSS VSS

CL

RL = 464Ω

CL = 50 pF for all pins except OSC2, but including PORTD and PORTE outputs as ports

15 pF for OSC2 output

Note: PORTD and PORTE are not implemented on the 28-pin devices.

Load condition 1 Load condition 2

DS30292B-page 158 1999 Microchip Technology Inc.

Page 211: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-5: EXTERNAL CLOCK TIMING

OSC1

CLKOUT

Q4 Q1 Q2 Q3 Q4 Q1

1

2

3 3 4 4

TABLE 15-1: EXTERNAL CLOCK TIMING REQUIREMENTS

Parameter No.

Sym Characteristic Min Typ† Max Units Conditions

FOSC External CLKIN Frequency (Note 1)

DC — 4 MHz XT and RC osc mode

DC — 4 MHz HS osc mode (-04)DC — 20 MHz HS osc mode (-20)DC — 200 kHz LP osc mode

Oscillator Frequency (Note 1)

DC — 4 MHz RC osc mode 0.1 — 4 MHz XT osc mode 45

——

20200

MHzkHz

HS osc mode LP osc mode

1 TOSC External CLKIN Period(Note 1)

250 — — ns XT and RC osc mode

250 — — ns HS osc mode (-04)50 — — ns HS osc mode (-20)5 — — µs LP osc mode

Oscillator Period(Note 1)

250 — — ns RC osc mode 250 — 10,000 ns XT osc mode 250 — 250 ns HS osc mode (-04)

50 — 250 ns HS osc mode (-20)5 — — µs LP osc mode

2 TCY Instruction Cycle Time (Note 1)

200 TCY DC ns TCY = 4/FOSC

3 TosL,TosH

External Clock in (OSC1) High or Low Time

100 — — ns XT oscillator

2.5 — — µs LP oscillator15 — — ns HS oscillator

4 TosR,TosF

External Clock in (OSC1) Rise or Fall Time

— — 25 ns XT oscillator

— — 50 ns LP oscillator— — 15 ns HS oscillator

Legend: † Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Note 1: Instruction cycle period (TCY) equals four times the input oscillator time-base period. All specified values are based on characterization data for that particular oscillator type under standard operating conditions with the device executing code. Exceeding these specified limits may result in an unstable oscillator operation and/or higher than expected current consumption. All devices are tested to operate at "min." values with an external clock applied to the OSC1/CLKIN pin. When an external clock input is used, the "Max." cycle time limit is "DC" (no clock) for all devices.

1999 Microchip Technology Inc. DS30292B-page 159

Page 212: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-6: CLKOUT AND I/O TIMING

TABLE 15-2: CLKOUT AND I/O TIMING REQUIREMENTS

ParamNo.

Sym Characteristic Min Typ† Max Units Conditions

10* TosH2ckL OSC1↑ to CLKOUT↓ — 75 200 ns Note 1

11* TosH2ckH OSC1↑ to CLKOUT↑ — 75 200 ns Note 1

12* TckR CLKOUT rise time — 35 100 ns Note 1

13* TckF CLKOUT fall time — 35 100 ns Note 1

14* TckL2ioV CLKOUT ↓ to Port out valid — — 0.5TCY + 20 ns Note 1

15* TioV2ckH Port in valid before CLKOUT ↑ TOSC + 200 — — ns Note 1

16* TckH2ioI Port in hold after CLKOUT ↑ 0 — — ns Note 1

17* TosH2ioV OSC1↑ (Q1 cycle) to Port out valid

— 100 255 ns

18* TosH2ioI OSC1↑ (Q2 cycle) to Port input invalid (I/O in hold time)

Standard (F) 100 — — ns

Extended (LF) 200 — — ns

19* TioV2osH Port input valid to OSC1↑ (I/O in setup time) 0 — — ns

20* TioR Port output rise time Standard (F) — 10 40 ns

Extended (LF) — — 145 ns

21* TioF Port output fall time Standard (F) — 10 40 ns

Extended (LF) — — 145 ns

22††* Tinp INT pin high or low time TCY — — ns

23††* Trbp RB7:RB4 change INT high or low time TCY — — ns

Legend: * These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance

only and are not tested.†† These parameters are asynchronous events not related to any internal clock edges.

Note 1: Measurements are taken in RC Mode where CLKOUT output is 4 x TOSC.

Note: Refer to Figure 15-4 for load conditions.

OSC1

CLKOUT

I/O Pin(input)

I/O Pin(output)

Q4 Q1 Q2 Q3

10

1314

17

20, 21

19 18

15

11

1216

old value new value

DS30292B-page 160 1999 Microchip Technology Inc.

Page 213: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-7: RESET, WATCHDOG TIMER, OSCILLATOR START-UP TIMER AND POWER-UP TIMER TIMING

FIGURE 15-8: BROWN-OUT RESET TIMING

TABLE 15-3: RESET, WATCHDOG TIMER, OSCILLATOR START-UP TIMER, POWER-UP TIMER, AND BROWN-OUT RESET REQUIREMENTS

Parameter No.

Sym Characteristic Min Typ† Max Units Conditions

30 TmcL MCLR Pulse Width (low) 2 — — µs VDD = 5V, -40°C to +85°C

31* Twdt Watchdog Timer Time-out Period (No Prescaler)

7 18 33 ms VDD = 5V, -40°C to +85°C

32 Tost Oscillation Start-up Timer Period — 1024 TOSC — — TOSC = OSC1 period

33* Tpwrt Power up Timer Period 28 72 132 ms VDD = 5V, -40°C to +85°C

34 TIOZ I/O Hi-impedance from MCLR Low or Watchdog Timer Reset

— — 2.1 µs

35 TBOR Brown-out Reset pulse width 100 — — µs VDD ≤ VBOR (D005)

Legend: * These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance

only and are not tested.

VDD

MCLR

InternalPOR

PWRTTime-out

OSCTime-out

InternalReset

WatchdogTimerReset

33

32

30

3134

I/O Pins

34

Note: Refer to Figure 15-4 for load conditions.

VDD VBOR

35

1999 Microchip Technology Inc. DS30292B-page 161

Page 214: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-9: TIMER0 AND TIMER1 EXTERNAL CLOCK TIMINGS

TABLE 15-4: TIMER0 AND TIMER1 EXTERNAL CLOCK REQUIREMENTSParam

No.Sym Characteristic Min Typ† Max Units Conditions

40* Tt0H T0CKI High Pulse Width No Prescaler 0.5TCY + 20 — — ns Must also meet parameter 42 With Prescaler 10 — — ns

41* Tt0L T0CKI Low Pulse Width No Prescaler 0.5TCY + 20 — — ns Must also meet parameter 42 With Prescaler 10 — — ns

42* Tt0P T0CKI Period No Prescaler TCY + 40 — — nsWith Prescaler Greater of:

20 or TCY + 40 N

— — ns N = prescale value (2, 4, ..., 256)

45* Tt1H T1CKI High Time Synchronous, Prescaler = 1 0.5TCY + 20 — — ns Must also meet parameter 47 Synchronous,

Prescaler = 2,4,8

Standard(F) 15 — — nsExtended(LF) 25 — — ns

Asynchronous Standard(F) 30 — — nsExtended(LF) 50 — — ns

46* Tt1L T1CKI Low Time Synchronous, Prescaler = 1 0.5TCY + 20 — — ns Must also meet parameter 47 Synchronous,

Prescaler = 2,4,8

Standard(F) 15 — — nsExtended(LF) 25 — — ns

Asynchronous Standard(F) 30 — — nsExtended(LF) 50 — — ns

47* Tt1P T1CKI input period Synchronous Standard(F) Greater of:30 OR TCY + 40

N

— — ns N = prescale value (1, 2, 4, 8)

Extended(LF) Greater of:50 OR TCY + 40

N

N = prescale value (1, 2, 4, 8)

Asynchronous Standard(F) 60 — — nsExtended(LF) 100 — — ns

Ft1 Timer1 oscillator input frequency range (oscillator enabled by setting bit T1OSCEN)

DC — 200 kHz

48 TCKEZtmr1 Delay from external clock edge to timer increment 2Tosc — 7Tosc —

* These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not

tested.

Note: Refer to Figure 15-4 for load conditions.

46

47

45

48

41

42

40

RA4/T0CKI

RC0/T1OSO/T1CKI

TMR0 orTMR1

DS30292B-page 162 1999 Microchip Technology Inc.

Page 215: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-10: CAPTURE/COMPARE/PWM TIMINGS (CCP1 AND CCP2)

TABLE 15-5: CAPTURE/COMPARE/PWM REQUIREMENTS (CCP1 AND CCP2)

Param No.

Sym Characteristic Min Typ† Max Units Conditions

50* TccL CCP1 and CCP2input low time

No Prescaler 0.5TCY + 20 — — ns

With Prescaler

Standard(F) 10 — — ns

Extended(LF) 20 — — ns

51* TccH CCP1 and CCP2input high time

No Prescaler 0.5TCY + 20 — — ns

With PrescalerStandard(F) 10 — — ns

Extended(LF) 20 — — ns

52* TccP CCP1 and CCP2 input period 3TCY + 40N

— — ns N = prescale value (1,4 or 16)

53* TccR CCP1 and CCP2 output rise time Standard(F) — 10 25 ns

Extended(LF) — 25 50 ns

54* TccF CCP1 and CCP2 output fall time Standard(F) — 10 25 ns

Extended(LF) — 25 45 ns

* These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated.

These parameters are for design guidance only and are not tested.

Note: Refer to Figure 15-4 for load conditions.

and RC2/CCP1(Capture Mode)

50 51

52

53 54

RC1/T1OSI/CCP2

and RC2/CCP1(Compare or PWM Mode)

RC1/T1OSI/CCP2

1999 Microchip Technology Inc. DS30292B-page 163

Page 216: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-11: PARALLEL SLAVE PORT TIMING (40-PIN DEVICES ONLY)

TABLE 15-6: PARALLEL SLAVE PORT REQUIREMENTS (40-PIN DEVICES ONLY)

Parameter No.

Sym Characteristic Min Typ† Max Units Conditions

62 TdtV2wrH Data in valid before WR↑ or CS↑ (setup time) 2025

——

——

nsns Extended

Range Only

63* TwrH2dtI WR↑ or CS↑ to data–in invalid (hold time) Standard(F) 20 — — ns

Extended(LF) 35 — — ns

64 TrdL2dtV RD↓ and CS↓ to data–out valid ——

——

8090

nsns Extended

Range Only

65 TrdH2dtI RD↑ or CS↓ to data–out invalid 10 — 30 ns

* These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not

tested.

Note: Refer to Figure 15-4 for load conditions.

RE2/CS

RE0/RD

RE1/WR

RD7:RD0

62

63

64

65

DS30292B-page 164 1999 Microchip Technology Inc.

Page 217: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-12: SPI MASTER MODE TIMING (CKE = 0, SMP = 0)

FIGURE 15-13: SPI MASTER MODE TIMING (CKE = 1, SMP = 1)

SS

SCK(CKP = 0)

SCK(CKP = 1)

SDO

SDI

70

71 72

7374

75, 76

787980

7978

MSb LSbBIT6 - - - - - -1

MSb IN LSb INBIT6 - - - -1

Note: Refer to Figure 15-4 for load conditions.

SS

SCK(CKP = 0)

SCK(CKP = 1)

SDO

SDI

81

71 72

74

75, 76

78

80

MSb

7973

MSb IN

BIT6 - - - - - -1

LSb INBIT6 - - - -1

LSb

Note: Refer to Figure 15-4 for load conditions.

1999 Microchip Technology Inc. DS30292B-page 165

Page 218: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-14: SPI SLAVE MODE TIMING (CKE = 0)

FIGURE 15-15: SPI SLAVE MODE TIMING (CKE = 1)

SS

SCK(CKP = 0)

SCK(CKP = 1)

SDO

SDI

70

71 72

7374

75, 76 77

787980

7978

SDI

MSb LSbBIT6 - - - - - -1

MSb IN BIT6 - - - -1 LSb IN

83

Note: Refer to Figure 15-4 for load conditions.

SS

SCK(CKP = 0)

SCK(CKP = 1)

SDO

SDI

70

71 72

82

SDI

74

75, 76

MSb BIT6 - - - - - -1 LSb

77

MSb IN BIT6 - - - -1 LSb IN

80

83

Note: Refer to Figure 15-4 for load conditions.

DS30292B-page 166 1999 Microchip Technology Inc.

Page 219: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 15-7: SPI MODE REQUIREMENTS

FIGURE 15-16: I2C BUS START/STOP BITS TIMING

TABLE 15-8: I2C BUS START/STOP BITS REQUIREMENTS

Param No.

Sym Characteristic Min Typ† Max Units Conditions

70* TssL2scH, TssL2scL

SS↓ to SCK↓ or SCK↑ input TCY — — ns

71* TscH SCK input high time (slave mode) TCY + 20 — — ns

72* TscL SCK input low time (slave mode) TCY + 20 — — ns

73* TdiV2scH, TdiV2scL

Setup time of SDI data input to SCK edge 100 — — ns

74* TscH2diL, TscL2diL

Hold time of SDI data input to SCK edge 100 — — ns

75* TdoR SDO data output rise time Standard(F)Extended(LF)

——

1025

2550

nsns

76* TdoF SDO data output fall time — 10 25 ns

77* TssH2doZ SS↑ to SDO output hi-impedance 10 — 50 ns

78* TscR SCK output rise time (master mode) Standard(F)Extended(LF)

——

1025

2550

nsns

79* TscF SCK output fall time (master mode) — 10 25 ns

80* TscH2doV,TscL2doV

SDO data output valid after SCK edge

Standard(F)Extended(LF)

——

——

50145

ns

81* TdoV2scH,TdoV2scL

SDO data output setup to SCK edge TCY — — ns

82* TssL2doV SDO data output valid after SS↓ edge — — 50 ns

83* TscH2ssH,TscL2ssH

SS ↑ after SCK edge 1.5TCY + 40 — — ns

* These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not

tested.

ParameterNo.

Sym Characteristic Min Typ Max Units Conditions

90 TSU:STA START condition 100 kHz mode 4700 — —ns

Only relevant for repeated START conditionSetup time 400 kHz mode 600 — —

91 THD:STA START condition 100 kHz mode 4000 — —ns

After this period the first clock pulse is generatedHold time 400 kHz mode 600 — —

92 TSU:STO STOP condition 100 kHz mode 4700 — —ns

Setup time 400 kHz mode 600 — —

93 THD:STO STOP condition 100 kHz mode 4000 — —ns

Hold time 400 kHz mode 600 — —

Note: Refer to Figure 15-4 for load conditions.

91 93SCL

SDA

STARTCondition

STOPCondition

90 92

1999 Microchip Technology Inc. DS30292B-page 167

Page 220: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-17: I2C BUS DATA TIMING

TABLE 15-9: I2C BUS DATA REQUIREMENTSParam

No.Sym Characteristic Min Max Units Conditions

100 THIGH Clock high time 100 kHz mode 4.0 — µs Device must operate at a mini-mum of 1.5 MHz

400 kHz mode 0.6 — µs Device must operate at a mini-mum of 10 MHz

SSP Module 1.5TCY —

101 TLOW Clock low time 100 kHz mode 4.7 — µs Device must operate at a mini-mum of 1.5 MHz

400 kHz mode 1.3 — µs Device must operate at a mini-mum of 10 MHz

SSP Module 1.5TCY —

102 TR SDA and SCL rise time

100 kHz mode — 1000 ns

400 kHz mode 20 + 0.1Cb 300 ns Cb is specified to be from 10 to 400 pF

103 TF SDA and SCL fall time 100 kHz mode — 300 ns

400 kHz mode 20 + 0.1Cb 300 ns Cb is specified to be from 10 to 400 pF

90 TSU:STA START condition setup time

100 kHz mode 4.7 — µs Only relevant for repeated START condition400 kHz mode 0.6 — µs

91 THD:STA START condition hold time

100 kHz mode 4.0 — µs After this period the first clock pulse is generated400 kHz mode 0.6 — µs

106 THD:DAT Data input hold time 100 kHz mode 0 — ns

400 kHz mode 0 0.9 µs

107 TSU:DAT Data input setup time 100 kHz mode 250 — ns Note 2

400 kHz mode 100 — ns

92 TSU:STO STOP condition setup time

100 kHz mode 4.7 — µs

400 kHz mode 0.6 — µs

109 TAA Output valid from clock

100 kHz mode — 3500 ns Note 1

400 kHz mode — — ns

110 TBUF Bus free time 100 kHz mode 4.7 — µs Time the bus must be free before a new transmission can start

400 kHz mode 1.3 — µs

Cb Bus capacitive loading — 400 pF

Note 1: As a transmitter, the device must provide this internal minimum delay time to bridge the undefined region (min. 300 ns) of the falling edge of SCL to avoid unintended generation of START or STOP conditions.

2: A fast-mode (400 kHz) I2C-bus device can be used in a standard-mode (100 kHz) I2C-bus system, but the requirement tsu; DAT ≥ 250 ns must then be met. This will automatically be the case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch the LOW period of the SCL signal, it must output the next data bit to the SDA line TR max.+tsu; DAT = 1000 + 250 = 1250 ns (according to the standard-mode I2C bus specification) before the SCL line is released.

Note: Refer to Figure 15-4 for load conditions.

90

91 92

100

101

103

106107

109 109110

102

SCL

SDAIn

SDAOut

DS30292B-page 168 1999 Microchip Technology Inc.

Page 221: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-18: USART SYNCHRONOUS TRANSMISSION (MASTER/SLAVE) TIMING

TABLE 15-10: USART SYNCHRONOUS TRANSMISSION REQUIREMENTS

FIGURE 15-19: USART SYNCHRONOUS RECEIVE (MASTER/SLAVE) TIMING

TABLE 15-11: USART SYNCHRONOUS RECEIVE REQUIREMENTS

ParamNo.

Sym Characteristic Min Typ† Max Units Conditions

120 TckH2dtV SYNC XMIT (MASTER & SLAVE)Clock high to data out valid

Standard(F)— — 80 ns

Extended(LF) — — 100 ns

121 Tckrf Clock out rise time and fall time (Master Mode)

Standard(F) — — 45 ns

Extended(LF) — — 50 ns

122 Tdtrf Data out rise time and fall time Standard(F) — — 45 ns

Extended(LF) — — 50 ns

†: Data in “Typ” column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Parameter No.

Sym Characteristic Min Typ† Max Units Conditions

125 TdtV2ckL SYNC RCV (MASTER & SLAVE)Data setup before CK ↓ (DT setup time) 15 — — ns

126 TckL2dtl Data hold after CK ↓ (DT hold time) 15 — — ns

†: Data in “Typ” column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not tested.

Note: Refer to Figure 15-4 for load conditions.

121121

122

RC6/TX/CK

RC7/RX/DTPin

Pin

120

Note: Refer to Figure 15-4 for load conditions.

125

126

RC6/TX/CK

RC7/RX/DTpin

pin

1999 Microchip Technology Inc. DS30292B-page 169

Page 222: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TABLE 15-12: PIC16F873/874/876/877-04 (COMMERCIAL, INDUSTRIAL)PIC16F873/874/876/877-20 (COMMERCIAL, INDUSTRIAL)PIC16LF873/874/876/877-04 (COMMERCIAL, INDUSTRIAL)

ParamNo.

Sym Characteristic Min Typ† Max Units Conditions

A01 NR Resolution — — 10-bits bit VREF = VDD = 5.12V, VSS ≤ VAIN ≤ VREF

A03 EIL Integral linearity error — — < ± 1 LSb VREF = VDD = 5.12V, VSS ≤ VAIN ≤ VREF

A04 EDL Differential linearity error — — < ± 1 LSb VREF = VDD = 5.12V, VSS ≤ VAIN ≤ VREF

A06 EOFF Offset error — — < ± 2 LSb VREF = VDD = 5.12V, VSS ≤ VAIN ≤ VREF

A07 EGN Gain error — — < ± 1 LSb VREF = VDD = 5.12V, VSS ≤ VAIN ≤ VREF

A10 — Monotonicity(3) — guaranteed — — VSS ≤ VAIN ≤ VREF

A20 VREF Reference voltage (VREF+ - VREF-) 2.0V — VDD + 0.3 V Absolute minimum electrical spec. To ensure 10-bit accuracy.

A21 VREF+ Reference voltage High AVDD - 2.5V AVDD + 0.3V V

A22 VREF- Reference voltage low AVSS - 0.3V VREF+ - 2.0V V

A25 VAIN Analog input voltage VSS - 0.3 — VREF + 0.3 V

A30 ZAIN Recommended impedance of analog voltage source

— — 10.0 kΩ

A40 IAD A/D conversion cur-rent (VDD)

Standard — 220 — µA Average current consumption when A/D is on. (Note 1)

Extended — 90 — µA

A50 IREF VREF input current (Note 2) 10

1000

10

µA

µA

During VAIN acquisition.Based on differential of VHOLD to VAIN to charge CHOLD, see Section 11.1.

During A/D Conversion cycle

* These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not

tested.Note 1: When A/D is off, it will not consume any current other than minor leakage current.

The power-down current spec includes any such leakage from the A/D module.2: VREF current is from RA3 pin or VDD pin, whichever is selected as reference input.3: The A/D conversion result never decreases with an increase in the Input Voltage, and has no missing codes.

DS30292B-page 170 1999 Microchip Technology Inc.

Page 223: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

FIGURE 15-20: A/D CONVERSION TIMING

TABLE 15-13: A/D CONVERSION REQUIREMENTS

Param No.

Sym Characteristic Min Typ† Max Units Conditions

130 TAD A/D clock period Standard(F) 1.6 — — µs TOSC based, VREF ≥ 3.0V

Extended(LF) 3.0 — — µs TOSC based, VREF ≥ 2.0V

Standard(F) 2.0 4.0 6.0 µs A/D RC Mode

Extended(LF) 3.0 6.0 9.0 µs A/D RC Mode

131 TCNV Conversion time (not including S/H time) (Note 1)

— 12 TAD

132 TACQ Acquisition time Note 2

10*

40

µs

µs The minimum time is the ampli-fier settling time. This may be used if the "new" input voltage has not changed by more than 1 LSb (i.e., 20.0 mV @ 5.12V) from the last sampled voltage (as stated on CHOLD).

134 TGO Q4 to A/D clock start — TOSC/2 § — — If the A/D clock source is selected as RC, a time of TCY is added before the A/D clock starts. This allows the SLEEP instruction to be executed.

* These parameters are characterized but not tested.† Data in "Typ" column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only and are not

tested.§ This specification ensured by design.

Note 1: ADRES register may be read on the following TCY cycle.2: See Section 11.1 for min conditions.

131

130

132

BSF ADCON0, GO

Q4

A/D CLK

A/D DATA

ADRES

ADIF

GO

SAMPLE

OLD_DATA

SAMPLING STOPPED

DONE

NEW_DATA

(TOSC/2)(1)

9 8 7 2 1 0

Note 1: If the A/D clock source is selected as RC, a time of TCY is added before the A/D clock starts. This allows the SLEEP instruction to be executed.

1 TCY

. . . . . .

1999 Microchip Technology Inc. DS30292B-page 171

Page 224: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 172 1999 Microchip Technology Inc.

Page 225: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

16.0 DC AND AC CHARACTERISTICS GRAPHS AND TABLES

The graphs and tables provided in this section are fordesign guidance and are not tested.

In some graphs or tables, the data presented are out-side specified operating range (i.e., outside specifiedVDD range). This is for information only and devicesare ensured to operate properly only within the speci-fied range.

The data presented in this section is a statistical sum-mary of data collected on units from different lots overa period of time and matrix samples. ’Typical’ repre-sents the mean of the distribution at 25°C. ’Max’ or ’min’represents (mean + 3σ) or (mean - 3σ) respectively,where σ is standard deviation, over the whole temper-ature range.

Graphs and Tables not available at this time.

1999 Microchip Technology Inc. DS30292B-page 173

Page 226: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 174 1999 Microchip Technology Inc.

Page 227: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.0 PACKAGING INFORMATION

17.1 Package Marking Information

28-Lead SOIC

AABBCDE

Example

PIC16F876-04/SO

XXXXXXXXXXXXXXXXXXXXXAABBCDE

28-Lead PDIP (Skinny DIP) Example

PIC16F876-20/SP

9917HAT

9910SAA

XXXXXXXXXXXXXXXXXXXXXXXXX

Legend: MM...M Microchip part number informationXX...X Customer specific information*AA Year code (last 2 digits of calendar year)BB Week code (week of January 1 is week ‘01’)C Facility code of the plant at which wafer is manufactured

O = Outside VendorC = 5” Line S = 6” LineH = 8” Line

D Mask revision numberE Assembly code of the plant or country of origin in which

part was assembled

Note: In the event the full Microchip part number cannot be marked on one line, it willbe carried over to the next line thus limiting the number of available charactersfor customer specific information.

* Standard OTP marking consists of Microchip part number, year code, week code, facility code, maskrev#, and assembly code. For OTP marking beyond this, certain price adders apply. Please check withyour Microchip Sales Office. For QTP devices, any special marking adders are included in QTP price.

XXXXXXXXXXXXXXXXXXXXXXXXX

XXXXXXXXXXXXXXXXXXXXX

1999 Microchip Technology Inc. DS30292B-page 175

Page 228: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Package Marking Information (Cont’d)

XXXXXXXXXXXXXXXXXX

AABBCDE

40-Lead PDIP Example

PIC16F877-04/P

9912SAA

44-Lead TQFP

XXXXXXXXXX

AABBCDEXXXXXXXXXX

Example

-04/PTPIC16F877

44-Lead PLCC

44-Lead MQFP

Example

PIC16F877-20/L

Example

-20/PQPIC16F877

9904SAT

9911HAT

9903SAT

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX

XXXXXXXXXX

XXXXXXXXXX

AABBCDEXXXXXXXXXX

XXXXXXXXXX

XXXXXXXXXX

AABBCDEXXXXXXXXXX

XXXXXXXXXX

DS30292B-page 176 1999 Microchip Technology Inc.

Page 229: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.2 K04-070 28-Lead Skinny Plastic Dual In-line (SP) – 300 mil

* Controlling Parameter.† Dimension “B1” does not include dam-bar protrusions. Dam-bar protrusions shall not exceed 0.003”

(0.076 mm) per side or 0.006” (0.152 mm) more than dimension “B1.”‡ Dimensions “D” and “E” do not include mold flash or protrusions. Mold flash or protrusions shall not

exceed 0.010” (0.254 mm) per side or 0.020” (0.508 mm) more than dimensions “D” or “E.”

0.3200.2700.2801.3450.1250.0150.0700.1400.0080.0000.0400.016

Mold Draft Angle BottomMold Draft Angle TopOverall Row SpacingRadius to Radius WidthMolded Package Width

Tip to Seating PlaneBase to Seating PlaneTop of Lead to Seating PlaneTop to Seating Plane

Upper Lead WidthLower Lead Width

PCB Row Spacing

Package Length

Lead ThicknessShoulder Radius

Number of Pins

Dimension Limits

Pitch

Units

E‡

β

eBE1

α

A1A2LD‡

AcR

n

B1†Bp

MIN MIN

0.2950.288

55

10

0.3500.283

100.3800.295

1515

0.090

1.3650.1300.020

0.1500.0100.005

NOMINCHES*

28

0.0530.0190.100

0.300

1.3850.1350.0250.1100.1600.0120.0100.0650.022

MAX

7.497.307.11

8.897.18

5

8.136.86

51010

1515

9.657.49

34.673.300.512.293.810.250.131.330.482.54

7.62

MILLIMETERS

1.78

34.163.180.38

3.560.200.001.020.41

NOM

2.79

35.183.430.64

4.060.300.25

MAX

28

1.650.56

n 1

2

R

D

E

c

eB

β

E1

α

p

L

A1

B

B1

A

A2

1999 Microchip Technology Inc. DS30292B-page 177

Page 230: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.3 K04-052 28-Lead Plastic Small Outline (SO) – Wide, 300 mil

MINpPitch

Mold Draft Angle BottomMold Draft Angle TopLower Lead Width

Radius Centerline

Gull Wing RadiusShoulder RadiusChamfer DistanceOutside DimensionMolded Package WidthMolded Package Length

Shoulder HeightOverall Pack. Height

Lead Thickness

Foot AngleFoot Length

Standoff

Number of Pins

βαB†c

φ

X

A2A1An

E1

L

L1

R1R2

E‡D‡

Dimension LimitsUnits

1.270.050

8

1212

0.017

0

0.0140

0.019

1515

0.0110.015

0.0160.0050.0050.0200.4070.2960.7060.0080.0580.099

28

0.394

0.011

0.0090.010

0

0.0050.0050.010

0.2920.7000.0040.0480.093

0.419

0.0120.020

0.0210.0100.0100.029

4 8

0.2990.7120.0110.0680.104

0.36

00

1212

0.42

1515

0.48

10.33

17.93

10.01

0.230.25

0.280.130.130.25

0

7.42

0.101.222.36

17.78

10.64

0.414

0.270.38

0.130.13

0.50

0.53

0.300.51

0.250.25

0.74

7.51

0.19

282.501.47

18.087.59

0.28

2.641.73

NOMINCHES*

MAX NOMMILLIMETERS

MIN MAX

n 12

R1

R2

D

p

B

E1

E

L1

L

c

β

45 °

X

φ

A1

α

A

A2

* Controlling Parameter.† Dimension “B” does not include dam-bar protrusions. Dam-bar protrusions shall not exceed 0.003”

(0.076 mm) per side or 0.006” (0.152 mm) more than dimension “B.”‡ Dimensions “D” and “E” do not include mold flash or protrusions. Mold flash or protrusions shall not

exceed 0.010” (0.254 mm) per side or 0.020” (0.508 mm) more than dimensions “D” or “E.”

DS30292B-page 178 1999 Microchip Technology Inc.

Page 231: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.4 K04-016 40-Lead Plastic Dual In-line (P) – 600 mil

* Controlling Parameter.† Dimension “B1” does not include dam-bar protrusions. Dam-bar protrusions shall not exceed 0.003”

(0.076 mm) per side or 0.006” (0.152 mm) more than dimension “B1.”‡ Dimensions “D” and “E” do not include mold flash or protrusions. Mold flash or protrusions shall not

exceed 0.010” (0.254 mm) per side or 0.020” (0.508 mm) more than dimensions “D” or “E.”

n21

R

Top to Seating Plane

Mold Draft Angle BottomMold Draft Angle TopOverall Row SpacingRadius to Radius WidthMolded Package Width

Tip to Seating PlaneBase to Seating PlaneTop of Lead to Seating Plane

Package Length

E1

β

eBα

L

E‡D‡

A2A1

0.6700.5850.5402.0230.1350.0400.113

0.545

55

0.630

0.125

0.5302.013

0.0200.073

0.565

10

0.61010

0.130

0.5352.018

0.0200.093

16.0013.8413.4651.13

3.180.511.85

1515

14.35

55

10

15.4910

3.30

13.5951.26

0.512.36

14.8617.02

1515

13.7251.38

3.431.022.87

PCB Row Spacing

Lead ThicknessShoulder RadiusUpper Lead WidthLower Lead WidthPitchNumber of Pins

Dimension LimitsUnits

p

cA

R

BB1†

n

0.1600.0110.0100.0550.020

NOMINCHES*

0.1100.0090.0000.0450.016

MIN

0.100

0.1600.0100.0050.0500.018

400.600

MAX

2.790.230.001.140.41

MIN

2.54

4.060.250.131.270.46

NOMMILLIMETERS

15.2440

4.060.280.251.400.51

MAX

A1

D

E

c

βeB

E1

α

p

L

B

B1

A

A2

1999 Microchip Technology Inc. DS30292B-page 179

Page 232: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.5 K04-076 44-Lead Plastic Thin Quad Flatpack (PT) 10x10x1 mm Body, 1.0/0.1 mm Lead Form

0.0250.3900.3900.4630.4630.0120.0040.003

0.0050.0030.0030.0020.0150.039

p

Mold Draft Angle BottomMold Draft Angle TopPin 1 Corner ChamferMolded Pack. WidthMolded Pack. LengthOutside Tip WidthOutside Tip LengthLower Lead WidthLead ThicknessRadius Centerline

Gull Wing RadiusShoulder Radius

Shoulder HeightOverall Pack. HeightPins along WidthNumber of Pins

Foot LengthFoot Angle

Standoff

D‡

β

E‡

L

D1E1

B†cL1φ

A1

R1R2

A2

n1A

n

Dimension LimitsPitch

UnitsMIN

0.3980.394

55

12

0.0350.394

100.0450.398

1515

0.0100

0.4720.4720.0150.0060.008

3.5

0.025

0.0060.0030.004

0.0431144

0.015

0.4820.4820.0180.0080.013

7

0.0080.0100.0060.0350.047

10.1010.009.90

1210

0.8910.00

5

0.649.90

51515

1.1410.10

12.0012.00

0.380.150.20

3.50.250.140.080.100.641.10

1144

0.13

11.7511.75

0.300.090.08

0

0.38

0.080.080.05

1.00

0.38

12.2512.25

0.450.200.33

7

0.89

0.200.250.15

1.20

MINNOMINCHES

0.031MAX

0.80

MILLIMETERS*NOM MAX

X x 45°n

12

R2

R1L1

L

β

c

φ

D1D

B

p

# leads = n1

E

E1

α

A1A2

A

* Controlling Parameter.† Dimension “B” does not include dam-bar protrusions. Dam-bar protrusions shall not exceed 0.003”

(0.076 mm) per side or 0.006” (0.152 mm) more than dimension “B.”‡ Dimensions “D” and “E” do not include mold flash or protrusions. Mold flash or protrusions shall not

exceed 0.010” (0.254 mm) per side or 0.020” (0.508 mm) more than dimensions “D” or “E.”JEDEC equivalent:MS-026 ACB

DS30292B-page 180 1999 Microchip Technology Inc.

Page 233: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.6 K04-071 44-Lead Plastic Quad Flatpack (PQ) 10x10x2 mm Body, 1.6/0.15 mm Lead Form

* Controlling Parameter.† Dimension “B” does not include dam-bar protrusions. Dam-bar protrusions shall not exceed 0.003”

(0.076 mm) per side or 0.006” (0.152 mm) more than dimension “B.”‡ Dimensions “D” and “E” do not include mold flash or protrusions. Mold flash or protrusions shall not

exceed 0.010” (0.254 mm) per side or 0.020” (0.508 mm) more than dimensions “D” or “E.”JEDEC equivalent:MS-022 AB

0.0250.3900.3900.5100.5100.0120.0050.011

0.0150.0050.0050.0020.0320.079

pPitch

Mold Draft Angle BottomMold Draft Angle TopPin 1 Corner ChamferMolded Pack. WidthMolded Pack. LengthOutside Tip WidthOutside Tip LengthLower Lead Width

Radius Centerline

Gull Wing RadiusShoulder Radius

Shoulder HeightOverall Pack. HeightPins along Width

Lead Thickness

Foot AngleFoot Length

Standoff

Number of Pins

βαXE‡D‡

c

φ

A2A1An1n

R2

E1D1B†

L1

L

R1

Dimension LimitsUnits

MIN0.800.031

0.635

12.9512.95

0.0350.3940.394

55

1012 15

150.0450.3980.398

0.012

0.5200.5200.0150.0070.016

0.0200 3.5

0.0050.0060.0440.086

1144

0.015

0.009

0.5300.5300.018

0.021

0.0257

0.0100.0100.0560.093

55

9.909.90

1012

10.0010.00

0.89 1.14310.1010.10

1515

0.300.13

0.130.30

00.28

0.38

0.18

13.2013.20

0.37

3.50.41

0.51

0.130.050.812.00

0.130.151.11

112.18

44

0.38

13.4513.45

0.450.230.53

0.647

0.250.251.412.35

MINNOMINCHES

MAXMILLIMETERS*

NOM MAX

X x 45°n

12

R2

R1

D D1

B

p

E1

E

# leads = n1

L1

L

c

β φ

α

A1

A

A2

1999 Microchip Technology Inc. DS30292B-page 181

Page 234: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

17.7 K04-048 44-Lead Plastic Leaded Chip Carrier (L) – Square

* Controlling Parameter.† Dimension “B1” does not include dam-bar protrusions. Dam-bar protrusions shall not exceed 0.003”

(0.076 mm) per side or 0.006” (0.152 mm) more than dimension “B1.”‡ Dimensions “D” and “E” do not include mold flash or protrusions. Mold flash or protrusions shall not

exceed 0.010" (0.254 mm) per side or 0.020" (0.508 mm) more than dimensions “D” or “E.”JEDEC equivalent:MO-047 AC

0.0150.0030.0500.0150.0260.008

0.6100.6100.6500.6500.6850.6850.0000.0400.0240.0150.0950.165

MIN

pPitch

Mold Draft Angle BottomMold Draft Angle TopJ-Bend Inside RadiusShoulder Inside RadiusUpper Lead LengthLower Lead WidthUpper Lead WidthLead ThicknessPins along WidthFootprint LengthFootprint WidthMolded Pack. LengthMolded Pack. WidthOverall Pack. LengthOverall Pack. WidthCorner Chamfer (other)Corner Chamfer (1)Side 1 Chamfer Dim.

Shoulder HeightOverall Pack. Height

Standoff

R2R1

αβ

LBB1†

D2E2

CH2CH1A3A2

E1

cn1

E‡

D‡

D1

A1A

Number of PinsDimension LimitsUnits

n1.270.050

00

0.0050.025

55

0.0580.0180.029

0.0350.0100.0650.0210.032

1010

0.690

0.620

0.010

0.62011

0.6530.6530.690

0.0050.0450.0290.0230.1030.173

0.695

0.012

0.6300.6300.6560.6560.695

0.0100.0500.0340.0300.1100.180

0.640.131.460.460.74

0.080.38

00

1.270.380.66

55

0.250.89

1010

1.650.530.81

0.25

15.7515.7516.5916.5917.5317.53

0.131.140.740.572.604.38

17.40

15.49

0.20

15.49

16.5116.5117.40

0.001.020.610.382.414.19

17.65

11

16.00

0.30

16.00

16.6616.6617.65

0.251.270.860.762.794.57

INCHES*NOM

44MAX

MILLIMETERSMIN NOM MAX

44

1CH2 x 45°

nCH1 x 45°

2

βR2

A1

R1

c

E2

D1D

# leads = n1

E1

E

α

p

L

A3

A2

A35°

B1B

D2

DS30292B-page 182 1999 Microchip Technology Inc.

Page 235: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

APPENDIX A: REVISION HISTORY

APPENDIX B: DEVICE DIFFERENCESThe differences between the devices in this data sheetare listed in Table B-1.

APPENDIX C: CONVERSION CONSIDERATIONSConsiderations for converting from previous versions ofdevices to the ones listed in this data sheet are listed inTable C-1.

Version Date Revision Description

A 1998 This is a new data sheet. However, these devices are similar to the PIC16C7X devices found in the PIC16C7X Data Sheet (DS30390). Data Memory Map for PIC16F873/874, moved ADFM bit from ADCON1<5> to ADCON1<7>

B 1999 FLASH EEPROM access information.

TABLE B-1: DEVICE DIFFERENCES

Difference PIC16F876/873 PIC16F877/874

A/D 5 channels, 10bits 8 channels, 10bits

Parallel Slave Port no yes

Packages 28-pin PDIP, 28-pin windowed CERDIP, 28-pin SOIC

40-pin PDIP, 44-pin TQFP, 44-pin MQFP, 44-pin PLCC

TABLE C-1: CONVERSION CONSIDERATIONS

Characteristic PIC16C7X PIC16F87X

Pins 28/40 28/40

Timers 3 3

Interrupts 11 or 12 13 or 14

Communication PSP, USART, SSP (SPI, I2C Slave) PSP, USART, SSP (SPI, I2C Master/Slave)

Frequency 20 MHz 20 MHz

A/D 8-bit 10-bit

CCP 2 2

Program Memory 4K, 8K EPROM 4K, 8K FLASH

RAM 192, 368 bytes 192, 368 bytes

EEPROM data None 128, 256 bytes

Other In-Circuit Debugger, Low VoltageProgramming

1999 Microchip Technology Inc. DS30292B-page 183

Page 236: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 184 1999 Microchip Technology Inc.

Page 237: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

INDEX

AA/D ................................................................................... 111

ADCON0 Register .................................................... 111ADCON1 Register .................................................... 112ADIF bit .................................................................... 113Analog Input Model Block Diagram .......................... 115Analog Port Pins ...................................... 7, 8, 9, 37, 38Block Diagram .......................................................... 114Configuring Analog Port Pins ................................... 116Configuring the Interrupt .......................................... 113Configuring the Module ............................................ 113Conversion Clock ..................................................... 116Conversions ............................................................. 117Delays ...................................................................... 115Effects of a Reset ..................................................... 118GO/DONE bit ........................................................... 113Internal Sampling Switch (Rss) Impedence ............. 114Operation During Sleep ........................................... 118Sampling Requirements ........................................... 114Source Impedence ................................................... 114Time Delays ............................................................. 115

Absolute Maximum Ratings ............................................. 151ACK .................................................................................... 72Acknowledge Data bit ........................................................ 66Acknowledge Pulse ............................................................ 72Acknowledge Sequence Enable bit ................................... 66Acknowledge Status bit ...................................................... 66ADRES Register ........................................................ 15, 111Application Note AN578, "Use of the SSP Module in the I2C Multi-Master Environment." ............................... 71Application Notes

AN552 (Implementing Wake-up on Key Strokes Using PIC16CXXX) ....................................... 31AN556 (Table Reading Using PIC16CXX) ................. 26

ArchitecturePIC16F873/PIC16F876 Block Diagram ....................... 5PIC16F874/PIC16F877 Block Diagram ....................... 6

AssemblerMPASM Assembler .................................................. 145

BBanking, Data Memory ................................................ 12, 18Baud Rate Generator ......................................................... 78BCLIF ................................................................................. 24BF .................................................................... 64, 72, 81, 83Block Diagrams

A/D ........................................................................... 114Analog Input Model .................................................. 115Baud Rate Generator ................................................. 78Capture ...................................................................... 59Compare .................................................................... 60I2C Master Mode ........................................................ 76I2C Module ................................................................. 71PWM .......................................................................... 60SSP (I2C Mode) ......................................................... 71SSP (SPI Mode) ......................................................... 67Timer0/WDT Prescaler .............................................. 47Timer2 ........................................................................ 55USART Receive ....................................................... 101USART Transmit ........................................................ 99

BRG ................................................................................... 78BRGH bit ............................................................................ 97Brown-out Reset (BOR) ........................... 121, 125, 127, 128

BOR Status (BOR Bit) ................................................ 25

Buffer Full bit, BF ............................................................... 72Buffer Full Status bit, BF .................................................... 64Bus Arbitration ................................................................... 88Bus Collision Section ......................................................... 88Bus Collision During a RESTART Condition ..................... 91Bus Collision During a Start Condition ............................... 89Bus Collision During a Stop Condition ............................... 92Bus Collision Interrupt Flag bit, BCLIF ............................... 24

CCapture/Compare/PWM

CaptureBlock Diagram ................................................... 59CCP1CON Register ........................................... 58CCP1IF .............................................................. 59Mode ................................................................. 59Prescaler ........................................................... 59

CCP Timer Resources ............................................... 57Compare

Block Diagram ................................................... 60Mode ................................................................. 60Software Interrupt Mode .................................... 60Special Event Trigger ........................................ 60Special Trigger Output of CCP1 ........................ 60Special Trigger Output of CCP2 ........................ 60

Interaction of Two CCP Modules ............................... 57Section ....................................................................... 57Special Event Trigger and A/D Conversions ............. 60

Capture/Compare/PWM (CCP)CCP1

RC2/CCP1 Pin ................................................. 7, 8CCP2

RC1/T1OSI/CCP2 Pin ..................................... 7, 8PWM Block Diagram ................................................. 60PWM Mode ................................................................ 60

CCP1CON ......................................................................... 17CCP2CON ......................................................................... 17CCPR1H Register .................................................. 15, 17, 57CCPR1L Register ........................................................ 17, 57CCPR2H Register ........................................................ 15, 17CCPR2L Register ........................................................ 15, 17CCPxM0 bit ........................................................................ 58CCPxM1 bit ........................................................................ 58CCPxM2 bit ........................................................................ 58CCPxM3 bit ........................................................................ 58CCPxX bit .......................................................................... 58CCPxY bit .......................................................................... 58CKE ................................................................................... 64CKP ................................................................................... 65Clock Polarity Select bit, CKP ............................................ 65Code Examples

Call of a Subroutine in Page 1 from Page 0 .............. 26Indirect Addressing .................................................... 27

Code Protection ....................................................... 121, 135Computed GOTO ............................................................... 26Configuration Bits ............................................................ 121Conversion Considerations .............................................. 183

DD/A ..................................................................................... 64Data Memory ..................................................................... 12

Bank Select (RP1:RP0 Bits) ................................ 12, 18General Purpose Registers ....................................... 12Register File Map ................................................ 13, 14Special Function Registers ........................................ 15

Data/Address bit, D/A ........................................................ 64DC Characteristics ........................................................... 154

1999 Microchip Technology Inc. DS30292B-page 185

Page 238: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

Development Support ...................................................... 145Device Differences ........................................................... 183Device Overview .................................................................. 5Direct Addressing ......................................................... 27, 28

EElectrical Characteristics .................................................. 151Errata ................................................................................... 4

FFirmware Instructions ....................................................... 137FSR Register .................................................... 15, 16, 17, 27

GGeneral Call Address Sequence ........................................ 74General Call Address Support ........................................... 74General Call Enable bit ...................................................... 66

II/O Ports ............................................................................. 29I2C ...................................................................................... 71I2C Master Mode Reception ............................................... 83I2C Master Mode Restart Condition ................................... 80I2C Mode Selection ............................................................ 71I2C Module

Acknowledge Sequence timing .................................. 85Addressing ................................................................. 72Baud Rate Generator ................................................. 78Block Diagram ............................................................ 76BRG Block Diagram ................................................... 78BRG Reset due to SDA Collision ............................... 90BRG Timing ............................................................... 78Bus Arbitration ........................................................... 88Bus Collision .............................................................. 88

Acknowledge ...................................................... 88Restart Condition ............................................... 91Restart Condition Timing (Case1) ...................... 91Restart Condition Timing (Case2) ...................... 91Start Condition ................................................... 89Start Condition Timing ................................. 89, 90Stop Condition ................................................... 92Stop Condition Timing (Case1) .......................... 92Stop Condition Timing (Case2) .......................... 92Transmit Timing ................................................. 88

Bus Collision timing .................................................... 88Clock Arbitration ......................................................... 87Clock Arbitration Timing (Master Transmit) ................ 87Conditions to not give ACK Pulse .............................. 72General Call Address Support ................................... 74Master Mode .............................................................. 76Master Mode 7-bit Reception timing .......................... 84Master Mode Operation ............................................. 77Master Mode Start Condition ..................................... 79Master Mode Transmission ........................................ 81Master Mode Transmit Sequence .............................. 77Multi-Master Communication ..................................... 88Multi-master Mode ..................................................... 77Operation ................................................................... 71Repeat Start Condition timing .................................... 80Slave Mode ................................................................ 72Slave Reception ......................................................... 73Slave Transmission .................................................... 73SSPBUF ..................................................................... 72Stop Condition Receive or Transmit timing ................ 86Stop Condition timing ................................................. 86Waveforms for 7-bit Reception .................................. 73Waveforms for 7-bit Transmission ............................. 74

I2C Module Address Register, SSPADD ........................... 72I2C Slave Mode .................................................................. 72ID Locations ............................................................. 121, 135In-Circuit Serial Programming (ICSP) ...................... 121, 136INDF .................................................................................. 17INDF Register ........................................................ 15, 16, 27Indirect Addressing ...................................................... 27, 28

FSR Register ............................................................. 12Instruction Format ............................................................ 137Instruction Set .................................................................. 137

ADDLW .................................................................... 139ADDWF .................................................................... 139ANDLW .................................................................... 139ANDWF .................................................................... 139BCF ......................................................................... 139BSF .......................................................................... 139BTFSC ..................................................................... 140BTFSS ..................................................................... 140CALL ........................................................................ 140CLRF ....................................................................... 140CLRW ...................................................................... 140CLRWDT ................................................................. 140COMF ...................................................................... 141DECF ....................................................................... 141DECFSZ .................................................................. 141GOTO ...................................................................... 141INCF ........................................................................ 141INCFSZ .................................................................... 141IORLW ..................................................................... 142IORWF ..................................................................... 142MOVF ...................................................................... 142MOVLW ................................................................... 142MOVWF ................................................................... 142NOP ......................................................................... 142RETFIE .................................................................... 143RETLW .................................................................... 143RETURN .................................................................. 143RLF .......................................................................... 143RRF ......................................................................... 143SLEEP ..................................................................... 143SUBLW .................................................................... 144SUBWF .................................................................... 144SWAPF .................................................................... 144XORLW ................................................................... 144XORWF ................................................................... 144Summary Table ....................................................... 138

INTCON ............................................................................. 17INTCON Register ............................................................... 20

GIE Bit ....................................................................... 20INTE Bit ..................................................................... 20INTF Bit ..................................................................... 20PEIE Bit ..................................................................... 20RBIE Bit ..................................................................... 20RBIF Bit ............................................................... 20, 31T0IE Bit ...................................................................... 20T0IF Bit ...................................................................... 20

Inter-Integrated Circuit (I2C) .............................................. 63Internal Sampling Switch (Rss) Impedence ..................... 114Interrupt Sources ..................................................... 121, 131

Block Diagram ......................................................... 131Interrupt on Change (RB7:RB4 ) ............................... 31RB0/INT Pin, External ...................................... 7, 8, 132TMR0 Overflow ........................................................ 132USART Receive/Transmit Complete ......................... 95

DS30292B-page 186 1999 Microchip Technology Inc.

Page 239: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

InterruptsBus Collision Interrupt ................................................ 24Synchronous Serial Port Interrupt .............................. 22

Interrupts, Context Saving During .................................... 132Interrupts, Enable Bits

Global Interrupt Enable (GIE Bit) ....................... 20, 131Interrupt on Change (RB7:RB4) Enable (RBIE Bit) .......................................................... 20, 132Peripheral Interrupt Enable (PEIE Bit) ....................... 20RB0/INT Enable (INTE Bit) ........................................ 20TMR0 Overflow Enable (T0IE Bit) .............................. 20

Interrupts, Flag BitsInterrupt on Change (RB7:RB4) Flag (RBIF Bit) ..................................................... 20, 31, 132RB0/INT Flag (INTF Bit) ............................................. 20TMR0 Overflow Flag (T0IF Bit) .......................... 20, 132

KKeeLoq Evaluation and Programming Tools ................. 148

LLoading of PC .................................................................... 26

MMaster Clear (MCLR) ....................................................... 7, 8

MCLR Reset, Normal Operation .............. 125, 127, 128MCLR Reset, SLEEP ............................... 125, 127, 128

Memory OrganizationData Memory ............................................................. 12Program Memory ....................................................... 11

MPLAB Integrated Development Environment Software . 145Multi-Master Communication ............................................. 88Multi-Master Mode ............................................................. 77

OOPCODE Field Descriptions ............................................ 137OPTION ............................................................................. 17OPTION_REG Register ..................................................... 19

INTEDG Bit ................................................................ 19PS2:PS0 Bits ............................................................. 19PSA Bit ....................................................................... 19RBPU Bit .................................................................... 19T0CS Bit ..................................................................... 19T0SE Bit ..................................................................... 19

OSC1/CLKIN Pin ............................................................. 7, 8OSC2/CLKOUT Pin ......................................................... 7, 8Oscillator Configuration ............................................ 121, 123

HS .................................................................... 123, 127LP ..................................................................... 123, 127RC ............................................................ 123, 124, 127XT .................................................................... 123, 127

Oscillator, WDT ................................................................ 133Output of TMR2 ................................................................. 55

PP ......................................................................................... 64Packaging ........................................................................ 175Paging, Program Memory ............................................ 11, 26Parallel Slave Port (PSP) ......................................... 9, 35, 38

Block Diagram ............................................................ 38RE0/RD/AN5 Pin .............................................. 9, 37, 38RE1/WR/AN6 Pin ............................................. 9, 37, 38RE2/CS/AN7 Pin .............................................. 9, 37, 38Read Waveforms ....................................................... 39Select (PSPMODE Bit) .................................. 35, 36, 38Write Waveforms ....................................................... 39

PCL Register .................................................... 15, 16, 17, 26

PCLATH Register ............................................ 15, 16, 17, 26PCON Register .................................................... 17, 25, 126

BOR Bit ...................................................................... 25POR Bit ...................................................................... 25

PIC16F876 Pinout Description ............................................ 7PICDEM-1 Low-Cost PICmicro Demo Board .................. 147PICDEM-2 Low-Cost PIC16CXX Demo Board ................ 147PICDEM-3 Low-Cost PIC16CXXX Demo Board ............. 147PICSTART Plus Entry Level Development System ...... 147PIE1 Register .............................................................. 17, 21PIE2 Register .............................................................. 17, 23Pinout Descriptions

PIC16F873/PIC16F876 ............................................... 7PIC16F874/PIC16F877 ............................................... 8

PIR1 Register .................................................................... 22PIR2 Register .................................................................... 24POP ................................................................................... 26PORTA ...................................................................... 7, 8, 17

Analog Port Pins ...................................................... 7, 8Initialization ................................................................ 29PORTA Register ........................................................ 29RA3, RA0 and RA5 Port Pins .................................... 29RA4/T0CKI Pin .................................................. 7, 8, 29RA5/SS/AN4 Pin ...................................................... 7, 8TRISA Register .......................................................... 29

PORTA Register ................................................................ 15PORTB ...................................................................... 7, 8, 17

PORTB Register ........................................................ 31Pull-up Enable (RBPU Bit) ......................................... 19RB0/INT Edge Select (INTEDG Bit) .......................... 19RB0/INT Pin, External ..................................... 7, 8, 132RB3:RB0 Port Pins .................................................... 31RB7:RB4 Interrupt on Change ................................. 132RB7:RB4 Interrupt on Change Enable (RBIE Bit) ........................................................... 20, 132RB7:RB4 Interrupt on Change Flag (RBIF Bit) ..................................................... 20, 31, 132RB7:RB4 Port Pins .................................................... 31TRISB Register .......................................................... 31

PORTB Register ................................................................ 15PORTC ...................................................................... 7, 8, 17

Block Diagram ........................................................... 33PORTC Register ........................................................ 33RC0/T1OSO/T1CKI Pin ........................................... 7, 8RC1/T1OSI/CCP2 Pin ............................................. 7, 8RC2/CCP1 Pin ......................................................... 7, 8RC3/SCK/SCL Pin ................................................... 7, 8RC4/SDI/SDA Pin .................................................... 7, 8RC5/SDO Pin .......................................................... 7, 8RC6/TX/CK Pin .................................................. 7, 8, 96RC7/RX/DT Pin ........................................... 7, 8, 96, 97TRISC Register ................................................... 33, 95

PORTC Register ................................................................ 15PORTD .................................................................... 9, 17, 38

Block Diagram ........................................................... 35Parallel Slave Port (PSP) Function ............................ 35PORTD Register ........................................................ 35TRISD Register ......................................................... 35

PORTD Register ................................................................ 15PORTE .......................................................................... 9, 17

Analog Port Pins .............................................. 9, 37, 38Block Diagram ........................................................... 36Input Buffer Full Status (IBF Bit) ................................ 36Input Buffer Overflow (IBOV Bit) ................................ 36Output Buffer Full Status (OBF Bit) ........................... 36PORTE Register ........................................................ 36

1999 Microchip Technology Inc. DS30292B-page 187

Page 240: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

PSP Mode Select (PSPMODE Bit) ................ 35, 36, 38RE0/RD/AN5 Pin .............................................. 9, 37, 38RE1/WR/AN6 Pin ............................................. 9, 37, 38RE2/CS/AN7 Pin .............................................. 9, 37, 38TRISE Register .......................................................... 36

PORTE Register ................................................................ 15Postscaler, WDT

Assignment (PSA Bit) ................................................ 19Rate Select (PS2:PS0 Bits) ....................................... 19

Power-on Reset (POR) .................... 121, 125, 126, 127, 128Oscillator Start-up Timer (OST) ....................... 121, 126POR Status (POR Bit) ................................................ 25Power Control (PCON) Register .............................. 126Power-down (PD Bit) ......................................... 18, 125Power-up Timer (PWRT) ................................. 121, 126Time-out (TO Bit) ............................................... 18, 125Time-out Sequence on Power-up .................... 129, 130

PR2 .................................................................................... 17PR2 Register ................................................................ 16, 55Prescaler, Timer0

Assignment (PSA Bit) ................................................ 19Rate Select (PS2:PS0 Bits) ....................................... 19

PRO MATE II Universal Programmer ............................ 147Product Identification System ........................................... 191Program Counter

Reset Conditions ...................................................... 127Program Memory ............................................................... 11

Interrupt Vector .......................................................... 11Paging .................................................................. 11, 26Program Memory Map ............................................... 11Reset Vector .............................................................. 11

Program Verification ......................................................... 135Programming Pin (VPP) .................................................... 7, 8Programming, Device Instructions ................................... 137PUSH ................................................................................. 26

RR/W .................................................................................... 64R/W bit ............................................................................... 72R/W bit ............................................................................... 73RCREG .............................................................................. 17RCSTA Register ........................................................... 17, 96

CREN Bit .................................................................... 96FERR Bit .................................................................... 96OERR Bit ................................................................... 96RX9 Bit ....................................................................... 96RX9D Bit .................................................................... 96SPEN Bit .............................................................. 95, 96SREN Bit .................................................................... 96

Read/Write bit, R/W ........................................................... 64Receive Enable bit ............................................................. 66Receive Overflow Indicator bit, SSPOV ............................. 65Register File ....................................................................... 12Register File Map ......................................................... 13, 14Registers

FSR Summary ........................................................... 17INDF Summary .......................................................... 17INTCON Summary ..................................................... 17OPTION Summary ..................................................... 17PCL Summary ............................................................ 17PCLATH Summary .................................................... 17PORTB Summary ...................................................... 17SSPSTAT ................................................................... 64STATUS Summary .................................................... 17TMR0 Summary ......................................................... 17TRISB Summary ........................................................ 17

Reset ....................................................................... 121, 125Block Diagram ......................................................... 125Reset Conditions for All Registers ........................... 128Reset Conditions for PCON Register ...................... 127Reset Conditions for Program Counter .................... 127Reset Conditions for STATUS Register ................... 127

Restart Condition Enabled bit ............................................ 66Revision History ............................................................... 183

SSCK ................................................................................... 67SCL .................................................................................... 72SDA ................................................................................... 72SDI ..................................................................................... 67SDO ................................................................................... 67SEEVAL Evaluation and Programming System ............ 148Serial Clock, SCK .............................................................. 67Serial Clock, SCL ............................................................... 72Serial Data Address, SDA ................................................. 72Serial Data In, SDI ............................................................. 67Serial Data Out, SDO ........................................................ 67Slave Select, SS ................................................................ 67SLEEP ............................................................. 121, 125, 134SMP ................................................................................... 64Software Simulator (MPLAB-SIM) ................................... 146SPBRG .............................................................................. 17SPBRG Register ................................................................ 16Special Features of the CPU ........................................... 121Special Function Registers ................................................ 15Speed, Operating ................................................................. 1SPI

Master Mode .............................................................. 68Master Mode Timing .................................................. 68Serial Clock ................................................................ 67Serial Data In ............................................................. 67Serial Data Out .......................................................... 67Serial Peripheral Interface (SPI) ................................ 63Slave Mode Timing .................................................... 69Slave Mode Timing Diagram ..................................... 69Slave Select ............................................................... 67SPI clock .................................................................... 68SPI Mode ................................................................... 67

SPI Clock Edge Select, CKE ............................................. 64SPI Data Input Sample Phase Select, SMP ...................... 64SPI Module

Slave Mode ................................................................ 69SS ...................................................................................... 67SSP .................................................................................... 63

Block Diagram (SPI Mode) ........................................ 67RA5/SS/AN4 Pin ...................................................... 7, 8RC3/SCK/SCL Pin ................................................... 7, 8RC4/SDI/SDA Pin .................................................... 7, 8RC5/SDO Pin ........................................................... 7, 8SPI Mode ................................................................... 67SSPADD .................................................................... 72SSPBUF .............................................................. 68, 72SSPCON1 ................................................................. 65SSPCON2 ................................................................. 66SSPSR ................................................................ 68, 72SSPSTAT ............................................................ 64, 72

SSP I2CSSP I2C Operation .................................................... 71

DS30292B-page 188 1999 Microchip Technology Inc.

Page 241: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

SSP ModuleSPI Master Mode ....................................................... 68SPI Slave Mode ......................................................... 69SSPCON1 Register ................................................... 71

SSP Overflow Detect bit, SSPOV ...................................... 72SSPADD Register ........................................................ 16, 17SSPBUF ....................................................................... 17, 72SSPBUF Register .............................................................. 15SSPCON Register ............................................................. 15SSPCON1 .................................................................... 65, 71SSPCON2 .......................................................................... 66SSPEN ............................................................................... 65SSPIF ........................................................................... 22, 73SSPM3:SSPM0 .................................................................. 65SSPOV ................................................................... 65, 72, 83SSPSTAT ..................................................................... 64, 72SSPSTAT Register ...................................................... 16, 17Stack .................................................................................. 26

Overflows ................................................................... 26Underflow ................................................................... 26

Start bit (S) ......................................................................... 64Start Condition Enabled bit ................................................ 66STATUS Register ........................................................ 17, 18

C Bit ........................................................................... 18DC Bit ......................................................................... 18IRP Bit ........................................................................ 18PD Bit ................................................................. 18, 125RP1:RP0 Bits ............................................................. 18TO Bit ................................................................. 18, 125Z Bit ............................................................................ 18

Stop bit (P) ......................................................................... 64Stop Condition Enable bit .................................................. 66Synchronous Serial Port .................................................... 63Synchronous Serial Port Enable bit, SSPEN ..................... 65Synchronous Serial Port Interrupt ...................................... 22Synchronous Serial Port Mode Select bits, SSPM3:SSPM0 .................................................................. 65

TT1CKPS0 bit ...................................................................... 51T1CKPS1 bit ...................................................................... 51T1CON ............................................................................... 17T1CON Register .......................................................... 17, 51T1OSCEN bit ..................................................................... 51T1SYNC bit ........................................................................ 51T2CKPS0 bit ...................................................................... 55T2CKPS1 bit ...................................................................... 55T2CON Register .......................................................... 17, 55TAD ................................................................................... 116Timer0

Clock Source Edge Select (T0SE Bit) ........................ 19Clock Source Select (T0CS Bit) ................................. 19Overflow Enable (T0IE Bit) ........................................ 20Overflow Flag (T0IF Bit) ..................................... 20, 132Overflow Interrupt .................................................... 132RA4/T0CKI Pin, External Clock ............................... 7, 8

Timer1 ................................................................................ 51RC0/T1OSO/T1CKI Pin ........................................... 7, 8RC1/T1OSI/CCP2 Pin .............................................. 7, 8

TimersTimer0

External Clock ................................................... 48Interrupt ............................................................. 47Prescaler ........................................................... 48Prescaler Block Diagram ................................... 47Section .............................................................. 47T0CKI ................................................................ 48

Timer1Asynchronous Counter Mode ............................ 53Capacitor Selection ........................................... 53Operation in Timer Mode ................................... 52Oscillator ............................................................ 53Prescaler ........................................................... 53Resetting of Timer1 Registers ........................... 53Resetting Timer1 using a CCP Trigger Output .. 53Synchronized Counter Mode ............................. 52T1CON .............................................................. 51TMR1H .............................................................. 53TMR1L ............................................................... 53

Timer2Block Diagram ................................................... 55Postscaler .......................................................... 55Prescaler ........................................................... 55T2CON .............................................................. 55

Timing DiagramsA/D Conversion ....................................................... 172Acknowledge Sequence Timing ................................ 85Baud Rate Generator with Clock Arbitration .............. 78BRG Reset Due to SDA Collision .............................. 90Brown-out Reset ...................................................... 162Bus Collision

Start Condition Timing ....................................... 89Bus Collision During a Restart Condition (Case 1) .... 91Bus Collision During a Restart Condition (Case2) ..... 91Bus Collision During a Start Condition (SCL = 0) ...... 90Bus Collision During a Stop Condition ....................... 92Bus Collision for Transmit and Acknowledge ............ 88Capture/Compare/PWM .......................................... 164CLKOUT and I/O ..................................................... 161I2C Bus Data ............................................................ 169I2C Bus Start/Stop bits ............................................. 168I2C Master Mode First Start bit timing ....................... 79I2C Master Mode Reception timing ............................ 84I2C Master Mode Transmission timing ...................... 82Master Mode Transmit Clock Arbitration ................... 87Power-up Timer ....................................................... 162Repeat Start Condition .............................................. 80Reset ....................................................................... 162SPI Master Mode ....................................................... 68SPI Slave Mode (CKE = 1) ........................................ 69SPI Slave Mode Timing (CKE = 0) ............................ 69Start-up Timer .......................................................... 162Stop Condition Receive or Transmit .......................... 86Time-out Sequence on Power-up .................... 129, 130Timer0 ..................................................................... 163Timer1 ..................................................................... 163USART Asynchronous Master Transmission .......... 100USART Asynchronous Reception ........................... 101USART Synchronous Receive ................................ 170USART Synchronous Reception ............................. 107USART Synchronous Transmission ................ 106, 170USART, Asynchronous Reception .......................... 104Wake-up from SLEEP via Interrupt ......................... 135Watchdog Timer ...................................................... 162

1999 Microchip Technology Inc. DS30292B-page 189

Page 242: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

TMR0 ................................................................................. 17TMR0 Register ................................................................... 15TMR1CS bit ........................................................................ 51TMR1H ............................................................................... 17TMR1H Register ................................................................ 15TMR1L ............................................................................... 17TMR1L Register ................................................................. 15TMR1ON bit ....................................................................... 51TMR2 ................................................................................. 17TMR2 Register ................................................................... 15TMR2ON bit ....................................................................... 55TOUTPS0 bit ...................................................................... 55TOUTPS1 bit ...................................................................... 55TOUTPS2 bit ...................................................................... 55TOUTPS3 bit ...................................................................... 55TRISA ................................................................................. 17TRISA Register .................................................................. 16TRISB ................................................................................. 17TRISB Register .................................................................. 16TRISC ................................................................................ 17TRISC Register .................................................................. 16TRISD ................................................................................ 17TRISD Register .................................................................. 16TRISE ................................................................................. 17TRISE Register ............................................................ 16, 36

IBF Bit ........................................................................ 36IBOV Bit ..................................................................... 36OBF Bit ...................................................................... 36PSPMODE Bit ................................................ 35, 36, 38

TXREG ............................................................................... 17TXSTA ................................................................................ 17TXSTA Register ................................................................. 95

BRGH Bit ................................................................... 95CSRC Bit .................................................................... 95SYNC Bit .................................................................... 95TRMT Bit .................................................................... 95TX9 Bit ....................................................................... 95TX9D Bit ..................................................................... 95TXEN Bit .................................................................... 95

UUA ...................................................................................... 64Universal Synchronous Asynchronous Receiver Transmitter (USART)

Asynchronous ReceiverSetting Up Reception ....................................... 103Timing Diagram ................................................ 104

Update Address, UA .......................................................... 64USART ............................................................................... 95

Asynchronous Mode .................................................. 99Receive Block Diagram .................................... 103

Asynchronous Receiver ........................................... 101Asynchronous Reception ......................................... 102Asynchronous Transmitter ......................................... 99Baud Rate Generator (BRG) ...................................... 97

Baud Rate Formula ............................................ 97Baud Rates, Asynchronous Mode (BRGH=0) ... 98High Baud Rate Select (BRGH Bit) .................... 95Sampling ............................................................ 97

Clock Source Select (CSRC Bit) ................................ 95Continuous Receive Enable (CREN Bit) .................... 96Framing Error (FERR Bit) .......................................... 96Mode Select (SYNC Bit) ............................................ 95Overrun Error (OERR Bit) .......................................... 96RC6/TX/CK Pin ........................................................ 7, 8RC7/RX/DT Pin ........................................................ 7, 8

RCSTA Register ........................................................ 96Receive Block Diagram ........................................... 101Receive Data, 9th bit (RX9D Bit) ............................... 96Receive Enable, 9-bit (RX9 Bit) ................................. 96Serial Port Enable (SPEN Bit) ............................. 95, 96Single Receive Enable (SREN Bit) ............................ 96Synchronous Master Mode ...................................... 105Synchronous Master Reception ............................... 107Synchronous Master Transmission ......................... 105Synchronous Slave Mode ........................................ 108Transmit Block Diagram ............................................ 99Transmit Data, 9th Bit (TX9D) ................................... 95Transmit Enable (TXEN Bit) ...................................... 95Transmit Enable, Nine-bit (TX9 Bit) ........................... 95Transmit Shift Register Status (TRMT Bit) ................ 95TXSTA Register ......................................................... 95

WWake-up from SLEEP .............................................. 121, 134

Interrupts ......................................................... 127, 128MCLR Reset ............................................................ 128Timing Diagram ....................................................... 135WDT Reset .............................................................. 128

Watchdog Timer (WDT) ........................................... 121, 133Block Diagram ......................................................... 133Enable (WDTE Bit) .................................................. 133Programming Considerations .................................. 133RC Oscillator ............................................................ 133Time-out Period ....................................................... 133WDT Reset, Normal Operation ................ 125, 127, 128WDT Reset, SLEEP ................................. 125, 127, 128

Waveform for General Call Address Sequence ................. 74WCOL .................................................. 65, 79, 81, 83, 85, 86WCOL Status Flag ............................................................. 79Write Collision Detect bit, WCOL ....................................... 65WWW, On-Line Support ...................................................... 4

DS30292B-page 190 1999 Microchip Technology Inc.

Page 243: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

ON-LINE SUPPORT

Microchip provides on-line support on the MicrochipWorld Wide Web (WWW) site.

The web site is used by Microchip as a means to makefiles and information easily available to customers. Toview the site, the user must have access to the Internetand a web browser, such as Netscape or MicrosoftExplorer. Files are also available for FTP downloadfrom our FTP site.

Connecting to the Microchip Internet Web Site

The Microchip web site is available by using yourfavorite Internet browser to attach to:

www.microchip.com

The file transfer site is available by using an FTP ser-vice to connect to:

ftp://ftp.microchip.com

The web site and file transfer site provide a variety ofservices. Users may download files for the latestDevelopment Tools, Data Sheets, Application Notes,User’s Guides, Articles and Sample Programs. A vari-ety of Microchip specific business information is alsoavailable, including listings of Microchip sales offices,distributors and factory representatives. Other dataavailable for consideration is:

• Latest Microchip Press Releases• Technical Support Section with Frequently Asked

Questions • Design Tips• Device Errata

• Job Postings• Microchip Consultant Program Member Listing• Links to other useful web sites related to

Microchip Products• Conferences for products, Development Sys-

tems, technical information and more• Listing of seminars and events

Systems Information and Upgrade Hot Line

The Systems Information and Upgrade Line providessystem users a listing of the latest versions of all ofMicrochip's development systems software products.Plus, this line provides information on how customerscan receive any currently available upgrade kits.TheHot Line Numbers are:

1-800-755-2345 for U.S. and most of Canada, and

1-480-786-7302 for the rest of the world.

Trademarks: The Microchip name, logo, PIC, PICmicro, PICSTART, PICMASTER, PRO MATE and MPLAB are regis-tered trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. FlexROM and fuzzyLAB are trademarks and SQTP is a service mark of Microchip in the U.S.A. All other trademarks mentioned herein are the property of their respective companies.

981103

1999 Microchip Technology Inc. DS30292B-page 191

Page 244: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

READER RESPONSE

It is our intention to provide you with the best documentation possible to ensure successful use of your Microchip prod-uct. If you wish to provide your comments on organization, clarity, subject matter, and ways in which our documentationcan better serve you, please FAX your comments to the Technical Publications Manager at (480) 786-7578.

Please list the following information, and use this outline to provide us with your comments about this Data Sheet.

1. What are the best features of this document?

2. How does this document meet your hardware and software development needs?

3. Do you find the organization of this data sheet easy to follow? If not, why?

4. What additions to the data sheet do you think would enhance the structure and subject?

5. What deletions from the data sheet could be made without affecting the overall usefulness?

6. Is there any incorrect or misleading information (what and where)?

7. How would you improve this document?

8. How would you improve our software, systems, and silicon products?

To: Technical Publications Manager

RE: Reader Response

Total Pages Sent

From: Name

Company

Address

City / State / ZIP / Country

Telephone: (_______) _________ - _________

Application (optional):

Would you like a reply? Y N

Device: Literature Number:

Questions:

FAX: (______) _________ - _________

DS30292BPIC16F87X

DS30292B-page 192 1999 Microchip Technology Inc.

Page 245: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

PIC16F87X PRODUCT IDENTIFICATION SYSTEM

To order or obtain information, e.g., on pricing or delivery, refer to the factory or the listed sales office.

* JW Devices are UV erasable and can be programmed to any device configuration. JW Devices meet the electrical requirement ofeach oscillator type (including LC devices).

Sales and Support

PART NO. -XX X /XX XXX

PatternPackageTemperatureRange

FrequencyRange

Device

Device PIC16F87X(1), PIC16F87XT(2) ;VDD range 4.0V to 5.5VPIC16LF87X(1), PIC16LF87XT(2 );VDD range 2.0V to 5.5V

Frequency Range 04 = 4 MHz20 = 20 MHz

Temperature Range b(3) = 0°C to 70°C (Commercial)I = -40°C to +85°C (Industrial)

Package PQ = MQFP (Metric PQFP)PT = TQFP (Thin Quad Flatpack)SO = SOICSP = Skinny plastic dipP = PDIP L = PLCC

Pattern QTP, SQTP, Code or Special Requirements (blank otherwise)

Examples:

f) PIC16F877 -20/P 301 = Commercial temp.,PDIP package, 4 MHz, normal VDD limits, QTPpattern #301.

g) PIC16F876 - 04I/SO = Industrial temp., SOICpackage, 200 kHz, Extended VDD limits.

h) PIC16F877 - 04I/P = Industrial temp., PDIPpackage, 10MHz, normal VDD limits.

Note 1: F = CMOS FLASHLF = Low Power CMOS FLASHT = in tape and reel - SOIC, PLCC,

MQFP, TQFP packages only.

Data SheetsProducts supported by a preliminary Data Sheet may have an errata sheet describing minor operational differences and recom-mended workarounds. To determine if an errata sheet exists for a particular device, please contact one of the following:

1. Your local Microchip sales office2. The Microchip Corporate Literature Center U.S. FAX: (480) 786-72773. The Microchip Worldwide Site (www.microchip.com)

Please specify which device, revision of silicon and Data Sheet (include Literature #) you are using.

New Customer Notification SystemRegister on our web site (www.microchip.com/cn) to receive the most current information on our products.

1999 Microchip Technology Inc. DS30292B-page 193

Page 246: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 194 1999 Microchip Technology Inc.

Page 247: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

1999 Microchip Technology Inc. DS30292B-page 195

Page 248: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 196 1999 Microchip Technology Inc.

Page 249: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

1999 Microchip Technology Inc. DS30292B-page 197

Page 250: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

DS30292B-page 198 1999 Microchip Technology Inc.

Page 251: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PIC16F87X

NOTES:

1999 Microchip Technology Inc. DS30292B-page 199

Page 252: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Information contained in this publication regarding device applications and the like is intended for suggestion only and may be superseded by updates. No representation or warranty is given and no liability is assumedby Microchip Technology Incorporated with respect to the accuracy or use of such information, or infringement of patents or other intellectual property rights arising from such use or otherwise. Use of Microchip’s productsas critical components in life support systems is not authorized except with express written approval by Microchip. No licenses are conveyed, implicitly or otherwise, under any intellectual property rights. The Microchiplogo and name are registered trademarks of Microchip Technology Inc. in the U.S.A. and other countries. All rights reserved. All other trademarks mentioned herein are the property of their respective companies.

DS30292B-page 200 1999 Microchip Technology Inc.

All rights reserved. © 1999 Microchip Technology Incorporated. Printed in the USA. 11/99 Printed on recycled paper.

AMERICASCorporate OfficeMicrochip Technology Inc.2355 West Chandler Blvd.Chandler, AZ 85224-6199Tel: 480-786-7200 Fax: 480-786-7277Technical Support: 480-786-7627Web Address: http://www.microchip.com

AtlantaMicrochip Technology Inc.500 Sugar Mill Road, Suite 200BAtlanta, GA 30350Tel: 770-640-0034 Fax: 770-640-0307BostonMicrochip Technology Inc.5 Mount Royal AvenueMarlborough, MA 01752Tel: 508-480-9990 Fax: 508-480-8575ChicagoMicrochip Technology Inc.333 Pierce Road, Suite 180Itasca, IL 60143Tel: 630-285-0071 Fax: 630-285-0075DallasMicrochip Technology Inc.4570 Westgrove Drive, Suite 160Addison, TX 75248Tel: 972-818-7423 Fax: 972-818-2924DaytonMicrochip Technology Inc.Two Prestige Place, Suite 150Miamisburg, OH 45342Tel: 937-291-1654 Fax: 937-291-9175DetroitMicrochip Technology Inc.Tri-Atria Office Building 32255 Northwestern Highway, Suite 190Farmington Hills, MI 48334Tel: 248-538-2250 Fax: 248-538-2260Los AngelesMicrochip Technology Inc.18201 Von Karman, Suite 1090Irvine, CA 92612Tel: 949-263-1888 Fax: 949-263-1338New YorkMicrochip Technology Inc.150 Motor Parkway, Suite 202Hauppauge, NY 11788Tel: 631-273-5305 Fax: 631-273-5335San JoseMicrochip Technology Inc.2107 North First Street, Suite 590San Jose, CA 95131Tel: 408-436-7950 Fax: 408-436-7955

AMERICAS (continued)TorontoMicrochip Technology Inc.5925 Airport Road, Suite 200Mississauga, Ontario L4V 1W1, Canada Tel: 905-405-6279 Fax: 905-405-6253

ASIA/PACIFICHong KongMicrochip Asia PacificUnit 2101, Tower 2Metroplaza223 Hing Fong RoadKwai Fong, N.T., Hong KongTel: 852-2-401-1200 Fax: 852-2-401-3431BeijingMicrochip Technology, Beijing Unit 915, 6 Chaoyangmen Bei Dajie Dong Erhuan Road, Dongcheng District New China Hong Kong Manhattan BuildingBeijing 100027 PRC Tel: 86-10-85282100 Fax: 86-10-85282104IndiaMicrochip Technology Inc.India Liaison OfficeNo. 6, Legacy, Convent RoadBangalore 560 025, IndiaTel: 91-80-229-0061 Fax: 91-80-229-0062JapanMicrochip Technology Intl. Inc.Benex S-1 6F3-18-20, ShinyokohamaKohoku-Ku, Yokohama-shiKanagawa 222-0033 JapanTel: 81-45-471- 6166 Fax: 81-45-471-6122KoreaMicrochip Technology Korea168-1, Youngbo Bldg. 3 FloorSamsung-Dong, Kangnam-KuSeoul, KoreaTel: 82-2-554-7200 Fax: 82-2-558-5934ShanghaiMicrochip Technology RM 406 Shanghai Golden Bridge Bldg.2077 Yan’an Road West, Hong Qiao DistrictShanghai, PRC 200335Tel: 86-21-6275-5700 Fax: 86 21-6275-5060

ASIA/PACIFIC (continued)SingaporeMicrochip Technology Singapore Pte Ltd.200 Middle Road#07-02 Prime CentreSingapore 188980Tel: 65-334-8870 Fax: 65-334-8850Taiwan, R.O.CMicrochip Technology Taiwan10F-1C 207Tung Hua North RoadTaipei, Taiwan, ROCTel: 886-2-2717-7175 Fax: 886-2-2545-0139

EUROPEUnited KingdomArizona Microchip Technology Ltd.505 Eskdale RoadWinnersh TriangleWokingham Berkshire, England RG41 5TUTel: 44 118 921 5858 Fax: 44-118 921-5835DenmarkMicrochip Technology Denmark ApSRegus Business CentreLautrup hoj 1-3Ballerup DK-2750 DenmarkTel: 45 4420 9895 Fax: 45 4420 9910FranceArizona Microchip Technology SARLParc d’Activite du Moulin de Massy43 Rue du Saule TrapuBatiment A - ler Etage91300 Massy, FranceTel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79GermanyArizona Microchip Technology GmbHGustav-Heinemann-Ring 125D-81739 München, GermanyTel: 49-89-627-144 0 Fax: 49-89-627-144-44ItalyArizona Microchip Technology SRLCentro Direzionale Colleoni Palazzo Taurus 1 V. Le Colleoni 120041 Agrate BrianzaMilan, Italy Tel: 39-039-65791-1 Fax: 39-039-6899883

11/15/99

WORLDWIDE SALES AND SERVICE

Microchip received QS-9000 quality system certification for its worldwide headquarters, design and wafer fabrication facilities in Chandler and Tempe, Arizona in July 1999. The Company’s quality system processes and procedures are QS-9000 compliant for its PICmicro® 8-bit MCUs, KEELOQ® code hopping devices, Serial EEPROMs and microperipheral products. In addition, Microchip’s quality system for the design and manufacture of development systems is ISO 9001 certified.

Page 253: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM124A, LM224, LM224ALM324, LM324A, LM324Y, LM2902, LM2902Q

QUADRUPLE OPERATIONAL AMPLIFIERS

SLOS066E– SEPTEMBER 1975 – REVISED FEBRUARY1997

3–1POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

Wide Range of Supply Voltages:Single Suppl y . . . 3 V to 30 V(LM2902 and LM2902Q3 V to 26 V), or Dual Supplies

Low Supply Current Drain Independent ofSupply Voltage . . . 0.8 mA Typ

Common-Mode Input Voltage RangeIncludes Ground Allowing Direct SensingNear Ground

Low Input Bias and Offset Parameters:Input Offset Voltage . . . 3 mV TypA Versions . . . 2 mV TypInput Offset Curren t . . . 2 nA TypInput Bias Curren t . . . 20 nA TypA Versions . . . 15 nA Typ

Differential Input Voltage Range Equal toMaximum-Rated Supply Voltage . . . 32 V (26 V for LM2902 and LM2902Q)

Open-Loop Differential VoltageAmplification . . . 100 V/mV Typ

Internal Frequency Compensation

description

These devices consist of four independenthigh-gain frequency-compensated operationalamplifiers that are designed specifically to operatefrom a single supply over a wide range of voltages.Operation from split supplies is also possiblewhen the difference between the two supplies is3 V to 30 V (for the LM2902 and LM2902Q, 3 V to26 V) and VCC is at least 1.5 V more positive thanthe input common-mode voltage. The low supplycurrent drain is independent of the magnitude ofthe supply voltage.

Applications include transducer amplifiers, dc amplification blocks, and all the conventional operationalamplifier circuits that now can be more easily implemented in single-supply-voltage systems. For example, theLM124 can be operated directly from the standard 5-V supply that is used in digital systems and easily providesthe required interface electronics without requiring additional ±15-V supplies.

The LM2902Q is manufactured to demanding automotive requirements.

The LM124 and LM124A are characterized for operation over the full military temperature range of –55°C to125°C. The LM224 and LM224A are characterized for operation from –25°C to 85°C. The LM324 and LM324Aare characterized for operation from 0°C to 70°C. The LM2902 and LM2902Q are characterized for operationfrom –40°C to 125°C.

Copyright 1997, Texas Instruments IncorporatedPRODUCTION DATA information is current as of publication date.Products conform to specifications per the terms of Texas Instrumentsstandard warranty. Production processing does not necessarily includetesting of all parameters.

+

–IN –

IN +

OUT

1

2

3

4

5

6

7

14

13

12

11

10

9

8

1OUT1IN–1IN+VCC2IN+2IN–

2OUT

4OUT4IN–4IN+GND3IN+3IN–3OUT

LM124, LM124A . . . J OR W PACKAGEALL OTHERS . . . D, DB, N OR PW PACKAGE

(TOP VIEW)

3 2 1 20 19

9 10 11 12 13

4

5

6

7

8

18

17

16

15

14

4IN+NCGNDNC3IN+

1IN+NC

VCCNC

2IN+

LM124, LM124A . . . FK PACKAGE(TOP VIEW)

1IN

–1O

UT

NC

3IN

–4I

N –

2IN

–2O

UT

NC

NC – No internal connection

3OU

T4O

UT

symbol (each amplifier)

Page 254: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM124A, LM224, LM224ALM324, LM324A, LM324Y, LM2902, LM2902QQUADRUPLE OPERATIONAL AMPLIFIERS

SLOS066E– SEPTEMBER 1975 – REVISED FEBRUARY1997

3–2 POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

AVAILABLE OPTIONS

PACKAGED DEVICES

TAVIOmaxAT 25°C

SMALLOUTLINE

(D)†

VERYSMALL

OUTLINE(DB)‡

CHIPCARRIER

(FK)

CERAMICDIP(J)

PLASTICDIP(N)

TSSOP(PW)‡

FLATPACK

(W)

CHIPFORM

(Y)

0°C to 7 mV LM324D LM324DBLE — — LM324N LM324PWLE —LM324Y

70°C 3 mV LM324AD — — — LM324AN LM324APWLE —LM324Y

–25°C to 5 mV LM224D — — — LM224N — —

85°C 3 mV LM224AD — — — LM224AN — ——

–40°C to7 mV

LM2902DLM2902DBLE

— — LM2902NLM2902PWLE

125°C 7 mVLM2902QD

LM2902DBLE— — LM2902QN

LM2902PWLE—

–55°C to 5 mV — — LM124FK LM124J — — LM124W125°C 2 mV — — LM124AFK LM124AJ — —

† The D package is available taped and reeled. Add the suffix R to the device type (e.g., LM324DR).‡ The DB and PW packages are only available left-end taped and reeled.

schematic (each amplifier)

To OtherAmplifiers

≈ 6-µACurrent

Regulator

VCC

OUT

GND

IN –

IN +

≈ 100-µACurrent

Regulator

≈ 50-µACurrent

Regulator

COMPONENT COUNT(total device)

Epi-FET TransistorsDiodesResistorsCapacitors

1954

114

≈ 6-µACurrent

Regulator

Page 255: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM124A, LM224, LM224ALM324, LM324A, LM324Y, LM2902, LM2902Q

QUADRUPLE OPERATIONAL AMPLIFIERS

SLOS066E– SEPTEMBER 1975 – REVISED FEBRUARY1997

3–3POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

LM324Y chip information

This chip, when properly assembled, displays characteristics similar to the LM324. Thermal compression orultrasonic bonding may be used on the doped-aluminum bonding pads. Chips may be mounted with conductiveepoxy or a gold-silicon preform.

BONDING PAD ASSIGNMENTS

1IN+

1IN–

2OUT

1OUT

2IN+

2IN–

VCC+

3IN+

3IN–

4OUT

3OUT

4IN+

4IN–

GND

CHIP THICKNESS: 15 TYPICAL

BONDING PADS: 4 × 4 MINIMUM

TJmax = 150°C

TOLERANCES ARE ± 10%.

ALL DIMENSIONS ARE IN MILS.

PIN (11) IS INTERNALLY CONNECTEDTO BACKSIDE OF CHIP.

(3)

(2)

(7)(10)

(9)

(14)

(1)

(5)

(6)(8)

(12)

(13)

62

65

(1)

(2)

(3)

(4)

(5)

(6)

(7) (8)

(9)

(10)

(11)

(12)

(13)

(14)

(11)

(4)

Page 256: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM124A, LM224, LM224ALM324, LM324A, LM324Y, LM2902, LM2902QQUADRUPLE OPERATIONAL AMPLIFIERS

SLOS066E– SEPTEMBER 1975 – REVISED FEBRUARY1997

3–4 POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

absolute maximum ratings over operating free-air temperature range (unless otherwise noted) †

LM124, LM124ALM224, LM224ALM324, LM324A

LM2902,LM2902Q UNIT

Supply voltage, VCC (see Note 1) 32 26 V

Differential input voltage, VID (see Note 2) ±32 ±26 V

Input voltage, VI (either input) –0.3 to 32 –0.3 to 26 V

Duration of output short circuit (one amplifier) to ground at (or below) TA = 25°C,VCC ≤ 15 V (see Note 3)

unlimited unlimited

Continuous total dissipation See Dissipation Rating Table

LM124, LM124A –55 to 125

Operating free air temperature range TALM224, LM224A –25 to 85

°COperating free-air temperature range, TALM324, LM324A 0 to 70

°C

LM2902, LM2902Q –40 to 125

Storage temperature range –65 to 150 –65 to 150 °C

Case temperature for 60 seconds FK package 260 °C

Lead temperature 1,6 mm (1/16 inch) from case for 60 seconds J or W package 300 300 °C

Lead temperature 1,6 mm (1/16 inch) from case for 10 seconds D, DB, N, or PW package 260 260 °C† Stresses beyond those listed under “absolute maximum ratings” may cause permanent damage to the device. These are stress ratings only, and

functional operation of the device at these or any other conditions beyond those indicated under “recommended operating conditions” is notimplied. Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability.

NOTES: 1. All voltage values (except differential voltages and VCC specified for the measurement of IOS) are with respect to the network GND.2. Differential voltages are at IN + with respect to IN –.3. Short circuits from outputs to VCC can cause excessive heating and eventual destruction.

DISSIPATION RATING TABLE

PACKAGETA ≤ 25°C

POWER RATINGDERATING

FACTORDERATE

ABOVE TA

TA = 70°CPOWER RATING

TA = 85°CPOWER RATING

TA = 125°CPOWER RATING

D 900 mW 7.6 mW/°C 32°C 611 mW 497 mW N/A

DB 775 mW 6.2 mW/°C 25°C 496 mW 403 mW N/A

FK 900 mW 11.0 mW/°C 68°C 878 mW 713 mW 273 mW

J (LM124_) 900 mW 11.0 mW/°C 68°C 878 mW 713 mW 273 mW

J (all others) 900 mW 8.2 mW/°C 40°C 654 mW 531 mW N/A

N 900 mW 9.2 mW/°C 52°C 734 mW 596 mW N/A

PW 700 mW 5.6 mW/°C 25°C 448 mW 364 mW N/A

W 900 mW 8.0 mW/°C 37°C 636 mW 516 mW 196 mW

Page 257: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM

124A, LM224, LM

224ALM

324, LM324A, LM

324Y, LM2902, LM

2902QQ

UADRUPLE OPERATIO

NAL AMPLIFIER

SLO

S066E

– SE

PT

EM

BE

R 1975 – R

EV

ISE

D F

EB

RU

AR

Y 1997

PO

ST

OF

FIC

E B

OX

655303 DA

LLAS

, TE

XA

S 75265

•3–5

electrical characteristics at specified free-air temperature, V CC = 5 V (unless otherwise noted)

PARAMETER TEST CONDITIONS† T ‡LM124, LM224 LM324 LM2902, LM2902Q

UNITPARAMETER TEST CONDITIONS† TA‡MIN TYP§ MAX MIN TYP§ MAX MIN TYP§ MAX

UNIT

VIO Input offset voltageVCC = 5 V to MAX, 25°C 3 5 3 7 3 7

mVVIO Input offset voltage CC ,VIC = VICRmin, VO = 1.4 V Full range 7 9 10

mV

IIO Input offset current VO 1 4 V25°C 2 30 2 50 2 50

nAIIO Input offset current VO = 1.4 VFull range 100 150 300

nA

IIB Input bias current VO 1 4 V25°C – 20 – 150 – 20 – 250 – 20 – 250

nAIIB Input bias current VO = 1.4 VFull range – 300 – 500 – 500

nA

25°C0 to

VCC

0 toVCC

0 toVCC 1

V CCommon-mode input

VCC 5 V to MAX

25°C VCC –1.5

VCC –1.5

VCC – 1.5

VVICR voltage range VCC = 5 V to MAX

Full range0 to

VCC

0 toVCC

0 to

V

Full range VCC –2

VCC –2

VCC – 2

RL = 2 kΩ 25°C VCC –1.5

VCC –1.5

VOH High-level output voltage RL = 10 kΩ 25°C VCC – 1.5 V

VCC = MAX, RL = 2 kΩ Full range 26 26 22

VCC = MAX, RL ≥ 10 kΩ Full range 27 28 27 28 23 24

VOL Low-level output voltage RL ≤ 10 kΩ Full range 5 20 5 20 5 20 mV

AVDLarge-signal differential VCC = 15 V, VO = 1 V to 11 V, 25°C 50 100 25 100 100

V/mVAVDg g

voltage amplificationCC , O ,

RL = ≥ 2 kΩ Full range 25 15 15V/mV

CMRR Common-mode rejection ratio VIC = VICRmin 25°C 70 80 65 80 50 80 dB

kSVRSupply-voltage rejection ratio

25°C 65 100 65 100 50 100 dBkSVRy g j

(∆VCC /∆VIO) 25°C 65 100 65 100 50 100 dB

VO1/ VO2 Crosstalk attenuation f = 1 kHz to 20 kHz 25°C 120 120 120 dB

VCC = 15 V, VID = 1 V, 25°C – 20 – 30 – 60 – 20 – 30 – 60 – 20 – 30 – 60VCC 15 V, VID 1 V,VO = 0 Full range – 10 – 10 – 10

mAIO Output current VCC = 15 V, VID = – 1 V, 25°C 10 20 10 20 10 20

mA

CC , ID ,VO = 15 V Full range 5 5 5

VID = – 1 V, VO = 200 mV 25°C 12 30 12 30 30 µA

IOS Short-circuit output currentVCC at 5 V,GND at – 5 V

VO = 025°C ± 40 ± 60 ± 40 ± 60 ± 40 ± 60 mA

VO = 2.5 V, No load Full range 0.7 1.2 0.7 1.2 0.7 1.2

ICC Supply current (four amplifiers) VCC = MAX,VO = 0.5 VCC, No load Full range 1.4 3 1.4 3 1.4 3

mA

† All characteristics are measured under open-loop conditions with zero common-mode input voltage unless otherwise specified. MAX VCC for testing purposes is 26 V for LM2902and LM2902Q, 30 V for the others.

‡ Full range is –55°C to 125°C for LM124, –25°C to 85°C for LM224, 0°C to 70°C for LM324, and –40°C to 125°C for LM2902 and LM2902Q.§ All typical values are at TA = 25°C.

Page 258: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM

124A, LM224, LM

224ALM

324, LM324A, LM

324Y, LM2902, LM

2902QQ

UADRUPLE OPERATIO

NAL AMPLIFIERS

SLO

S066E

– SE

PT

EM

BE

R 1975 – R

EV

ISE

D F

EB

RU

AR

Y 1997

Template R

elease Date: 7–11–94

3–6P

OS

T O

FF

ICE

BO

X 655303 D

ALLA

S, T

EX

AS

75265•

electrical characteristics at specified free-air temperature, V CC = 5 V (unless otherwise noted)

PARAMETER TEST CONDITIONS† T ‡LM124A LM224A LM324A

UNITPARAMETER TEST CONDITIONS† TA‡MIN TYP§ MAX MIN TYP§ MAX MIN TYP§ MAX

UNIT

V O Input offset voltageVCC = 5 V to 30 V, 25°C 2 2 3 2 3

mVVIO Input offset voltage CC ,VIC = VICRmin, VO = 1.4 V Full range 4 4 5

mV

I O Input offset current VO 1 4 V25°C 10 2 15 2 30

nAIIO Input offset current VO = 1.4 VFull range 30 30 75

nA

I Input bias current VO 1 4 V25°C – 50 – 15 – 80 – 15 – 100

nAIIB Input bias current VO = 1.4 VFull range – 100 – 100 – 200

nA

VICRCommon-mode input

VCC 30 V

25°C0 to

VCC – 1.50 to

VCC – 1.50 to

VCC – 1.5VVICR voltage range

VCC = 30 V

Full range0 to

VCC – 20 to

VCC – 20 to

VCC – 2

V

RL = 2 kΩ 25°C VCC – 1.5 VCC – 1.5 VCC – 1.5

VOH High-level output voltage VCC = 30 V, RL = 2 kΩ Full range 26 26 26 V

VCC = 30 V, RL ≥ 10 kΩ Full range 27 27 28 27 28

VOL Low-level output voltage RL ≤ 10 kΩ Full range 20 5 20 5 20 mV

AVDLarge-signal differentialvoltage amplification

VCC = 15 V, VO = 1 V to 11 V,RL= ≥ 2 kΩ Full range 25 25 15 V/mV

CMRR Common-mode rejection ratio VIC = VICRmin 25°C 70 70 80 65 80 dB

kSVRSupply-voltage rejection ratio(∆VCC /∆VIO)

25°C 65 65 100 65 100 dB

VO1/ VO2 Crosstalk attenuation f = 1 kHz to 20 kHz 25°C 120 120 120 dB

VCC = 15 V, VID = 1 V, 25°C – 20 – 20 – 30 – 60 – 20 – 30 – 60CC , ID ,VO = 0 Full range – 10 – 10 – 10

mAIO Output current VCC = 15 V, VID = – 1 V, 25°C 10 10 20 10 20

mACC , ID ,

VO = 15 V Full range 5 5 5

VID = – 1 V, VO = 200 mV 25°C 12 12 30 12 30 µA

IOS Short-circuit output currentVCC at 5 V, GND at – 5 V,VO = 0

25°C ± 40 ± 60 ± 40 ± 60 ± 40 ± 60 mA

VO = 2.5 V, No load Full range 0.7 1.2 0.7 1.2 0.7 1.2

ICC Supply current (four amplifiers) VCC = 30 V, VO = 15 V,No load

Full range 1.4 3 1.4 3 1.4 3mA

† All characteristics are measured under open-loop conditions with zero common-mode input voltage unless otherwise specified.‡ Full range is –55°C to 125°C for LM124A, –25°C to 85°C for LM224A, and 0°C to 70°C for LM324A.§ All typical values are at TA = 25°C.

Page 259: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM124A, LM224, LM224ALM324, LM324A, LM324Y, LM2902, LM2902Q

QUADRUPLE OPERATIONAL AMPLIFIERS

SLOS066E – SEPTEMBER 1975 – REVISED FEBRUARY 1997

7POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

electrical characteristics, V CC = 5 V, TA = 25°C (unless otherwise noted)

PARAMETER TEST CONDITIONS†LM324Y

UNITPARAMETER TEST CONDITIONS†MIN TYP MAX

UNIT

VIO Input offset voltage 3 7 mV

IIO Input offset current VCC = 5 V to MAX, VIC = VICRmin, VO = 1.4 V 2 50 nA

IIB Input bias current –20 –250 nA

VICRCommon-mode input voltagerange

VCC = 5 V to MAX0 to

VCC–1.5V

VOH High-level output voltage RL = 10 kΩ VCC–1.5 V

VOL Low-level output voltage RL ≤ 10 kΩ 5 20 mV

AVDLarge-signal differentialvoltage amplification

VCC = 15 V, VO = 1 V to 11 V, RL ≥ 2 kΩ 15 100 V/mV

CMRR Common-mode rejection ratio VIC = VICRmin 65 80 dB

kSVRSupply-voltage rejection ratio(∆VCC± /∆VIO)

65 100 dB

VCC = 15 V, VID = 1 V, VO = 0 –20 –30 –60

IO Output current VCC = 15 V, VID = –1 V, VO = 15 V 10 20 mA

VID = 1 V, VO = 200 mV 12 30

IOS Short-circuit output current VCC at 5 V, GND at –5 V, VO = 0 ±40 ±60 mA

ICC Supply current (four amplifiers)VO = 2.5 VCC, No load 0.7 1.2

mAICC Supply current (four amplifiers)VCC = MAX, VO = 0.5 VCC, No load 1.1 3

mA

† All characteristics are measured under open-loop conditions with zero common-mode input voltage unless otherwise specified. MAX VCC fortesting purposes is 30 V.

Page 260: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM124, LM124A, LM224, LM224ALM324, LM324A, LM324Y, LM2902, LM2902QQUADRUPLE OPERATIONAL AMPLIFIERS

SLOS066E – SEPTEMBER 1975 – REVISED FEBRUARY 1997

8 POST OFFICE BOX 655303 • DALLAS, TEXAS 75265

Page 261: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

IMPORTANT NOTICE

Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinueany product or service without notice, and advise customers to obtain the latest version of relevant informationto verify, before placing orders, that information being relied on is current and complete. All products are soldsubject to the terms and conditions of sale supplied at the time of order acknowledgement, including thosepertaining to warranty, patent infringement, and limitation of liability.

TI warrants performance of its semiconductor products to the specifications applicable at the time of sale inaccordance with TI’s standard warranty. Testing and other quality control techniques are utilized to the extentTI deems necessary to support this warranty. Specific testing of all parameters of each device is not necessarilyperformed, except those mandated by government requirements.

CERTAIN APPLICATIONS USING SEMICONDUCTOR PRODUCTS MAY INVOLVE POTENTIAL RISKS OFDEATH, PERSONAL INJURY, OR SEVERE PROPERTY OR ENVIRONMENTAL DAMAGE (“CRITICALAPPLICATIONS”). TI SEMICONDUCTOR PRODUCTS ARE NOT DESIGNED, AUTHORIZED, ORWARRANTED TO BE SUITABLE FOR USE IN LIFE-SUPPORT DEVICES OR SYSTEMS OR OTHERCRITICAL APPLICATIONS. INCLUSION OF TI PRODUCTS IN SUCH APPLICATIONS IS UNDERSTOOD TOBE FULLY AT THE CUSTOMER’S RISK.

In order to minimize risks associated with the customer’s applications, adequate design and operatingsafeguards must be provided by the customer to minimize inherent or procedural hazards.

TI assumes no liability for applications assistance or customer product design. TI does not warrant or representthat any license, either express or implied, is granted under any patent right, copyright, mask work right, or otherintellectual property right of TI covering or relating to any combination, machine, or process in which suchsemiconductor products or services might be or are used. TI’s publication of information regarding any thirdparty’s products or services does not constitute TI’s approval, warranty or endorsement thereof.

Copyright 1999, Texas Instruments Incorporated

Page 262: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

PACKAGE SCHEMATIC

4/30/03

Page 1 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

DESCRIPTION

The MOC301XM and MOC302XM series are optically isolated triac driver devices. These devices contain a GaAs infrared emitting diode and a light activated silicon bilateral switch, which functions like a triac. They are designed for interfacing between electronic controls and power triacs to control resistive and inductive loads for 115 VAC operations.

FEATURES

• Excellent I

FT

stability—IR emitting diode has low degradation• High isolation voltage—minimum 5300 VAC RMS• Underwriters Laboratory (UL) recognized—File #E90700• Peak blocking voltage

– 250V-MOC301XM– 400V-MOC302XM

• VDE recognized (File #94766)– Ordering option V (e.g. MOC3023VM)

APPLICATIONS

• Industrial controls • Solenoid/valve controls• Traffic lights • Static AC power switch• Vending machines • Incandescent lamp dimmers• Solid state relay • Motor control• Lamp ballasts

6

1

6

6

1

1

MAIN TERM.

NC*

N/C

*DO NOT CONNECT(TRIAC SUBSTRATE)

1

2

3

ANODE

CATHODE

4

5

6 MAIN TERM.

Page 263: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 2 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

Note

1. Isolation surge voltage, V

ISO

, is an internal device dielectric breakdown rating. For this test, Pins 1 and 2 are common, and Pins 4, 5 and 6 are common.

ABSOLUTE MAXIMUM RATINGS

(T

A

= 25°C unless otherwise noted)

Parameters Symbol Device Value Units

TOTAL DEVICE

Storage Temperature T

STG

All -40 to +150 °C

Operating Temperature T

OPR

All -40 to +85 °C

Lead Solder Temperature T

SOL

All 260 for 10 sec °C

Junction Temperature Range T

J

All -40 to +100 °C

Isolation Surge Voltage

(1)

(peak AC voltage, 60Hz, 1 sec duration)

V

ISO

All 7500 Vac(pk)

Total Device Power Dissipation @ 25°CP

D

All330 mW

Derate above 25°C 4.4 mW/°C

EMITTER

Continuous Forward Current I

F

All 60 mA

Reverse Voltage V

R

All 3 V

Total Power Dissipation 25°C AmbientP

D

All100 mW

Derate above 25°C 1.33 mW/°C

DETECTOR

Off-State Output Terminal Voltage V

DRM

MOC3010M/1M/2MMOC3020M/1M/2M/3M

250400

V

Peak Repetitive Surge Current (PW = 1 ms, 120 pps) I

TSM

All 1 A

Total Power Dissipation @ 25°C AmbientP

D

All300 mW

Derate above 25°C 4 mW/°C

Page 264: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 3 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

Note

1. Test voltage must be applied within dv/dt rating.

2. This is static dv/dt. See Figure 5 for test circuit. Commutating dv/dt is a function of the load-driving thyristor(s) only.

3. All devices are guaranteed to trigger at an I

F

value less than or equal to max I

FT

. Therefore, recommended operating I

F

lies between max I

FT

(30 mA for MOC3020M, 15 mA for MOC3010M and MOC3021M, 10 mA for MOC3011M and MOC3022M, 5 mA for MOC3012M and MOC3023M) and absolute max I

F

(60 mA).

ELECTRICAL CHARACTERISTICS

(T

A

= 25°C Unless otherwise specified)

INDIVIDUAL COMPONENT CHARACTERISTICS

Parameters Test Conditions Symbol Device Min Typ Max Units

EMITTER

Input Forward Voltage I

F

= 10 mA V

F

All 1.15 1.5 V

Reverse Leakage Current V

R

= 3 V, T

A

= 25°C I

R

All 0.01 100 µA

DETECTOR

Peak Blocking Current,Either Direction Rated V

DRM

, I

F

= 0 (note 1) I

DRM

All 10 100 nA

Peak On-State Voltage,Either Direction I

TM

= 100 mA peak, I

F

= 0 V

TM

All 1.8 3 V

TRANSFER CHARACTERISTICS

(T

A

= 25°C Unless otherwise specified.)

DC Characteristics Test Conditions Symbol Device Min Typ Max Units

LED Trigger Current Voltage = 3V (note 3) I

FT

MOC3020M 30

mA

MOC3010M15

MOC3021M

MOC3011M10

MOC3022M

MOC3012M5

MOC3023M

Holding Current, Either Direction I

H

All 100 µA

Page 265: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 4 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

AMBIENT TEMPERATURE - TA (oC)

-40 -20 0 20 40 60 80 100

TR

IGG

ER

CU

RR

EN

T -

IF

T (

NO

RM

ALI

ZE

D)

0.6

0.7

0.8

0.9

1.0

1.1

1.2

1.3

1.4

IF - LED FORWARD CURRENT (mA)

1 10 100

VF -

FO

RW

AR

D V

OLT

AG

E (

V)

1.0

1.1

1.2

1.3

1.4

1.5

1.6

1.7

1.8

TA = -55oC

TA = 25oC

TA = 100oC

ON-STATE VOLTAGE - V TM (V)

-3 -2 -1 0 1 2 3O

N-S

TAT

E C

UR

RE

NT

- I

TM (

mA

)-800

-600

-400

-200

0

200

400

600

800

TA, AMBIENT TEMPERATURE ( oC)

-40 -20 0 20 40 60 80 100

I DR

M, L

EA

KA

GE

CU

RR

EN

T (

nA)

0.1

1

10

100

1000

10000

NORMALIZED TO TA = 25∞C

Fig. 1 LED Forward Voltage vs. Forward Current

Fig. 3 Trigger Current vs. Ambient Temperature

LED TRIGGER WIDTH - PWin (µs)

1 2 5 10 20 50 100

TR

IGG

ER

CU

RR

EN

T -

IF

T (

NO

RM

ALI

ZE

D)

0

5

10

15

20

25

NORMALIZED TO:PWin ≥ 100 µs

Fig. 4 LED Current Required to Trigger vs. LED Pulse Width

Fig. 6 Leakage Current, IDRM vs. Temperature

Fig. 2 On-State Characteristics

Ambient Temperature - TA (oC)

25 30 40 6050 70 80 90 100

STA

TIC

- d

v/dt

(V

/µs)

0

2

4

6

8

10

12

STATIC dv/dtCIRCUIT IN FIGURE 5

Fig. 5 dv/dt vs. Temperature

Page 266: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 5 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

1. The mercury wetted relay provides a high speed repeated pulse to the D.U.T.

2. 100x scope probes are used, to allow high speeds and voltages.

3. The worst-case condition for static dv/dt is established by triggering the D.U.T. with a normal LED input current, then removing the current. The variable R

TEST

allows the dv/dt to be gradually increased until the D.U.T. continues to trigger in response to the applied voltage pulse, even after the LED current has been removed. The dv/dt is then decreased until the D.U.T. stops triggering.

τ

RC

is measured at this point and recorded.

Note: This optoisolator should not be used to drive a load directly. It is intended to be a trigger device only.

Vdc

400V (MOC302X)250V (MOC301X)

R = 10 kΩ

CTEST

X100SCOPEPROBE

PULSEINPUT MERCURY

WETTEDRELAY

RTEST

D.U.T.D.U.T.

Figure 5. Static dv/dt Test Circuit

252 V (MOC302X)158 V (MOC301X)

0 VOLTS

APPLIED VOLTAGEWAVEFORM

Vmax =

dv/dt = 0.63 Vmax =

252

τRC

τRC τRC

400 V (MOC302X)= 250 V (MOC301X)

(MOC302X)

= 158τRC

(MOC301X)

Figure 6. Resistive Load

Figure 7. Inductive Load with Sensitive Gate Triac (IGT ≤ 15 mA)

VCC

Rin 1

2

3

6

5

4

0.1 µF

180

C1

2.4k

ZL

120 V60 HzMOC3010M

MOC3011MMOC3012M

VCC

Rin 1

2

3

6

5

4

120 V60 Hz

180

RL

MOC3010MMOC3011MMOC3012M

Page 267: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 6 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

Figure 9. Typical Application Circuit

VCC

Rin 1

2

3

6

5

4

0.05 µF

0.01 µF

LOAD

360

39

470

240VAC

HOT

GROUND

MOC3020MMOC3021MMOC3022MMOC3023M

Figure 8. Inductive Load with Sensitive Gate Triac (IGT ≤ 15 mA)

RinVCC

1

2

3

6

5

4

0.2 µF

180

C1

1.2 k

ZL

120 V60 HzMOC3010M

MOC3011MMOC3012M

In this circuit the “hot” side of the line is switched and the load connected to the cold or ground side.

The 39 ohm resistor and 0.01µF capacitor are for snubbing of the triac, and the 470 ohm resistor and 0.05 µF capacitor are for snubbing the coupler. These components may or may not be necessary depending upon the particular and load used.

Page 268: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 7 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

NOTE

All dimensions are in inches (millimeters)

Package Dimensions (Through Hole) Package Dimensions (Surface Mount)

Package Dimensions (0.4” Lead Spacing) Recommended Pad Layout forSurface Mount Leadform

0.350 (8.89)0.320 (8.13)

0.260 (6.60)0.240 (6.10)

0.320 (8.13)

0.070 (1.77)0.040 (1.02)

0.014 (0.36)0.010 (0.25)

0.200 (5.08)0.115 (2.93)

0.100 (2.54)0.015 (0.38)

0.020 (0.50)0.016 (0.41) 0.100 (2.54)

15°

0.012 (0.30)

0.350 (8.89)0.320 (8.13)

0.260 (6.60)0.240 (6.10)

0.390 (9.90)0.332 (8.43)

0.070 (1.77)0.040 (1.02)

0.014 (0.36)0.010 (0.25)

0.320 (8.13)

0.035 (0.88)0.006 (0.16)

0.012 (0.30)0.008 (0.20)

0.200 (5.08)0.115 (2.93)

0.025 (0.63)0.020 (0.51)

0.020 (0.50)0.016 (0.41)

0.100 [2.54]

0.350 (8.89)0.320 (8.13)

0.260 (6.60)0.240 (6.10)

0.070 (1.77)0.040 (1.02)

0.014 (0.36)0.010 (0.25)

0.200 (5.08)0.115 (2.93)

0.020 (0.50)0.016 (0.41)

0.100 [2.54]

0.100 (2.54)0.015 (0.38)

0.012 (0.30)0.008 (0.21)

0.425 (10.80)0.400 (10.16)

0.070 (1.78)

0.060 (1.52)

0.030 (0.76)

0.100 (2.54)

0.305 (7.75)

0.425 (10.79)

Page 269: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 8 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

ORDERING INFORMATION

MARKING INFORMATION

Option Order Entry Identifier Description

S S Surface Mount Lead Bend

SR2 SR2 Surface Mount; Tape and reel

T T 0.4" Lead Spacing

V V VDE 0884

TV TV VDE 0884, 0.4" Lead Spacing

SV SV VDE 0884, Surface Mount

SR2V SR2V VDE 0884, Surface Mount, Tape & Reel

MOC3010

V X YY Q

1

2

6

43 5

*Note – Parts that do not have the ‘V’ option (see definition 3 above) that are marked with date code ‘325’ or earlier are marked in portrait format.

Definitions

1 Fairchild logo

2 Device number

3VDE mark (Note: Only appears on parts ordered with VDE option – See order entry table)

4 One digit year code, e.g., ‘3’

5 Two digit work week ranging from ‘01’ to ‘53’

6 Assembly package code

Page 270: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

4/30/03

Page 9 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

NOTE

All dimensions are in inches (millimeters)

Reflow Profile (White Package, -M Suffix)

Carrier Tape Specifications

4.0 ± 0.1

Ø1.5 MIN

User Direction of Feed

2.0 ± 0.05

1.75 ± 0.10

11.5 ± 1.0

24.0 ± 0.3

12.0 ± 0.1

0.30 ± 0.05

21.0 ± 0.1

4.5 ± 0.20

0.1 MAX 10.1 ± 0.20

9.1 ± 0.20

Ø1.5 ± 0.1/-0

Ramp up = 2–10°C/sec • Peak reflow temperature: 245°C (package surface temperature) • Time of temperature higher than 183°C for 120–180 seconds • One time soldering reflow is recommended

230°C, 10–30 s

Time (Minute)

0

300

250

200

150

100

50

00.5 1 1.5 2 2.5 3 3.5 4 4.5

Tem

per

atu

re (

°C)

Time above 183°C, 120–180 sec

245°C peak

Page 271: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LIFE SUPPORT POLICY

FAIRCHILD’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORT DEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF THE PRESIDENT OF FAIRCHILD SEMICONDUCTOR CORPORATION. As used herein:

1. Life support devices or systems are devices or systemswhich, (a) are intended for surgical implant into the body, or(b) support or sustain life, and (c) whose failure to performwhen properly used in accordance with instructions for useprovided in the labeling, can be reasonably expected toresult in a significant injury of the user.

2. A critical component in any component of a life supportdevice or system whose failure to perform can bereasonably expected to cause the failure of the life supportdevice or system, or to affect its safety or effectiveness.

DISCLAIMER

FAIRCHILD SEMICONDUCTOR RESERVES THE RIGHT TO MAKE CHANGES WITHOUT FURTHER NOTICE TO ANY PRODUCTS HEREIN TO IMPROVE RELIABILITY, FUNCTION OR DESIGN. FAIRCHILD DOES NOT ASSUME ANY LIABILITY ARISING OUT OF THE APPLICATION OR USE OF ANY PRODUCT OR CIRCUIT DESCRIBED HEREIN; NEITHER DOES IT CONVEY ANY LICENSE UNDER ITS PATENT RIGHTS, NOR THE RIGHTS OF OTHERS.

4/30/03

Page 10 of 10

© 2003 Fairchild Semiconductor Corporation

6-PIN DIP RANDOM-PHASEOPTOISOLATORS TRIAC DRIVER OUTPUT

(250/400 VOLT PEAK)

MOC3010M MOC3011M MOC3012M MOC3020M MOC3021M MOC3022M MOC3023M

Page 272: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

LM35Precision Centigrade Temperature SensorsGeneral DescriptionThe LM35 series are precision integrated-circuit temperaturesensors, whose output voltage is linearly proportional to theCelsius (Centigrade) temperature. The LM35 thus has anadvantage over linear temperature sensors calibrated in˚ Kelvin, as the user is not required to subtract a largeconstant voltage from its output to obtain convenient Centi-grade scaling. The LM35 does not require any externalcalibration or trimming to provide typical accuracies of ±1⁄4˚Cat room temperature and ±3⁄4˚C over a full −55 to +150˚Ctemperature range. Low cost is assured by trimming andcalibration at the wafer level. The LM35’s low output imped-ance, linear output, and precise inherent calibration makeinterfacing to readout or control circuitry especially easy. Itcan be used with single power supplies, or with plus andminus supplies. As it draws only 60 µA from its supply, it hasvery low self-heating, less than 0.1˚C in still air. The LM35 israted to operate over a −55˚ to +150˚C temperature range,while the LM35C is rated for a −40˚ to +110˚C range (−10˚with improved accuracy). The LM35 series is available pack-

aged in hermetic TO-46 transistor packages, while theLM35C, LM35CA, and LM35D are also available in theplastic TO-92 transistor package. The LM35D is also avail-able in an 8-lead surface mount small outline package and aplastic TO-220 package.

Featuresn Calibrated directly in ˚ Celsius (Centigrade)n Linear + 10.0 mV/˚C scale factorn 0.5˚C accuracy guaranteeable (at +25˚C)n Rated for full −55˚ to +150˚C rangen Suitable for remote applicationsn Low cost due to wafer-level trimmingn Operates from 4 to 30 voltsn Less than 60 µA current drainn Low self-heating, 0.08˚C in still airn Nonlinearity only ±1⁄4˚C typicaln Low impedance output, 0.1 Ω for 1 mA load

Typical Applications

DS005516-3

FIGURE 1. Basic Centigrade Temperature Sensor(+2˚C to +150˚C)

DS005516-4

Choose R1 = −VS/50 µAV OUT=+1,500 mV at +150˚C

= +250 mV at +25˚C= −550 mV at −55˚C

FIGURE 2. Full-Range Centigrade Temperature Sensor

November 2000LM

35P

recisionC

entigradeTem

peratureS

ensors

© 2000 National Semiconductor Corporation DS005516 www.national.com

Page 273: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Connection Diagrams

TO-46Metal Can Package*

DS005516-1

*Case is connected to negative pin (GND)

Order Number LM35H, LM35AH, LM35CH, LM35CAH orLM35DH

See NS Package Number H03H

TO-92Plastic Package

DS005516-2

Order Number LM35CZ,LM35CAZ or LM35DZ

See NS Package Number Z03A

SO-8Small Outline Molded Package

DS005516-21

N.C. = No Connection

Top ViewOrder Number LM35DM

See NS Package Number M08A

TO-220Plastic Package*

DS005516-24

*Tab is connected to the negative pin (GND).Note: The LM35DT pinout is different than the discontinued LM35DP.

Order Number LM35DTSee NS Package Number TA03F

LM35

www.national.com 2

Page 274: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Absolute Maximum Ratings (Note 10)

If Military/Aerospace specified devices are required,please contact the National Semiconductor Sales Office/Distributors for availability and specifications.

Supply Voltage +35V to −0.2VOutput Voltage +6V to −1.0VOutput Current 10 mAStorage Temp.;

TO-46 Package, −60˚C to +180˚CTO-92 Package, −60˚C to +150˚CSO-8 Package, −65˚C to +150˚CTO-220 Package, −65˚C to +150˚C

Lead Temp.:TO-46 Package,

(Soldering, 10 seconds) 300˚C

TO-92 and TO-220 Package,(Soldering, 10 seconds) 260˚C

SO Package (Note 12)Vapor Phase (60 seconds) 215˚CInfrared (15 seconds) 220˚C

ESD Susceptibility (Note 11) 2500VSpecified Operating Temperature Range: TMIN to T MAX(Note 2)

LM35, LM35A −55˚C to +150˚CLM35C, LM35CA −40˚C to +110˚CLM35D 0˚C to +100˚C

Electrical Characteristics(Notes 1, 6)

LM35A LM35CA

Parameter Conditions Tested Design Tested Design Units

Typical Limit Limit Typical Limit Limit (Max.)

(Note 4) (Note 5) (Note 4) (Note 5)

Accuracy T A=+25˚C ±0.2 ±0.5 ±0.2 ±0.5 ˚C

(Note 7) T A=−10˚C ±0.3 ±0.3 ±1.0 ˚C

T A=TMAX ±0.4 ±1.0 ±0.4 ±1.0 ˚C

T A=TMIN ±0.4 ±1.0 ±0.4 ±1.5 ˚C

Nonlinearity T MIN≤TA≤TMAX ±0.18 ±0.35 ±0.15 ±0.3 ˚C

(Note 8)

Sensor Gain T MIN≤TA≤TMAX +10.0 +9.9, +10.0 +9.9, mV/˚C

(Average Slope) +10.1 +10.1

Load Regulation T A=+25˚C ±0.4 ±1.0 ±0.4 ±1.0 mV/mA

(Note 3) 0≤IL≤1 mA T MIN≤TA≤TMAX ±0.5 ±3.0 ±0.5 ±3.0 mV/mA

Line Regulation T A=+25˚C ±0.01 ±0.05 ±0.01 ±0.05 mV/V

(Note 3) 4V≤V S≤30V ±0.02 ±0.1 ±0.02 ±0.1 mV/V

Quiescent Current V S=+5V, +25˚C 56 67 56 67 µA

(Note 9) V S=+5V 105 131 91 114 µA

V S=+30V, +25˚C 56.2 68 56.2 68 µA

V S=+30V 105.5 133 91.5 116 µA

Change of 4V≤VS≤30V, +25˚C 0.2 1.0 0.2 1.0 µA

Quiescent Current 4V≤V S≤30V 0.5 2.0 0.5 2.0 µA

(Note 3)

Temperature +0.39 +0.5 +0.39 +0.5 µA/˚C

Coefficient of

Quiescent Current

Minimum Temperature In circuit of +1.5 +2.0 +1.5 +2.0 ˚C

for Rated Accuracy Figure 1, IL=0

Long Term Stability T J=TMAX, for ±0.08 ±0.08 ˚C

1000 hours

LM35

www.national.com3

Page 275: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Electrical Characteristics(Notes 1, 6)

LM35 LM35C, LM35D

Parameter Conditions Tested Design Tested Design Units

Typical Limit Limit Typical Limit Limit (Max.)

(Note 4) (Note 5) (Note 4) (Note 5)

Accuracy, T A=+25˚C ±0.4 ±1.0 ±0.4 ±1.0 ˚C

LM35, LM35C T A=−10˚C ±0.5 ±0.5 ±1.5 ˚C

(Note 7) T A=TMAX ±0.8 ±1.5 ±0.8 ±1.5 ˚C

T A=TMIN ±0.8 ±1.5 ±0.8 ±2.0 ˚C

Accuracy, LM35D(Note 7)

T A=+25˚C ±0.6 ±1.5 ˚C

TA=TMAX ±0.9 ±2.0 ˚C

TA=TMIN ±0.9 ±2.0 ˚C

Nonlinearity T MIN≤TA≤TMAX ±0.3 ±0.5 ±0.2 ±0.5 ˚C

(Note 8)

Sensor Gain T MIN≤TA≤TMAX +10.0 +9.8, +10.0 +9.8, mV/˚C

(Average Slope) +10.2 +10.2

Load Regulation T A=+25˚C ±0.4 ±2.0 ±0.4 ±2.0 mV/mA

(Note 3) 0≤IL≤1 mA T MIN≤TA≤TMAX ±0.5 ±5.0 ±0.5 ±5.0 mV/mA

Line Regulation T A=+25˚C ±0.01 ±0.1 ±0.01 ±0.1 mV/V

(Note 3) 4V≤V S≤30V ±0.02 ±0.2 ±0.02 ±0.2 mV/V

Quiescent Current V S=+5V, +25˚C 56 80 56 80 µA

(Note 9) V S=+5V 105 158 91 138 µA

V S=+30V, +25˚C 56.2 82 56.2 82 µA

V S=+30V 105.5 161 91.5 141 µA

Change of 4V≤VS≤30V, +25˚C 0.2 2.0 0.2 2.0 µA

Quiescent Current 4V≤V S≤30V 0.5 3.0 0.5 3.0 µA

(Note 3)

Temperature +0.39 +0.7 +0.39 +0.7 µA/˚C

Coefficient of

Quiescent Current

Minimum Temperature In circuit of +1.5 +2.0 +1.5 +2.0 ˚C

for Rated Accuracy Figure 1, IL=0

Long Term Stability T J=TMAX, for ±0.08 ±0.08 ˚C

1000 hours

Note 1: Unless otherwise noted, these specifications apply: −55˚C≤TJ≤+150˚C for the LM35 and LM35A; −40˚≤TJ≤+110˚C for the LM35C and LM35CA; and0˚≤TJ≤+100˚C for the LM35D. VS=+5Vdc and ILOAD=50 µA, in the circuit of Figure 2. These specifications also apply from +2˚C to TMAX in the circuit of Figure 1.Specifications in boldface apply over the full rated temperature range.

Note 2: Thermal resistance of the TO-46 package is 400˚C/W, junction to ambient, and 24˚C/W junction to case. Thermal resistance of the TO-92 package is180˚C/W junction to ambient. Thermal resistance of the small outline molded package is 220˚C/W junction to ambient. Thermal resistance of the TO-220 packageis 90˚C/W junction to ambient. For additional thermal resistance information see table in the Applications section.

Note 3: Regulation is measured at constant junction temperature, using pulse testing with a low duty cycle. Changes in output due to heating effects can becomputed by multiplying the internal dissipation by the thermal resistance.

Note 4: Tested Limits are guaranteed and 100% tested in production.

Note 5: Design Limits are guaranteed (but not 100% production tested) over the indicated temperature and supply voltage ranges. These limits are not used tocalculate outgoing quality levels.

Note 6: Specifications in boldface apply over the full rated temperature range.

Note 7: Accuracy is defined as the error between the output voltage and 10mv/˚C times the device’s case temperature, at specified conditions of voltage, current,and temperature (expressed in ˚C).

Note 8: Nonlinearity is defined as the deviation of the output-voltage-versus-temperature curve from the best-fit straight line, over the device’s rated temperaturerange.

Note 9: Quiescent current is defined in the circuit of Figure 1.

Note 10: Absolute Maximum Ratings indicate limits beyond which damage to the device may occur. DC and AC electrical specifications do not apply when operatingthe device beyond its rated operating conditions. See Note 1.

Note 11: Human body model, 100 pF discharged through a 1.5 kΩ resistor.

Note 12: See AN-450 “Surface Mounting Methods and Their Effect on Product Reliability” or the section titled “Surface Mount” found in a current NationalSemiconductor Linear Data Book for other methods of soldering surface mount devices.

LM35

www.national.com 4

Page 276: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Typical Performance Characteristics

Thermal ResistanceJunction to Air

DS005516-25

Thermal Time Constant

DS005516-26

Thermal Responsein Still Air

DS005516-27

Thermal Response inStirred Oil Bath

DS005516-28

Minimum SupplyVoltage vs. Temperature

DS005516-29

Quiescent Currentvs. Temperature(In Circuit of Figure 1.)

DS005516-30

Quiescent Currentvs. Temperature(In Circuit of Figure 2.)

DS005516-31

Accuracy vs. Temperature(Guaranteed)

DS005516-32

Accuracy vs. Temperature(Guaranteed)

DS005516-33

LM35

www.national.com5

Page 277: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Typical Performance Characteristics (Continued)

ApplicationsThe LM35 can be applied easily in the same way as otherintegrated-circuit temperature sensors. It can be glued orcemented to a surface and its temperature will be withinabout 0.01˚C of the surface temperature.

This presumes that the ambient air temperature is almost thesame as the surface temperature; if the air temperature weremuch higher or lower than the surface temperature, theactual temperature of the LM35 die would be at an interme-diate temperature between the surface temperature and theair temperature. This is expecially true for the TO-92 plasticpackage, where the copper leads are the principal thermalpath to carry heat into the device, so its temperature mightbe closer to the air temperature than to the surface tempera-ture.

To minimize this problem, be sure that the wiring to theLM35, as it leaves the device, is held at the same tempera-ture as the surface of interest. The easiest way to do this isto cover up these wires with a bead of epoxy which willinsure that the leads and wires are all at the same tempera-ture as the surface, and that the LM35 die’s temperature willnot be affected by the air temperature.

The TO-46 metal package can also be soldered to a metalsurface or pipe without damage. Of course, in that case theV− terminal of the circuit will be grounded to that metal.Alternatively, the LM35 can be mounted inside a sealed-endmetal tube, and can then be dipped into a bath or screwedinto a threaded hole in a tank. As with any IC, the LM35 andaccompanying wiring and circuits must be kept insulated anddry, to avoid leakage and corrosion. This is especially true ifthe circuit may operate at cold temperatures where conden-sation can occur. Printed-circuit coatings and varnishes suchas Humiseal and epoxy paints or dips are often used toinsure that moisture cannot corrode the LM35 or its connec-tions.

These devices are sometimes soldered to a smalllight-weight heat fin, to decrease the thermal time constantand speed up the response in slowly-moving air. On theother hand, a small thermal mass may be added to thesensor, to give the steadiest reading despite small deviationsin the air temperature.

Temperature Rise of LM35 Due To Self-heating (Thermal Resistance, θJA)TO-46, TO-46*, TO-92, TO-92**, SO-8 SO-8** TO-220

no heatsink

small heat fin no heatsink

small heat fin no heatsink

small heat fin no heatsink

Still air 400˚C/W 100˚C/W 180˚C/W 140˚C/W 220˚C/W 110˚C/W 90˚C/W

Moving air 100˚C/W 40˚C/W 90˚C/W 70˚C/W 105˚C/W 90˚C/W 26˚C/W

Still oil 100˚C/W 40˚C/W 90˚C/W 70˚C/W

Stirred oil 50˚C/W 30˚C/W 45˚C/W 40˚C/W

(Clamped to metal,

Infinite heat sink) (24˚C/W) (55˚C/W)

*Wakefield type 201, or 1" disc of 0.020" sheet brass, soldered to case, or similar.**TO-92 and SO-8 packages glued and leads soldered to 1" square of 1/16" printed circuit board with 2 oz. foil or similar.

Noise Voltage

DS005516-34

Start-Up Response

DS005516-35

LM35

www.national.com 6

Page 278: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Typical Applications

CAPACITIVE LOADS

Like most micropower circuits, the LM35 has a limited abilityto drive heavy capacitive loads. The LM35 by itself is able todrive 50 pf without special precautions. If heavier loads areanticipated, it is easy to isolate or decouple the load with aresistor; see Figure 3. Or you can improve the tolerance ofcapacitance with a series R-C damper from output toground; see Figure 4.

When the LM35 is applied with a 200Ω load resistor asshown in Figure 5, Figure 6 or Figure 8 it is relatively immuneto wiring capacitance because the capacitance forms a by-pass from ground to input, not on the output. However, aswith any linear circuit connected to wires in a hostile envi-ronment, its performance can be affected adversely by in-tense electromagnetic sources such as relays, radio trans-mitters, motors with arcing brushes, SCR transients, etc, asits wiring can act as a receiving antenna and its internaljunctions can act as rectifiers. For best results in such cases,a bypass capacitor from VIN to ground and a series R-Cdamper such as 75Ω in series with 0.2 or 1 µF from output toground are often useful. These are shown in Figure 13,Figure 14, and Figure 16.

DS005516-19

FIGURE 3. LM35 with Decoupling from Capacitive Load

DS005516-20

FIGURE 4. LM35 with R-C Damper

DS005516-5

FIGURE 5. Two-Wire Remote Temperature Sensor(Grounded Sensor)

DS005516-6

FIGURE 6. Two-Wire Remote Temperature Sensor(Output Referred to Ground)

DS005516-7

FIGURE 7. Temperature Sensor, Single Supply, −55˚ to+150˚C

DS005516-8

FIGURE 8. Two-Wire Remote Temperature Sensor(Output Referred to Ground)

DS005516-9

FIGURE 9. 4-To-20 mA Current Source (0˚C to +100˚C)

LM35

www.national.com7

Page 279: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Typical Applications (Continued)

DS005516-10

FIGURE 10. Fahrenheit Thermometer

DS005516-11

FIGURE 11. Centigrade Thermometer (Analog Meter)

DS005516-12

FIGURE 12. Fahrenheit ThermometerExpanded ScaleThermometer

(50˚ to 80˚ Fahrenheit, for Example Shown)

DS005516-13

FIGURE 13. Temperature To Digital Converter (Serial Output) (+128˚C Full Scale)

DS005516-14

FIGURE 14. Temperature To Digital Converter (Parallel TRI-STATE ™ Outputs forStandard Data Bus to µP Interface) (128˚C Full Scale)

LM35

www.national.com 8

Page 280: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Typical Applications (Continued)

DS005516-16

*=1% or 2% film resistorTrim RB for VB=3.075VTrim RC for VC=1.955VTrim RA for VA=0.075V + 100mV/˚C x TambientExample, VA=2.275V at 22˚C

FIGURE 15. Bar-Graph Temperature Display (Dot Mode)

DS005516-15

FIGURE 16. LM35 With Voltage-To-Frequency Converter And Isolated Output(2˚C to +150˚C; 20 Hz to 1500 Hz)

LM35

www.national.com9

Page 281: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Block Diagram

DS005516-23

LM35

www.national.com 10

Page 282: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Physical Dimensions inches (millimeters) unless otherwise noted

TO-46 Metal Can Package (H)Order Number LM35H, LM35AH, LM35CH,

LM35CAH, or LM35DHNS Package Number H03H

SO-8 Molded Small Outline Package (M)Order Number LM35DM

NS Package Number M08A

LM35

www.national.com11

Page 283: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Physical Dimensions inches (millimeters) unless otherwise noted (Continued)

Power Package TO-220 (T)Order Number LM35DT

NS Package Number TA03F

LM35

www.national.com 12

Page 284: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Physical Dimensions inches (millimeters) unless otherwise noted (Continued)

LIFE SUPPORT POLICY

NATIONAL’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORTDEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF THE PRESIDENT AND GENERALCOUNSEL OF NATIONAL SEMICONDUCTOR CORPORATION. As used herein:

1. Life support devices or systems are devices orsystems which, (a) are intended for surgical implantinto the body, or (b) support or sustain life, andwhose failure to perform when properly used inaccordance with instructions for use provided in thelabeling, can be reasonably expected to result in asignificant injury to the user.

2. A critical component is any component of a lifesupport device or system whose failure to performcan be reasonably expected to cause the failure ofthe life support device or system, or to affect itssafety or effectiveness.

National SemiconductorCorporationAmericasTel: 1-800-272-9959Fax: 1-800-737-7018Email: [email protected]

National SemiconductorEurope

Fax: +49 (0) 180-530 85 86Email: [email protected]

Deutsch Tel: +49 (0) 69 9508 6208English Tel: +44 (0) 870 24 0 2171Français Tel: +33 (0) 1 41 91 8790

National SemiconductorAsia Pacific CustomerResponse GroupTel: 65-2544466Fax: 65-2504466Email: [email protected]

National SemiconductorJapan Ltd.Tel: 81-3-5639-7560Fax: 81-3-5639-7507

www.national.com

TO-92 Plastic Package (Z)Order Number LM35CZ, LM35CAZ or LM35DZ

NS Package Number Z03A

LM35

Precision

Centigrade

Temperature

Sensors

National does not assume any responsibility for use of any circuitry described, no circuit patent licenses are implied and National reserves the right at any time without notice to change said circuitry and specifications.

Page 285: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

CB E

TO-92

C

B

E

BC

C

SOT-223

E

NPN General Purpose AmplifierThis device is designed as a general purpose amplifier and switch.The useful dynamic range extends to 100 mA as a switch and to100 MHz as an amplifier.

Absolute Maximum Ratings* TA = 25°C unless otherwise noted

*These ratings are limiting values above which the serviceability of any semiconductor device may be impaired.

NOTES:1) These ratings are based on a maximum junction temperature of 150 degrees C.2) These are steady state limits. The factory should be consulted on applications involving pulsed or low duty cycle operations.

Symbol Parameter Value UnitsVCEO Collector-Emitter Voltage 40 VVCBO Collector-Base Voltage 60 VVEBO Emitter-Base Voltage 6.0 VIC Collector Current - Continuous 200 mATJ, Tstg Operating and Storage Junction Temperature Range -55 to +150 °C

2001 Fairchild Semiconductor Corporation

Thermal Characteristics TA = 25°C unless otherwise noted

Symbol Characteristic Max Units2N3904 *MMBT3904 **PZT3904

PD Total Device DissipationDerate above 25°C

6255.0

3502.8

1,0008.0

mWmW/°C

RθJC Thermal Resistance, Junction to Case 83.3 °C/WRθJA Thermal Resistance, Junction to Ambient 200 357 125 °C/W

*Device mounted on FR-4 PCB 1.6" X 1.6" X 0.06."

**Device mounted on FR-4 PCB 36 mm X 18 mm X 1.5 mm; mounting pad for the collector lead min. 6 cm2.

2N3904 MMBT3904

SOT-23Mark: 1A

PZT3904

2N3904 / M

MB

T3904 / PZT3904

2N3904/MMBT3904/PZT3904, Rev A

Page 286: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Electrical Characteristics TA = 25°C unless otherwise noted

Symbol Parameter Test Conditions Min Max Units

V(BR)CEO Collector-Emitter BreakdownVoltage

IC = 1.0 mA, IB = 0 40 V

V(BR)CBO Collector-Base Breakdown Voltage IC = 10 µA, IE = 0 60 VV(BR)EBO Emitter-Base Breakdown Voltage IE = 10 µA, IC = 0 6.0 VIBL Base Cutoff Current VCE = 30 V, VEB = 3V 50 nAICEX Collector Cutoff Current VCE = 30 V, VEB = 3V 50 nA

OFF CHARACTERISTICS

ON CHARACTERISTICS*

SMALL SIGNAL CHARACTERISTICS

SWITCHING CHARACTERISTICS

*Pulse Test: Pulse Width ≤ 300 µs, Duty Cycle ≤ 2.0%

NPN (Is=6.734f Xti=3 Eg=1.11 Vaf=74.03 Bf=416.4 Ne=1.259 Ise=6.734 Ikf=66.78m Xtb=1.5 Br=.7371 Nc=2Isc=0 Ikr=0 Rc=1 Cjc=3.638p Mjc=.3085 Vjc=.75 Fc=.5 Cje=4.493p Mje=.2593 Vje=.75 Tr=239.5n Tf=301.2pItf=.4 Vtf=4 Xtf=2 Rb=10)

Spice Model

fT Current Gain - Bandwidth Product IC = 10 mA, VCE = 20 V,f = 100 MHz

300 MHz

Cobo Output Capacitance VCB = 5.0 V, IE = 0,f = 1.0 MHz

4.0 pF

Cibo Input Capacitance VEB = 0.5 V, IC = 0,f = 1.0 MHz

8.0 pF

NF Noise Figure IC = 100 µA, VCE = 5.0 V,RS =1.0kΩ,f=10 Hz to 15.7kHz

5.0 dB

td Delay Time VCC = 3.0 V, VBE = 0.5 V, 35 nstr Rise Time IC = 10 mA, IB1 = 1.0 mA 35 ns

ts Storage Time VCC = 3.0 V, IC = 10mA 200 nstf Fall Time IB1 = IB2 = 1.0 mA 50 ns

hFE DC Current Gain IC = 0.1 mA, VCE = 1.0 VIC = 1.0 mA, VCE = 1.0 VIC = 10 mA, VCE = 1.0 VIC = 50 mA, VCE = 1.0 VIC = 100 mA, VCE = 1.0 V

40701006030

300

VCE(sat) Collector-Emitter Saturation Voltage IC = 10 mA, IB = 1.0 mAIC = 50 mA, IB = 5.0 mA

0.20.3

VV

VBE(sat) Base-Emitter Saturation Voltage IC = 10 mA, IB = 1.0 mAIC = 50 mA, IB = 5.0 mA

0.65 0.850.95

VV

2N3904 / M

MB

T3904 / PZT3904NPN General Purpose Amplifier

(continued)

Page 287: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

2N3904 / M

MB

T3904 / P

ZT

3904

Typical Characteristics

Base-Emitter ON Voltage vsCollector Current

0.1 1 10 1000.2

0.4

0.6

0.8

1

I - COLLECTOR CURRENT (mA)V

-

BA

SE

-EM

ITT

ER

ON

VO

LTA

GE

(V

)B

E(O

N)

C

V = 5VCE

25 °C

125 °C

- 40 °C

NPN General Purpose Amplifier(continued)

Base-Emitter SaturationVoltage vs Collector Current

0.1 1 10 100

0.4

0.6

0.8

1

I - COLLECTOR CURRENT (mA)

V

-

BA

SE

-EM

ITT

ER

VO

LTA

GE

(V)

BE

SA

T

C

β = 10

25 °C

125 °C

- 40 °C

Collector-Emitter SaturationVoltage vs Collector Current

0.1 1 10 100

0.05

0.1

0.15

I - COLLECTOR CURRENT (mA)V

-

CO

LL

EC

TOR

-EM

ITT

ER

VO

LTA

GE

(V

)C

ES

AT

25 °C

C

β = 10

125 °C

- 40 °C

Collector-Cutoff Currentvs Ambient Temperature

25 50 75 100 125 150

0.1

1

10

100

500

T - AMBIENT TEMPERATURE ( C)

I

- C

OL

LE

CTO

R C

UR

RE

NT

(n

A)

A

V = 30VCB

CB

O

°

Capacitance vs Reverse Bias Voltage

0.1 1 10 1001

2

3

4

5

10

REVERSE BIAS VOLTAGE (V)

CA

PAC

ITA

NC

E (

pF)

C obo

C ibo

f = 1.0 MHz

Typical Pulsed Current Gainvs Collector Current

0.1 1 10 1000

100

200

300

400

500

I - COLLECTOR CURRENT (mA)h

- T

YP

ICA

L P

UL

SE

D C

UR

RE

NT

GA

INF

E

- 40 °C

25 °C

C

V = 5VCE

125 °C

Page 288: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Power Dissipation vsAmbient Temperature

0 25 50 75 100 125 1500

0.25

0.5

0.75

1

TEMPERATURE ( C)

P

- PO

WE

R D

ISS

IPAT

ION

(W)

D

o

SOT-223

SOT-23

TO-92

Typical Characteristics (continued)

Noise Figure vs Frequency

0.1 1 10 1000

2

4

6

8

10

12

f - FREQUENCY (kHz)

NF

- N

OIS

E F

IGU

RE

(d

B)

V = 5.0VCE

I = 100 µA, R = 500 ΩC S

I = 1.0 mA R = 200ΩC

S

I = 50 µA

R = 1.0 kΩCS

I = 0.5 mA R = 200ΩC

S

Noise Figure vs Source Resistance

0.1 1 10 1000

2

4

6

8

10

12

R - SOURCE RESISTANCE ( )

NF

- N

OIS

E F

IGU

RE

(d

B)

I = 100 µAC

I = 1.0 mAC

S

I = 50 µAC

I = 5.0 mAC

θ - DE

GR

EE

S

0

406080100120

140160

20

180

Current Gain and Phase Anglevs Frequency

1 10 100 10000

5

10

15

20

25

30

35

40

45

50

f - FREQUENCY (MHz)

h

-

CU

RR

EN

T G

AIN

(d

B)

θ

V = 40VCE

I = 10 mAC

h fe

fe

Turn-On Time vs Collector Current

1 10 1005

10

100

500

I - COLLECTOR CURRENT (mA)

TIM

E (

nS

)

I = I = B1

C

B2I c

1040V

15V

2.0V

t @ V = 0VCBd

t @ V = 3.0VCCr

Rise Time vs Collector Current

1 10 1005

10

100

500

I - COLLECTOR CURRENT (mA)

t

- R

ISE

TIM

E (

ns)

I = I = B1

C

B2I c

10

T = 125°C

T = 25°CJ

V = 40VCC

r

J

2N3904 / M

MB

T3904 / P

ZT

3904NPN General Purpose Amplifier

(continued)

Page 289: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

2N3904 / M

MB

T3904 / P

ZT

3904NPN General Purpose Amplifier

(continued)

Typical Characteristics (continued)

Storage Time vs Collector Current

1 10 1005

10

100

500

I - COLLECTOR CURRENT (mA)

t

- S

TOR

AG

E T

IME

(n

s)

I = I = B1

C

B2I c

10

S

T = 125°C

T = 25°CJ

J

Fall Time vs Collector Current

1 10 1005

10

100

500

I - COLLECTOR CURRENT (mA)

t

- FA

LL

TIM

E (

ns)

I = I = B1

C

B2I c

10V = 40VCC

f

T = 125°C

T = 25°CJ

J

Current Gain

0.1 1 1010

100

500

I - COLLECTOR CURRENT (mA)

h

- C

UR

RE

NT

GA

IN

V = 10 VCE

C

fe

f = 1.0 kHzT = 25 CA

o

Output Admittance

0.1 1 101

10

100

I - COLLECTOR CURRENT (mA)

h

- O

UT

PU

T A

DM

ITTA

NC

E (

mho

s) V = 10 VCE

C

oe

f = 1.0 kHzT = 25 CA

Input Impedance

0.1 1 100.1

1

10

100

I - COLLECTOR CURRENT (mA)

h

- IN

PU

T IM

PE

DA

NC

E (

k )

V = 10 VCE

C

ie

f = 1.0 kHzT = 25 CA

Voltage Feedback Ratio

0.1 1 101

2

3

4

5

7

10

I - COLLECTOR CURRENT (mA)

h

- V

OLT

AG

E F

EE

DB

AC

K R

AT

IO (

x10

)

V = 10 VCE

C

re

f = 1.0 kHzT = 25 CA

o

_4

Page 290: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Test Circuits

10 KΩΩΩΩΩ

3.0 V

275 ΩΩΩΩΩ

t1

C1 <<<<< 4.0 pF

Duty Cycle ===== 2%

Duty Cycle ===== 2%

<<<<< 1.0 ns

- 0.5 V

300 ns

10.6 V

10 < < < < < t1 <<<<< 500 µµµµµs

10.9 V

- 9.1 V

<<<<< 1.0 ns

0

0

10 KΩΩΩΩΩ

3.0 V

275 ΩΩΩΩΩ

C1 <<<<< 4.0 pF

1N916

FIGURE 2: Storage and Fall Time Equivalent Test Circuit

FIGURE 1: Delay and Rise Time Equivalent Test Circuit

2N3904 / M

MB

T3904 / P

ZT

3904NPN General Purpose Amplifier

(continued)

Page 291: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

TO-92 Tape and Reel Data

March 2001, Rev. B1©2001 Fairchild Semiconductor Corporation

TO-92 PackagingConfiguration: Figure 1.0

AMMO PACK OPTIONSee Fig 3.0 for 2 Ammo

Pack Options

2000 units perEO70 box for

std option

FSCINT Label

530mm x 130mm x

83mmIntermediate box

10,000 units maximumper

intermediate boxfor std option

FSCINT Label

114mm x 102mm x 51mmImmediate Box

Anti-static Bubble Sheets

(TO-92) BULK PACKING INFORMATION

EOLCODE DESCRIPTION LEADCLIP

DIMENSION QUANTITY

J18Z TO-18 OPTION STD NO LEAD CLIP 2.0 K / BOX

J05Z TO-5 OPTION STD NO LEAD CLIP 1.5 K / BOX

NO EOLCODE

TO-92 STANDARDSTRAIGHT FOR: PKG 92, NO LEADCLIP 2.0 K / BOX

BULK OPTIONSee Bulk PackingInformation table

375mm x 267mm x 375mmIntermediate Box

FSCINTLabel

CustomizedLabel

333mm x 231mm x 183mmIntermediate Box

FSCINTLabel

CustomizedLabel

TO-92 TNR/AMMO PACKING INFROMATION

Packing Style Quantity EOL code

Reel A 2,000 D26Z

E 2,000 D27Z

Ammo M 2,000 D74Z

P 2,000 D75Z

Unit weight = 0.22 gmReel weight with components = 1.04 kgAmmo weight with components = 1.02 kgMax quantity per intermediate box = 10,000 units

F63TNRLabel

5 Ammo boxes per Intermediate Box

CustomizedLabel

327mm x 158mm x 135mmImmediate Box

LOT: CBVK741B019

NSID: PN2222N

D/C1: D9842 SPEC REV: B2

SPEC:

QTY: 10000

QA REV:

FAIRCHILD SEMICONDUCTOR CORPORATION HTB:B

(FSCINT)

F63TNRLabel

CustomizedLabel

5 Reels perIntermediate Box

TAPE and REEL OPTIONSee Fig 2.0 for various

Reeling Styles

LOT: CBVK741B019

FSID: PN222N

D/C1: D9842 QTY1: SPEC REV:

SPEC:

QTY: 2000

D/C2: QTY2: CPN:N/F: F (F63TNR)3

F63TNR Label sample

FSCINT Label sample

C

5 EO70 boxes per intermediate Box

ustomizedLabel

94 (NON PROELECTRON

SERIES), 96

L34Z TO-92 STANDARDSTRAIGHT FOR: PKG 94 NO LEADCLIP 2.0 K / BOX

(PROELECTRON SERIES

BCXXX, BFXXX, BSRXXX),97, 98

Page 292: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

TO-92 Tape and Reel Data, continued

September 1999, Rev. B

TO-92 Reeling StyleConfiguration: Figure 2.0

Style “A”, D26Z, D70Z (s/h)

Machine Option “A” (H)

Style “E”, D27Z, D71Z (s/h)

Machine Option “E” (J)

FIRST WIRE OFF IS EMITTERADHESIVE TAPE IS ON THE TOP SIDEFLAT OF TRANSISTOR IS ON BOTTOM

ORDER STYLED75Z (P)

FIRST WIRE OFF IS COLLECTORADHESIVE TAPE IS ON THE TOP SIDEFLAT OF TRANSISTOR IS ON TOP

ORDER STYLED74Z (M)

TO-92 Radial Ammo PackagingConfiguration: Figure 3.0

FIRST WIRE OFF IS EMITTER (ON PKG. 92)ADHESIVE TAPE IS ON BOTTOM SIDEFLAT OF TRANSISTOR IS ON BOTTOM

FIRST WIRE OFF IS COLLECTOR (ON PKG. 92)ADHESIVE TAPE IS ON BOTTOM SIDEFLAT OF TRANSISTOR IS ON TOP

Page 293: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

ITEM DESCRIPTION

Base of Package to Lead Bend

Component Height

Lead Clinch Height

Component Base Height

Component Alignment ( side/side )

Component Alignment ( front/back )

Component Pitch

Feed Hole Pitch

Hole Center to First Lead

Hole Center to Component Center

Lead Spread

Lead Thickness

Cut Lead Length

Taped Lead Length

Taped Lead Thickness

Carrier Tape Thickness

Carrier Tape Width

Hold - down Tape Width

Hold - down Tape position

Feed Hole Position

Sprocket Hole Diameter

Lead Spring Out

SYMBOL

b

Ha

HO

H1

Pd

Hd

P

PO

P1

P2

F1/F2

d

L

L1

t

t1

W

WO

W1

W2

DO

S

DIMENSION

0.098 (max)

0.928 (+/- 0.025)

0.630 (+/- 0.020)

0.748 (+/- 0.020)

0.040 (max)

0.031 (max)

0.500 (+/- 0.020)

0.500 (+/- 0.008)

0.150 (+0.009, -0.010)

0.247 (+/- 0.007)

0.104 (+/- 0 .010)

0.018 (+0.002, -0.003)

0.429 (max)

0.209 (+0.051, -0.052)

0.032 (+/- 0.006)

0.021 (+/- 0.006)

0.708 (+0.020, -0.019)

0.236 (+/- 0.012)

0.035 (max)

0.360 (+/- 0.025)

0.157 (+0.008, -0.007)

0.004 (max)

Note : All dimensions are in inches.

ITEM DESCRIPTION SYSMBOL MINIMUM MAXIMUM

Reel Diameter D1 13.975 14.025

Arbor Hole Diameter (Standard) D2 1.160 1.200

(Small Hole) D2 0.650 0.700

Core Diameter D3 3.100 3.300

Hub Recess Inner Diameter D4 2.700 3.100

Hub Recess Depth W1 0.370 0.570

Flange to Flange Inner Width W2 1.630 1.690

Hub to Hub Center Width W3 2.090

Note: All dimensions are inches

TO-92 Tape and Reel TapingDimension Configuration: Figure 4.0

Ha

H1 HO

PO

P2

P1 F1

DO

P Pd

b

d

L1

LS

WOW2

W

t

t1

Hd

W1

TO-92 ReelConfiguration: Figure 5.0

User Direction of Feed

SEN SITIVE D EVICES

ELECTROSTATIC

D1

D3

Customized Label

W2

W1

W3

F63TNR Label

D4

D2

TO-92 Tape and Reel Data, continued

July 1999, Rev. A

Page 294: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

TO-92 (FS PKG Code 92, 94, 96)

TO-92 Package Dimensions

January 2000, Rev. B

1:1Scale 1:1 on letter size paper

Dimensions shown below are in:inches [millimeters]

Part Weight per unit (gram): 0.1977

©2000 Fairchild Semiconductor International

Page 295: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

SOT-23 PackagingConfiguration: Figure 1.0

ComponentsLeader Tape500mm minimum or

125 empty pockets

Trailer Tape300mm minimum or

75 empty pockets

SOT-23 Tape Leader and TrailerConfiguration: Figure 2.0

Cover Tape

Carrier Tape

Note/Comments

Packaging Option

SOT-23 Packaging Information

Standard(no flow code) D87Z

Packaging type

Reel Size

TNR

7" Dia

TNR

13"

Qty per Reel/Tube/Bag 3,000 10,000

Box Dimension (mm) 187x107x183 343x343x64

Max qty per Box 24,000 30,000

Weight per unit (gm) 0.0082 0.0082

Weight per Reel (kg) 0.1175 0.4006

Human readableLabel

Human Readable Label

Human Readable Label sample

343mm x 342mm x 64mmIntermediate box for L87Z Option

187mm x 107mm x 183mmIntermediate Box for Standard Option

SOT-23 Unit Orientation

3P 3P 3P 3P

Human ReadableLabel

Customized Label

EmbossedCarrier Tape

Antistatic Cover Tape

Packaging Description:

SOT-23made from a dissipative (carbon fil led) polycarbonateresin. The cover tape is a multilayer film (Heat ActivatedAdhesive in nature) primarily composed of polyester film,adhesive layer, sealant, and anti-static sprayed agent.These reeled parts in standard option are shipped with3,000 units per 7" or 177cm diameter reel. The reels aredark blue in color and is made of polystyrene plastic (anti-static coated). Other option comes in 10,000 units per 13"or 330cm diameter reel. This and some other options aredescribed in the Packaging Information table.

These full reels are individually labeled and placed insidea standard intermediate made of recyclable corrugatedbrown paper with a Fairchil d logo printing. One pizza boxcontains eight reels maximum. And these intermediateboxes are placed inside a labeled shipping box whichcomes in different sizes depending on the number of partsshipped.

parts are shipped in tape. The carrier tape is

SOT-23 Tape and Reel Data

September 1999, Rev. C©2000 Fairchild Semiconductor International

Page 296: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Dimensions are in millimeter

Pkg type A0 B0 W D0 D1 E1 E2 F P1 P0 K0 T Wc Tc

SOT-23(8mm)

3.15+/-0.10

2.77+/-0.10

8.0+/-0.3

1.55+/-0.05

1.125+/-0.125

1.75+/-0.10

6.25min

3.50+/-0.05

4.0+/-0.1

4.0+/-0.1

1.30+/-0.10

0.228+/-0.013

5.2+/-0.3

0.06+/-0.02

Dimensions are in inches and millimeters

Tape SizeReel

Option Dim A Dim B Dim C Dim D Dim N Dim W1 Dim W2 Dim W3 (LSL-USL)

8mm 7" Dia 7.00177.8

0.0591.5

512 +0.020/-0.00813 +0.5/-0.2

0.79520.2

2.16555

0.331 +0.059/-0.0008.4 +1.5/0

0.56714.4

0.311 – 0.4297.9 – 10.9

8mm 13" Dia 13.00330

0.0591.5

512 +0.020/-0.00813 +0.5/-0.2

0.79520.2

4.00100

0.331 +0.059/-0.0008.4 +1.5/0

0.56714.4

0.311 – 0.4297.9 – 10.9

See detail AA

Dim Amax

13" Diameter Option

7" Diameter Option

Dim AMax

See detail AA

W3

W2 max Measured at Hub

W1 Measured at Hub

Dim N

Dim Dmin

Dim C

B Min

DETAIL AA

Notes: A0, B0, and K0 dimensions are determined with respect to the EIA/Jedec RS-481rotational and lateral movement requirements (see sketches A, B, and C).

20 deg maximum component rotation

0.5mmmaximum

0.5mmmaximum

Sketch C (Top View)Component lateral movement

Typicalcomponentcavitycenter line

20 deg maximum

Typicalcomponentcenter line

B0

A0

Sketch B (Top View)Component Rotation

Sketch A (Side or Front Sectional View)Component Rotation

User Direction of Feed

SOT-23 Embossed Carrier TapeConfiguration: Figure 3.0

SOT-23 Reel Configuration: Figure 4.0

P1 A0

D1

F W

E1

E2

Tc

Wc

K0

T

B0

D0P0 P2

SOT-23 Tape and Reel Data, continued

September 1999, Rev. C

Page 297: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

SOT-23 (FS PKG Code 49)

SOT-23 Package Dimensions

September 1998, Rev. A1

1:1Scale 1:1 on letter size paper

Dimensions shown below are in:inches [millimeters]

Part Weight per unit (gram): 0.0082

©2000 Fairchild Semiconductor International

Page 298: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

SOT-223 PackagingConfiguration: Figure 1.0

ComponentsLeader Tape500mm minimum or62 empty pockets

Trailer Tape300mm minimum or38 empty pockets

SOT-223 Tape Leader and TrailerConfiguration: Figure 2.0

Cover Tape

Carrier Tape

Note/Comments

Packaging Option

SOT-223 Packaging Information

Standard(no flow code) D84Z

Packaging type

Reel Size

TNR

13" Dia

TNR

7" Dia

Qty per Reel/Tube/Bag 2,500 500

Box Dimension (mm) 343x64x343 184x187x47

Max qty per Box 5,000 1,000

Weight per unit (gm) 0.1246 0.1246

Weight per Reel (kg) 0.7250 0.1532

SOT-223 Unit Orientation

F852014

F852014

F852014

F852014

F63TNR Label343mm x 342mm x 64mm

Intermediate box for Standard

184mm x 184mm x 47mmPizza Box for D84Z Option

F63TNR Label

LOT: CBVK741B019

FSID: PN2222A

D/C1: D9842 QTY1: SPEC REV:

SPEC:

QTY: 3000

D/C2: QTY2: CPN:N/F: F (F63TNR)3

F63TNR Label sample

F63TNR Label

Antistatic Cover Tape

Customized Label

Static Dissipative Embossed Carrier Tape

Packaging Description:

SOT-223 parts are shipped in tape. The carrier tape ismade from a dissipative (carbon filled) polycarbonateresin. The cover tape is a multilayer film (Heat ActivatedAdhesive in nature) primarily composed of polyester film,adhesive layer, sealant, and anti-static sprayed agent.These reeled parts in standard option are shipped with2,500 units per 13" or 330cm diameter reel. The reels aredark blue in color and is made of polystyrene plastic (anti-static coated). Other option comes in 500 units per 7" or177cm diameter reel. This and some other options arefurther described in the Packaging Information table.

These full reels are individually barcode labeled andplaced inside a standard intermediate box (illustrated infigure 1.0) made of recyclable corrugated brown paper.One box contains two reels maximum. And these boxesare placed inside a barcode labeled shipping box whichcomes in different sizes depending on the number of partsshipped.

SOT-223 Tape and Reel Data

September 1999, Rev. B©2000 Fairchild Semiconductor International

Page 299: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

Dimensions are in millimeter

Pkg type A0 B0 W D0 D1 E1 E2 F P1 P0 K0 T Wc Tc

SOT-223(12mm)

6.83+/-0.10

7.42+/-0.10

12.0+/-0.3

1.55+/-0.05

1.50+/-0.10

1.75+/-0.10

10.25min

5.50+/-0.05

8.0+/-0.1

4.0+/-0.1

1.88+/-0.10

0.292+/-0.0130

9.5+/-0.025

0.06+/-0.02

P1A0 D1

P0

F

W

E1

D0

E2B0

Tc

WcK0

T

Dimensions are in inches and millimeters

Tape SizeReel

Option Dim A Dim B Dim C Dim D Dim N Dim W1 Dim W2 Dim W3 (LSL-USL)

12mm 7" Dia 7.00177.8

0.0591.5

512 +0.020/-0.00813 +0.5/-0.2

0.79520.2

5.906150

0.488 +0.078/-0.00012.4 +2/0

0.72418.4

0.469 – 0.60611.9 – 15.4

12mm 13" Dia 13.00330

0.0591.5

512 +0.020/-0.00813 +0.5/-0.2

0.79520.2

7.00178

0.488 +0.078/-0.00012.4 +2/0

0.72418.4

0.469 – 0.60611.9 – 15.4

See detail AA

Dim Amax

13" Diameter Option

7" Diameter Option

Dim AMax

See detail AA

W3

W2 max Measured at Hub

W1 Measured at Hub

Dim N

Dim Dmin

Dim C

B Min

DETAIL AA

Notes: A0, B0, and K0 dimensions are determined with respect to the EIA/Jedec RS-481rotational and lateral movement requirements (see sketches A, B, and C).

20 deg maximum component rotation

0.5mmmaximum

0.5mmmaximum

Sketch C (Top View)Component lateral movement

Typicalcomponentcavitycenter line

20 deg maximum

Typicalcomponentcenter line

B0

A0

Sketch B (Top View)Component Rotation

Sketch A (Side or Front Sectional View)Component Rotation

User Direction of Feed

SOT-223 Embossed Carrier TapeConfiguration: Figure 3.0

SOT-223 Reel Configuration: Figure 4.0

SOT-223 Tape and Reel Data, continued

July 1999, Rev. B

Page 300: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

SOT-223 (FS PKG Code 47)

SOT-223 Package Dimensions

1 : 1

Scale 1:1 on letter size paper

Part Weight per unit (gram): 0.1246

September 1999, Rev. C©2000 Fairchild Semiconductor International

Page 301: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

TRADEMARKSThe following are registered and unregistered trademarks Fairchild Semiconductor owns or is authorized to use and isnot intended to be an exhaustive list of all such trademarks.

LIFE SUPPORT POLICY

FAIRCHILD’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORTDEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF FAIRCHILD SEMICONDUCTOR CORPORATION.As used herein:1. Life support devices or systems are devices orsystems which, (a) are intended for surgical implant intothe body, or (b) support or sustain life, or (c) whosefailure to perform when properly used in accordancewith instructions for use provided in the labeling, can bereasonably expected to result in significant injury to theuser.

2. A critical component is any component of a lifesupport device or system whose failure to perform canbe reasonably expected to cause the failure of the lifesupport device or system, or to affect its safety oreffectiveness.

PRODUCT STATUS DEFINITIONS

Definition of Terms

Datasheet Identification Product Status Definition

Advance Information

Preliminary

No Identification Needed

Obsolete

This datasheet contains the design specifications forproduct development. Specifications may change inany manner without notice.

This datasheet contains preliminary data, andsupplementary data will be published at a later date.Fairchild Semiconductor reserves the right to makechanges at any time without notice in order to improvedesign.

This datasheet contains final specifications. FairchildSemiconductor reserves the right to make changes atany time without notice in order to improve design.

This datasheet contains specifications on a productthat has been discontinued by Fairchild semiconductor.The datasheet is printed for reference information only.

Formative orIn Design

First Production

Full Production

Not In Production

DISCLAIMER

FAIRCHILD SEMICONDUCTOR RESERVES THE RIGHT TO MAKE CHANGES WITHOUT FURTHERNOTICE TO ANY PRODUCTS HEREIN TO IMPROVE RELIABILITY, FUNCTION OR DESIGN. FAIRCHILDDOES NOT ASSUME ANY LIABILITY ARISING OUT OF THE APPLICATION OR USE OF ANY PRODUCTOR CIRCUIT DESCRIBED HEREIN; NEITHER DOES IT CONVEY ANY LICENSE UNDER ITS PATENTRIGHTS, NOR THE RIGHTS OF OTHERS.

PowerTrenchQFET™QS™QT Optoelectronics™Quiet Series™SILENT SWITCHERSMART START™SuperSOT™-3SuperSOT™-6SuperSOT™-8

FASTr™GlobalOptoisolator™GTO™HiSeC™ISOPLANAR™MICROWIRE™OPTOLOGIC™OPTOPLANAR™PACMAN™POP™

Rev. G

ACEx™Bottomless™CoolFET™CROSSVOLT™DOME™E2CMOSTM

EnSignaTM

FACT™FACT Quiet Series™FAST

SyncFET™TinyLogic™UHC™VCX™

Page 302: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

BC

547 / BC

547A / B

C547B

/ BC

547C

NPN General Purpose Amplifier

BC547BC547ABC547BBC547C

This device is designed for use as general purpose amplifiersand switches requiring collector currents to 300 mA. Sourced fromProcess 10. See PN100A for characteristics.

Absolute Maximum Ratings* TA = 25°C unless otherwise noted

*These ratings are limiting values above which the serviceability of any semiconductor device may be impaired.

NOTES:1) These ratings are based on a maximum junction temperature of 150 degrees C.2) These are steady state limits. The factory should be consulted on applications involving pulsed or low duty cycle operations.

Thermal Characteristics TA = 25°C unless otherwise noted

Symbol Parameter Value UnitsVCEO Collector-Emitter Voltage 45 V

VCES Collector-Base Voltage 50 V

VEBO Emitter-Base Voltage 6.0 V

IC Collector Current - Continuous 500 mA

TJ, Tstg Operating and Storage Junction Temperature Range -55 to +150 °C

Symbol Characteristic Max UnitsBC547 / A / B / C

PD Total Device DissipationDerate above 25°C

6255.0

mWmW/°C

RθJC Thermal Resistance, Junction to Case 83.3 °C/W

RθJA Thermal Resistance, Junction to Ambient 200 °C/W

EB C

TO-92

1997 Fairchild Semiconductor Corporation 547ABC, Rev B

Page 303: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

BC

547 / BC

547A / B

C547B

/ BC

547CNPN General Purpose Amplifier

(continued)

Electrical Characteristics TA = 25°C unless otherwise noted

OFF CHARACTERISTICS

Symbol Parameter Test C onditions Min Max Units

V(BR)CEO Collector-Emitter Breakdown Voltage IC = 1.0 mA, IB = 0 45 V

V(BR)CBO Collector-Base Breakdown Voltage IC = 10 µA, IE = 0 50 V

V(BR)CES Collector-Base Breakdown Voltage IC = 10 µA, IE = 0 50 V

V(BR)EBO Emitter-Base Breakdown Voltage IE = 10 µA, IC = 0 6.0 V

ICBO Collector Cutoff Current VCB = 30 V, IE = 0VCB = 30 V, IE = 0, TA = +150 °C

155.0

nAµA

ON CHARACTERISTICShFE DC Current Gain VCE = 5.0 V, IC = 2.0 mA 547

547A547B547C

110110200420

800220450800

VCE(sat) Collector-Emitter Saturation Voltage IC = 10 mA, IB = 0.5 mAIC = 100 mA, IB = 5.0 mA

0.250.60

VV

VBE(on) Base-Emitter On Voltage VCE = 5.0 V, IC = 2.0 mAVCE = 5.0 V, IC = 10 mA

0.58 0.700.77

VV

SMALL SIGNAL CHARACTERISTICShfe Small-Signal Current Gain IC = 2.0 mA, VCE = 5.0 V,

f = 1.0 kHz125 900

NF Noise Figure VCE = 5.0 V, IC = 200 µA,RS = 2.0 kΩ, f = 1.0 kHz,BW = 200 Hz

10 dB

Page 304: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

TRADEMARKSThe following are registered and unregistered trademarks Fairchild Semiconductor owns or is authorized to use and isnot intended to be an exhaustive list of all such trademarks.

LIFE SUPPORT POLICY

FAIRCHILD’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORTDEVICES OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF FAIRCHILD SEMICONDUCTOR CORPORATION.As used herein:1. Life support devices or systems are devices orsystems which, (a) are intended for surgical implant intothe body, or (b) support or sustain life, or (c) whosefailure to perform when properly used in accordancewith instructions for use provided in the labeling, can bereasonably expected to result in significant injury to theuser.

2. A critical component is any component of a lifesupport device or system whose failure to perform canbe reasonably expected to cause the failure of the lifesupport device or system, or to affect its safety oreffectiveness.

PRODUCT STATUS DEFINITIONS

Definition of Terms

Datasheet Identification Product Status Definition

Advance Information

Preliminary

No Identification Needed

Obsolete

This datasheet contains the design specifications forproduct development. Specifications may change inany manner without notice.

This datasheet contains preliminary data, andsupplementary data will be published at a later date.Fairchild Semiconductor reserves the right to makechanges at any time without notice in order to improvedesign.

This datasheet contains final specifications. FairchildSemiconductor reserves the right to make changes atany time without notice in order to improve design.

This datasheet contains specifications on a productthat has been discontinued by Fairchild semiconductor.The datasheet is printed for reference information only.

Formative orIn Design

First Production

Full Production

Not In Production

DISCLAIMER

FAIRCHILD SEMICONDUCTOR RESERVES THE RIGHT TO MAKE CHANGES WITHOUT FURTHERNOTICE TO ANY PRODUCTS HEREIN TO IMPROVE RELIABILITY, FUNCTION OR DESIGN. FAIRCHILDDOES NOT ASSUME ANY LIABILITY ARISING OUT OF THE APPLICATION OR USE OF ANY PRODUCTOR CIRCUIT DESCRIBED HEREIN; NEITHER DOES IT CONVEY ANY LICENSE UNDER ITS PATENTRIGHTS, NOR THE RIGHTS OF OTHERS.

PowerTrenchQFET™QS™QT Optoelectronics™Quiet Series™SILENT SWITCHERSMART START™SuperSOT™-3SuperSOT™-6SuperSOT™-8

FASTr™GlobalOptoisolator™GTO™HiSeC™ISOPLANAR™MICROWIRE™OPTOLOGIC™OPTOPLANAR™PACMAN™POP™

Rev. G

ACEx™Bottomless™CoolFET™CROSSVOLT™DOME™E2CMOSTM

EnSignaTM

FACT™FACT Quiet Series™FAST

SyncFET™TinyLogic™UHC™VCX™

Page 305: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

3–55Motorola Thyristor Device Data

Designed for high performance full-wave ac control applications where highnoise immunity and high commutating di/dt are required.

• Blocking Voltage to 800 Volts

• On-State Current Rating of 15 Amperes RMS at 80°C• Uniform Gate Trigger Currents in Three Modes

• High Immunity to dv/dt — 250 V/µs minimum at 125°C• Minimizes Snubber Networks for Protection

• Industry Standard TO-220AB Package

• High Commutating di/dt — 9.0 A/ms minimum at 125°C

MAXIMUM RATINGS (TJ = 25°C unless otherwise noted)

Symbol Parameter Value Unit

VDRM Peak Repetitive Off-State Voltage (1)(–40 to 125°C, Sine Wave, 50 to 60 Hz, Gate Open) MAC15D

MAC15MMAC15N

400600800

Volts

IT(RMS) On-State RMS Current(60 Hz, TC = 80°C)

15 A

ITSM Peak Non-repetitive Surge Current(One Full Cycle, 60 Hz, TJ = 125°C)

150 A

I2t Circuit Fusing Consideration (t = 8.3 ms) 93 A2sec

PGM Peak Gate Power (Pulse Width ≤ 1.0 µs, TC = 80°C) 20 Watts

PG(AV) Average Gate Power (t = 8.3 ms, TC = 80°C) 0.5 Watts

TJ Operating Junction Temperature Range –40 to +125 °C

Tstg Storage Temperature Range –40 to +150 °C

THERMAL CHARACTERISTICS

RθJCRθJA

Thermal Resistance — Junction to CaseThermal Resistance — Junction to Ambient

2.062.5

°C/W

TL Maximum Lead Temperature for Soldering Purposes 1/8″ from Case for 10 Seconds 260 °C

(1) VDRM for all types can be applied on a continuous basis. Blocking voltages shall not be tested with a constant current source such that the voltage ratings of the devices are exceeded.

Preferred devices are Motorola recommended choices for future use and best overall value.

SEMICONDUCTOR TECHNICAL DATA

CASE 221A-06(TO-220AB)

Style 4

MT2

MT1

MT2G

TRIACS15 AMPERES RMS

400 thru 800VOLTS

*Motorola preferred devices

REV 1

Page 306: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

3–56 Motorola Thyristor Device Data

ELECTRICAL CHARACTERISTICS (TJ = 25°C unless otherwise noted)

Symbol Characteristic Min Typ Max Unit

OFF CHARACTERISTICS

IDRM Peak Repetitive Blocking Current(VD = Rated VDRM, Gate Open) TJ = 25°C

TJ = 125°C——

——

0.012.0

mA

ON CHARACTERISTICS

VTM Peak On-State Voltage*(ITM = ±21 A Peak) — 1.2 1.6

Volts

IGT Continuous Gate Trigger Current (VD = 12 V, RL = 100 Ω)MT2(+), G(+)MT2(+), G(–)MT2(–), G(–)

5.05.05.0

131618

353535

mA

IH Hold Current(VD = 12 V, Gate Open, Initiating Current = ±150 mA) — 20 40

mA

IL Latch Current (VD = 24 V, IG = 35 mA)MT2(+), G(+) MT2(+), G(–) MT2(–), G(–)

———

333633

508050

mA

VGT Gate Trigger Voltage (VD = 12 V, RL = 100 Ω)MT2(+), G(+)MT2(+), G(–)MT2(–), G(–)

0.50.50.5

0.750.720.82

1.51.51.5

Volts

DYNAMIC CHARACTERISTICS

(di/dt)c Rate of Change of Commutating Current* See Figure 10.(VD = 400 V, ITM = 6.0 A, Commutating dv/dt = 24 V/µs, CL = 10 µFGate Open, TJ = 125°C, f = 250 Hz, No Snubber) LL = 40 mH

9.0 — — A/ms

dv/dt Critical Rate of Rise of Off-State Voltage(VD = Rated VDRM, Exponential Waveform, Gate Open, TJ = 125°C)

250 — — V/µs

*Indicates Pulse Test: Pulse Width ≤ 2.0 ms, Duty Cycle ≤ 2%.

Figure 1. RMS Current Derating

IT(RMS), RMS ON-STATE CURRENT (AMP)

125

120

115

110

105

100

95

90

85

801614121086420

T C, C

ASE

TEM

PER

ATU

RE

(C)

°

Figure 2. On-State Power Dissipation

IT(RMS), ON-STATE CURRENT (AMP)

1614121086420

20

18

16

14

12

10

8

6

4

2

P AV,

AVE

RAG

E PO

WER

(WAT

TS)

0

DC

α = 30 and 60°

α = 90°

α = 120°α = 180°

DC180°

120°

90°60°

α = 30°

Page 307: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

3–57Motorola Thyristor Device Data

Figure 3. On-State Characteristics

VT, INSTANTANEOUS ON-STATE VOLTAGE (VOLTS)

100

0

I T, I

NST

ANTA

NEO

US

ON

-STA

TE C

UR

REN

T (A

MP)

0.5 1 1.5 2 2.5 3 3.5 4

10

1

0.1

MAXIMUM @ TJ = 125°CTYPICAL ATTJ = 25°C

MAXIMUM @ TJ = 25°C

Figure 4. Thermal Response

t, TIME (ms)

r(t),

TRAN

SIEN

T TH

ERM

AL R

ESIS

TAN

CE

(NO

RM

ALIZ

ED)

1

0.1

0.011 · 10410001001010.1

Figure 5. Hold Current Variation

TJ, JUNCTION TEMPERATURE (°C)– 40

I H, H

OLD

CU

RR

ENT

(mA)

40

5– 10 20 50 80 110 125

MT2 POSITIVE

MT2 NEGATIVE

TJ, JUNCTION TEMPERATURE (°C)TJ, JUNCTION TEMPERATURE (°C)

I GT,

GAT

E TR

IGG

ER C

UR

REN

T (m

A)

V GT,

GAT

E TR

IGG

ER V

OLT

AGE

(VO

LT)

– 40 – 10 20 50 80 110 125

100

1

Q3

Q1

Q2

OFF-STATE VOLTAGE = 12 VRL = 140 Ω

1

0.5– 40 – 10 +20 50 80 110 125

Q1

Q2

Q3

OFF-STATE VOLTAGE = 12 VRL = 140 Ω

Figure 6. Gate Trigger Current Variation Figure 7. Gate Trigger Voltage Variation

Page 308: BC556/557/558/559/560 - Acervos Digitales UDLAPcatarina.udlap.mx/u_dl_a/tales/documentos/meie/carrillo_a_j/apendic… · ©2002 Fairchild Semiconductor Corporation Rev. A2, August

3–58 Motorola Thyristor Device Data

Figure 8. Critical Rate of Rise of Off-State V oltage(Exponential)

RG, GATE TO MAIN TERMINAL 1 RESISTANCE (OHMS)

5000

4K

3K

2K

1K

010000100010010

dv/d

t, C

RIT

ICAL

RAT

E O

F R

ISE

OF

OFF

-STA

TE V

OLT

AGE

Figure 9. Critical Rate of Rise ofCommutating Voltage

(di/dt)c, RATE OF CHANGE OF COMMUTATING CURRENT (A/ms)

(V/

s)µ

VD = 800 VpkTJ = 125°C

ITM

tw

VDRM(di/dt)c =

6f ITM1000

f =1

2 tw

TJ = 125°C 100°C 75°C

10 100

100

10

1

LL 1N4007

400 V+

MEASUREI

–CHARGE

CONTROLCHARGETRIGGER

NON-POLARCL

51

2

1

1N914

GTRIG

GER

CO

NTR

OL

200 VRMSADJUST FOR

ITM, 60 Hz VAC

Note: Component values are for verification of rated (dv/dt)c. See AN1048 for additional information.

Figure 10. Simplified Test Circuit to Measure the Critical Rate of Rise of Commutating Voltage

(dv/

dt)

, CR

ITIC

AL R

ATE

OF

RIS

E O

F(V

/s) µ

cC

OM

MU

TATI

NG

VO

LTAG

E

20 30 40 50 60 70 80 90


Recommended