+ All Categories
Home > Documents > Copper Interconnect Technology for the 32 nm node...

Copper Interconnect Technology for the 32 nm node...

Date post: 21-Mar-2018
Category:
Upload: phamcong
View: 230 times
Download: 1 times
Share this document with a friend
59
Copper Interconnect Technology for the 32 nm node and Beyond Jeff Gambino IBM Microelectronics Essex Junction, Vermont
Transcript
Page 1: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

1

Copper Interconnect Technology for the 32 nm node

and Beyond

Jeff GambinoIBM MicroelectronicsEssex Junction, Vermont

Page 2: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

2

Outline

• Copper interconnect scaling• Copper interconnect reliability• Packaging + Through silicon vias (TSV)• Passive devices

Page 3: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

3

Effect of scaling on resistivity

H.B. Lee et al., IITC Proc., 2007, p. 64.

G. Schindler et al., AMC., 2002, p. 13.

Increased resistivity for narrow lines due to grain boundary scattering, surface scattering, and Ta barrier layer.

Al

Cu

20 40 60 80 100 120 140 160line width (nm)

1

2

3

4

5

6

7

Res

istiv

ity(μΩ

-cm

)

simulation

measured

32nm22nm 45nmMinimum M1 line width at each node

65nm 90nm

Cu TaN/Ta

AlTi/TiN

Page 4: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

4

1. V1 via lithography + RIE

2. M2 trench lithography

SiCOH SiCN

4. M2 resist strip + SiCN RIE

resistDual damascene process in SiCOH; via-first

ARC

Dual

damascene:

OSG

3. M2 RIE

SiO2

5. Deposit liner and seed

TaN/Ta barrierseed

6. Cu plating and CMP, cap

M1

M2V1

M1

SiCN cap (κeff)

Page 5: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

5

Interconnect roadmap

H.-K. Kang, tutorial on Advanced Logic Technology, 2007

SiCOH

p-SiCOH

Page 6: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

6

Dielectric trend

2.0

3.0

4.0

Die

lect

ric

cons

tant

3.5

2.5

SiO2

4.5

FSG

SiCOH

p-SiCOH

CH3

O

Si

O

Si

Kbulk

Keff

200 150 100 50 0node (nm)

60

50

40

30

20

10

0 Ela

stic

mod

ulus

(GPa

)

SiN

Page 7: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

7

BEOL integration at 32 nm node

Y. Hayashi et al., AMC Proc., 2005.M. Tada et al., IEDM Proc., 2006.M. Aimadeddine et al., IITC Proc., 2007.X. Chen et al., VLSI Symp., 2008.

Target for keff = 2.4

reference Hayashi et al Tada et alcompany Toshiba NEC STpatterning hardmaskintegration homo. low-k

layer material κ material κ material κpolish stop SiOC 2.6 SiOC 3.1 ****** ****

trench dielectric PAE 2.6 p-SiO2 2.4 SiOC 2.3trench etch stop ****** *** ****** ***** ***** *****

via dielectric SiOC 2 SiOC 2.8 SiOC 2.3cap CuSiN ***

via etch stop SiC 3 SiCN SiCNκeff 2.4 2.9

hybrid

. Aimadeddine et

hardmask hardmaskhybrid

SiCN

Cu

Cu

p-SiCOHSiCN

Cu

Cu

p-SiO2

SiCOH

SiOC

Hybrid (NEC) Homo Low-k (ST)

Page 8: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

8

Masked etchback Thermal decomposition Sidewall airgap

SiCN

SiCOH

cap

polymer

dielectric SiCOH

SiCOH

strip damage

HF

SiCOH

air gap

(a)

(b)

(c)

(d)

(e)

(f)

(g)

(h)

(i) air gapMasked etchback Thermal decomposition Sidewall airgap

SiCN

SiCOH

cap

polymer

dielectric

SiCOH

air gap

(a)

(b)

(c)

(d)

(e)

(f)

SiCOH

SiCOH

strip damage

HF

(g)

(h)

(i) air gap

Air-gap

(local airgap) (glocal airgap) (local airgap)

Page 9: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

9

Air-gap S. Nitta et al., IITC Proc., 2008

1.0

1.2

1.4

1.6

1.8

2.0

2.2

3 2.7 2.4 2.2

37%

35%32%

30%

Gap/Blockout Mask

Etch, Strip, Expand

Extract, Seal

keff~ 2.1 keff~ 1.7

No air gaps

with air gaps

Dielectric constant of SiCOH (ILD)

Tota

l cap

acita

nce

S. Nitta et al., AMC Proc., 2007

No change to standard process flow for CuRetain insulator beneath Cu lines for mechanical and thermal integrityAutomated air-gap mask design

Page 10: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

10

Lithography B. Lin, CICC Proc., 2009

65 nm 45 nm 32 nm 22 nm

poly, contact, M1: more layout restrictions

designs are on grid with single orientationNeed to

extend optical litho down to 22 nm node

contact

polyM1

Page 11: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

11

Roadmap for liner/seed thickness

0

10

20

30

40

50

050100150200

met

al th

ickn

ess

(nm

)

seed

liner

130 nm 90 nm 65nm 45nm32nm

22nm

node

κ~2.4

κ~3.6

κ~2.8 κ~2.2

ITRS Roadmap, 2003

Ass

umes

con

form

al la

yers

Seed; PVD Cu

Liner; PVD TaN/Ta* Diffusion barrier* Adhesion layer* Redundant conductor

Maximize sidewall coverage

Minimize overhang

45 nm node: M1 liner = 4.0 nm; MX liner = 5.0 nm32 nm node: M1 liner = 2.8 nm; MX liner = 3.5 nm22 nm node: M1 liner = 2.0 nm, MX liner = 2.5 nm

A. Kumar et al., Semicond. Int., May. 2008, p. 26.

34 nm

Cu

local wire half-pitch (nm)

M1

SiCOH

Page 12: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

12

M. Quirk, J. Serda, “Semiconductor Manufacturing Technology, 2001, Chap. 12.

+

Electrode

Electrode

Substrate

plasma

Directional deposition

Indu

ctio

n co

il

+

Advantages;•Better bottom coverage•Some sidewall coverage using resputtering

+ ++

++ +

+

Metal atoms are ionized as they travel through plasma

DC supply

DC supply RF generator

RF field

DC field

SIP = self-ionized plasmaHCM = hollow-cathode magnetron

Methods based on ionized PVD:

Ionized physical vapor deposition (I-PVD)

resputter

Ta+

Ta

Ar+

Page 13: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

13

Chemical mechanical polishing (CMP)M. Quirk, J. Serda, “Semiconductor Manufacturing Technology, 2001, Chap. 18.W.Y. Hsu, IRPS Tutorial, 2004.

Process parameters:• Pad type• Slurry type• Polish tool

• Pressure (carrier and backside)• Velocity (carrier and platen)• Slurry flow rate• Temperature• Pad conditioner

CuSiCOH

Cu

Passivating film forms due to chemical reaction between metal and slurry.

Film is removed from high spots by mechanical abrasion

Page 14: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

14

Y. Kamigata et al., MRS Proc., vol. 671, 2001, p. M1.3.1.CMP: insulator erosion and dishing

Dishing: Cu is removed in wide lines due to deformation of polish pad.

Insulator erosion: ILD is removed in regions with high metal pattern density due deformation of polish pad.

Page 15: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

15

E-CMP: reduced erosion and dishingM. Mellier et al., IITC, 2007, p. 70.

Range within reference structure: 70nm 20 nm

Improved local and global planarity.Reduce variability in resistance.Improved process window for litho.

T. Kanki et al., IITC Proc., 2007, p. 79.

50%

BRM = barrier metal

E-CMP = electro-chemical mechanical polishing

9% 76% 27% 86% 86% 37% 31% Pattern densityL=12umS=20um

L=12umS=2um

L=4.5umS=10um

Cu

Page 16: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

16

Cu Metal FILL

Guidelines for Dummy Shapes:

1. Big enough to resolve easily2. Small and electrically isolated3. Density → center of the process window4. Place FILL shapes everywhere they fit

Cu Hole and Fill shapes

Improve CMP uniformity by adding holes in wide lines and dummy metal shapes during data prep

H. Landis, J. Sucharitaves, AMC Proc., 2006.

Metal HOLE issues- Reduced conductivity- Critical via interactions- Design requirements (less holes)- Manufacturability (more holes)

Cu Metal “Holes” Vias

Page 17: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

17

Effect of layout on interconnect heating

0

0.2

0.4

0.6

0.8

1

1.2

0 2 4 6 8 10

M1 line not connected to substrate.

M1 line connected to substrate

A. Strong, F. Chen, IRW, 2004.

Heating of M2 wire vs M1 layout

Hea

ting

of M

2 w

ire

(arb

. uni

ts)

SiO2 SiN

SiCOH SiCN

M2

M1

Width of underlying M1 wire (μm)

contact

silicon

BPSG

Current flow in M2 joule heating

0 to 8.5 μm

Thermal conductivity:SiO2 = 1.0 W/m-KSiCOH = 0.4 to 0.6 W/m-Kp-SiCOH = 0.2 W/m-K

Page 18: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

18

Cracks•Dicing process•Solder size + composition•Underfill properties•Low-k fracture toughness•Adhesion

Interconnect scaling: reliability

Ultra Low-k (2.2)

Cu oxidation•Dicing process•Cap layer integrity•Low-k porosity

Cu

H2O

Cu

solder

passivation

barrier

hermetic cap

Dielectric breakdown•Pattern fidelity•Low-k damage•Barrier integrity•Contamination

e-

Voids in copper•Cu fill•cap layer adhesion•Pattern fidelity•Low-k damage•Barrier integrity

void

SiO2

crack

e-

Page 19: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

19

Outline

• Copper interconnect scaling• Copper interconnect reliability

– Electromigration– Stress-induced voids– Time Dependent Dielectric Breakdown

• Packaging• Passive devices

Page 20: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

20

T. Sullivan, Int. Reliability Workshop, 2001D. Pierce, P. Brusius, Microelec. Rel., 37, 1053 (1997)

M1 SiO2

SiO2Si3N4 M2

SiO2M3

e-

void (opens or high resistance)

extrusion (shorts or leakage)

refractory metal shunt layer

Cu diffusion due to electron wind

Cu diffusion due to hydrostatic stress

e-

Cu

momentum transfer Cu depletion Cu accumulation

Electromigration basics

Page 21: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

21

Time (hr)0.1 1.0 10 100 1000

ΔR

/Ro

0.8

1.0

1.2

1.41.61.8

1. Measure R vs time

2. Plot cumulative fails vs ln t (at different temperatures)

3. Plot ln t50 vs 1/T

Black‘s Law: ( )kTEjct an /exp50

−⋅=

current densitymedian time to fail

Activation energy for diffusion

Y.-J. Park, IRPS tutorial, 2006.J.R. Black, Proc. IEEE, 57, 1587 (1969)

Electromigration test

Lognormaldistribution

t50

σ

M1SiO2

M3M2e-

Page 22: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

22

Kinetics of electromigration

Cu

SiCOH

vacancy

via

void

(a)

e-Cu

via

void

(b)

e-

Cu

via

void

(c)

e-Cu

SiCN

via

void

(d)

e-

Kinetics limited by void nucleation (n=2)

Kinetics limited by void growth and migration (n=1)

( )kTEjct an /exp50

−⋅=

current densitymedian time to fail

current exponent

Fast diffusion path: Cu – SiCN interface

Page 23: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

23

In-situ SEM of electromigrationZ.-S.. Choi et al., J. Mater. Res., vol. 23, 387 (2008).

1.0 μm line; voids drift all the way to cathode before fail occurs0.3 μm line; voids drift only 1 to 2 um before being pinned at g.b., then span line, causing a fail

1.0 μm line. 0.3 μm line.

e-

370oC, 3 mA/cm2

via

via

e- via

0 min

2750 min

Page 24: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

24

Effect of scaling on EM2005 ITRS roadmap: http://public.itrs.net/

(IITC ‘99)

eVoid Cu h

0.0 0.2 0.4 0.6 0.8 1.0 1.2

0.01

0.1

1

norm

aliz

ed m

edia

n lif

etim

e

wh (μm2)

w

C.K. Hu et al., IRPS Proc., 2004, p. 222.

30405060708090100

(b) Electromigrationrequirement

M1 wire half pitch (nm)

2005

2010

(b) Electromigrationrequirement

Jo

2m

ax@

105

C (M

A/c

m)

2

1

0

101520253035Gate length (nm)

nMO

SI ds

at(m

A/μ

m) 2.5

1.5

0.5nMO

SI ds

at(m

A/μ

m) 2.5

1.5

0.5

2005

2010(a) Drive current requirement

Page 25: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

25

Methods to improve EM lifetimeL. Gossett et al., IITC 2006, p. 84.C.K. Hu et al., Appl. Phys. Lett., 2003, p. 869.

a. Cu CMP

CuxOCu

SiCOHb. Remove CuxO c. Expose to Si-rich b. NH3 plasma

SiH4 NH3CuSix CuSiNplasma

a. Cu CMP

CuxO

b. Wet etch for CuxO d. Deposition

CoWP(B)

1. CuSiNformed by SiH4exposure

2. Self-aligned metal cap by electrolessplating

d. SiC cap depositiona. Deposit barrier and alloy seed

SiC

alloy seed

b. Cu plating

Cu

c. Cu CMP

barrierSiCOH

3. Alloy-seed layer

Shut down fast diffusion path at Cu-SiCN interface.

SiCN

Page 26: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

26

Outline

• Copper interconnect scaling• Copper interconnect reliability

– Electromigration– Stress-induced voids– Time Dependent Dielectric Breakdown

• Packaging• Passive devices

Page 27: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

27

T. Sullivan, in Stress Induced Phenomena in Metallization, 1999, p. 39. M. Hommel, IRPS Tutorial, 2008.

Stress-induced voids in Cu

( )stressdepeffo TTE −Δ= ασ

CTE differencebulk modulus of metal

voids relieve stress

Low Diffusion

High stress High

Diffusion

low stress

(c) after SiNdeposition (25oC)

(b) during SiNdeposition (400oC)

(a) After Cu CMP (25oC)

SiO2

Cu

α= 1.1 ppm/K E = 70 GPa

α= 17 ppm/K E = 120 GPa

SiN

Highest fail rate is at intermediate temperatures

Page 28: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

28

a. M1 Cu deposition + CMP (no anneal) b. After cap deposition; metal

is saturated with vacancies due to grain growth.

c. After via and M2 metal formation; void nucleates under via due to high tensile stress; void growth occurs by diffusion of vacancies along Cu-SiN interface.

SiO2SiN

(a) (b) (c)

E. Ogawa et al., Int. Rel. Phys. Symp., 2002, p. 312.

Stress-induced voids: confined grain growth

Page 29: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

29

No anneal

Stress time (hr)200 600 800400 10000

ΔR

/ R

o(%

)

0

5

10

15

20400oC

M3

M2

20 μm

M2 (20 μm)-V2(0.26 μm)-M3 chain; 225oC

Stress-induced void measurement

Page 30: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

30

20um wide M2225C 1000hr stress

tDxD*≈

Fail rate for stress-induced voids as a function of via size and line width.

M3

M2

V2 = 0.26 μm

V2 = 0.28 μmfail

rate

(%)

0

246

8

0 5 10 15 20M2 width (μm)

V2 1.0 μm

M2

M3SiO2 SiN

1.0 μm

M2

M3SiO2 SiN

Page 31: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

31

Outline

• Copper interconnect scaling• Copper interconnect reliability

– Electromigration– Stress-induced voids– Time Dependent Dielectric Breakdown

• Packaging• Passive devices

Page 32: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

32

Effect of scaling on electric field

0.1

1

10

020406080100

technology node (nm)

Emax

(MV/

cm) Gate dielectric

BEOL dielectric (no vias)

BEOL dielectric (with vias)

SiONHi K

0.1

1

10

020406080100

technology node (nm)

Emax

(MV/

cm) Gate dielectric

BEOL dielectric (no vias)

BEOL dielectric (with vias)

SiONHi K

ITRS Roadmap, 2007.

Cu

SiCN

Ta

Low-k

e-

Vdd

Vdd

p-Sin+ n+

gate

e-

Page 33: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

33

Test at high fields to accelerate fails.Extrapolate to use conditions (low fields) using reliability model. Leading models for BEOL TDDB. “E-model” and “Sqrt-E model”

J. McPherson, in Handbook of Semiconductor Manufacturing, 2000, p. 959.F. Chen, IRPS tutorial, 2008.

CuSiCN

Ta

Low-k

e-

Vdd

TDDB testing

Comb-serp test structure

Page 34: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

34

F. Chen et al., IEEE IRPS 2006, p.46

SiCOH/SiCNs=100nm

k=3.0

E-model (ln lifetime α E)

High field:(all models fit the data)

“Low” field:(best fit with Sqrt-E model)

Sqrt-E-model (ln lifetime α E1/2)

TDDB : E-model vs Sqrt-E model

Stress voltage (V)20 28 36 44 52

TDD

B li

fetim

e (A

.U.)

104

106

108

1010

1012

1014

wafer levelmodule level

E

Sqrt-E1/E

Comb-serp at 150oC

( )[ ] ( )[ ]oxBD ETkTHa γτ −Δ−= expexp

( )⎥⎦

⎤⎢⎣

⎡−+∝ EE

Tk DB

BD βϕτ 221exp

Page 35: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

35

F. Chen et al. Int. Rel. Phys. Symp., 2005, p. 501G. Hasse et al., Int. Rel. Phys. Symp. 2005, p. 466.

TDDB mechanism; SiCOH dielectric

EF

Ec

e-

(-) (+)

Cu

CuSiCOH

V

ΦB

+++Cu or H or h+

---e-

Electron injection from cathode and trapping in SiCOH

Cu, H, or h+ diffusion from anode into SiCOH –SiC interface

High conc. of Cu particles or traps percolation path

SiCOH

CuCu

Dark-field STEM image after TDDB stress

Page 36: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

36

Outline

• Copper interconnect scaling• Copper interconnect reliability• Packaging• Passive devices

Page 37: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

37

Packaging challengesITRS 2007

Effect of Cu/ low-k on packaging•Direct wire bond or bump to Cu•Dicing for ultra low-k dielectric•Pb-free bump and underfill technology compatible with low-k•Improved fracture toughness and adhesion of low-k•Probing over Cu/ low-k

Thinned die packaging•Wafer / die handling•Different carrier materials(organics, silicon, ceramics, glass, laminate

core)

chipsubstrate

encapsulantwire device region

bond pad

substratesolder ball

chipunderfill

device regionencapsulant

Wire bond package* Low cost (for I/O < 500)* Low I/O density

C4 or flip chip package* High I/O density* Small form factor

Page 38: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

38

Dicing; effect of dielectric H. Zhao, D. Shi, IEEE/CPMT Elec. Man. Tech. Symp., 2003, p. 401.

Dicing damage depends on low-k dielectric • More dicing damage for oxide low-k vs polymer low-k dielectrics• More damage for porous low-k vs dense low-k dielectricsDicing damage depends on saw process • Less damage for smaller diamond grit size and slower speed of cut • Less damage for two-step dicing vs one-step dicing

•First cut removes all materials in top layer

p-MSQ, κ= 2.2

Dicing channel

Peeling

Chipping

PECVD SiCOHκ= 2.8

Crack stop

Page 39: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

39

A.V. Kearney et al., IITC Proc., 2007, p. 138.

metalILD

Low fracture E for ILD

High fracture E for die seal

•Fracture energy measured by double canilever beam•Fracture energy incresses as crack approaches die seal•AFM measurement shows that crack deflects over die seal.

D. Chumakov et al., IEEE Trans. Semi. Manu., 2009, p. 592.

crackstop Die

Crack is deflected

Crack stop

Page 40: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

40

Edge seal ringS.-H. Chen, M.-D. Ker, Microelec. Rel., 2005, p. 1311.L. Li et al., ECTC Proc., 2007, p. 755.

Purpose of edge seal ring:•Protect circuits from moisture and contamination.•Provide substrate contact.

molding compound

Molding compound is saturated with water after 60h

Need “wall of metal” to protect chip.

1000h, 85oC / 85% RH

H2O

Discrete vias Merged vias

H2O

Cu

Low k

250C, air, 7 days

Page 41: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

41

Fails in Wirebond BGA packageB. Landers et al., IITC Proc., 2004, p. 108.

Wirebond Wires

Delaminated area

Delaminated area

TEM of Delaminated Interface

M1 CuSiCN

SiCOH

Stra

in E

nerg

y R

elea

se R

ate

(J/m

2 )0 100 200 300 400 500 600 700

0

2

4

6

8

Initial SiCOH Adhesion,

Optimized SiCOH Adhesion

Crack Length (μm)

Standard overmold

Low-stress overmold

SiCOH Cohesion

Stress from package can crack low-k interface•Reduce stress from package •Improve adhesion of low-k stack

•Interface between low-k to capping layer• Increase modulus of low-k film

(after thermal cycle stress)

Page 42: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

42

underfill

Si substrate

C4

bond pad

low-kCu

cracks

carrierCarrier; high CTE

Chip; low CTE

Before joining

After joining

Flip chip package; low-kT. Pan et al., IMAPS workshop, Dec. 2003 (www.kns.com)

•CTE mismatch between chip and carrier causes stress at edge of chip during thermal cycling.•Use underfill to reduce stress on C4.•Underfill with high modulus (> 8 GPa);

less stress on C4, more stress on low-k•Underfill with low modulus (< 3 GPa); less stress on low-k, more stress on C4

High stress

C4

Si

BEOL

Page 43: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

43

• High stress on chip due to Pb-free solder• Higher modulus compared to Pb-based solder• Higher reflow temperature compared to Pb-

based solder• Crack propagation depends on following:

• Low-k film properties: modulus and adhesion• Chip Size• Final Chip Level Pad/Via Module Design• Solder Bump; Dimension, Type, orientation• Chip-Join Processes • Package Laminate structure

PbFree C4 w/o Underfill

CSAM – White defects after chip-join

Effect of Pb-free solder on low-kT. Daubenspeck et al., Symp. Polymers, 2008.S. Kang et al., IBM J. Res. Dev., vol. 49, 607 (2005).V. Vasudevan et al., ECTC, 2007, p. 116.

solder melting Young's point modulus

Sn/37%Pb 183oC 39 GPaSn/3.5%Ag 221oC 51 GPa

Sn/3%Ag/0.5%Cu 217oC 51 GPaSn/0.7%Cu 227oC 59 GPa

Page 44: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

44

Electromigration in solder

Current density that causes a fail:• For Al or Cu, ~ 105 or 106 A/cm2• For solder, ~ 103 or 104 A/cm2

• High diffusivity (low melting point• Bulk diffusion rather than inteface or g.b.• Solid state reactions with barrier• Current crowding

J.W. Nah, K.N. Tu, Lead-free Tech. Workshop, 2005

50 h 50 h

1250 h1250 h

145oC, 5.2E4 A/cm2

S.-H. Chae et al., ECTC Proc., 2007, p. 1442.

metal melting 373oK/ Tmelt diffusivity @ 100oCpoint

Cu 1356oK 0.275 Dsurface = 10-12 cm2/secAl 933oK 0.4 Dg.b. = 6x10-11 cm2/secPb 600oK 0.62 Dbulk = 6x10-13 cm2/sec

PbSn 456oK 0.82 Dbulk = 2x10-9 cm2/sec

Page 45: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

45

Outline

• Copper interconnect scaling• Copper interconnect reliability• Packaging - TSV• Passive devices

Page 46: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

46

3D integrationP. Leduc, Metrology for Nanoelectronics, 2007.

Replace long 2D wires with short 3D wires.•Reduce delay, cross-talk, power • Need TSV size < 5 um

Enable integration of heterogeneous devices•Memory, logic, sensors, etc.• Need TSV size of 10 - 50 um

Small form factor•Need TSV size 50 – 100 um

Page 47: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

47

Through-silicon via process optionsP. Leduc et al., IITC, 2007, p. 210.

“Via last process”.•Build FEOL + BEOL.•Thinning•SiO2 bonding.•TSV

“Via first (after contact) process”.•Build FEOL • TSV•Build BEOL•Thinning• Cu-Cu bonding

P. De Moor et al., MRS Proc., vol. 970, 2007.

Low temp process required.Consumes BEOL wiring areaWafer-wafer bonding required“Easy” bonding process.

Difficult bonding process.Process must be compatible with FEOLDoes not consume BEOL areaChip-wafer bonding is possible

Wafer 1

Wafer 2

Wafer 3

Page 48: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

48

TSV process flow (via first)T. Mitsuhashi et al., MRS Proc., vol. 970, 2007.

Page 49: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

49

Die stacking vs wafer stackingK. Sakuma et al., ECTC, 2008, p. 18.

Wafer stacking:

High throughput.

Need high yielding die (>90%)Need same die size + wafer size.

Die stacking:

Lower throughput.

Sort + build known good die.Different die size and wafer size is OK.

Page 50: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

50

3D IC challenges• Process

– High through-put via etch and fill– Wafer Alignment and bonding– Si thinning– Thin wafer handling

• Test– Test before bonding?

• Design methodology• Thermal management

– Special cooling?• Reliability

– TSV electrical contact

P. Leduc, Metrology for Nanoelectronics, 2007.

Page 51: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

51

Outline

• Copper interconnect scaling• Copper interconnect reliability• Packaging• Passive devices

Page 52: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

52

Al

Cu SiO2

MIM cap.TaN resistor

poly resistor

inductor

STIMOS cap.

On-chip passive devices

Page 53: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

53

Resistorsresistor sheet tolerance parasitic temperature ref.

resistance capacitance linearityp+ polysilicon 200-300 ohm/sq 10-15% 0,1 fF/um2 ~ 20 ppm/oC [34]

TaN 140 ohm/sq 10% 0,03 fF/um2 ~730 ppm/oC [34]25 ohm/sq 0 ppm/oC [35]50 ohm/sq 500 ppm/oC [35]

100 ohm/sq 800 ppm/oC [35]SiCr 440 ohm/sq 100 ppm/oC [35]

Advantages of polysilicon resistor:• Low cost.• Low TCR

Advantage of metal resistor:• Low parasitic capacitance

TaN resistor:• Compatible with Cu BEOL• Resistance and TCR depends on

nitrogen content.

Page 54: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

54

Capacitors

Advantages of MOS capacitor:• Low cost.• High capacitance density

Advantages of MIM capacitor:• Good voltage linearity• High quality factor (low parasistic

resistance).

capacitor dielectric capacitance tolerance voltage temperature ref.linearity linearity

MOS SiO2 1.2 - 3.1 fF/um210 - 15% > 1000 ppm/V 20 - 50 ppm/oC [34]

PIP SiO2 1.6 fF/um225% > 2000 ppm/V ~20 ppm/oC [34]

MIM 50 nm SiO2 0.7 fF/um27% < 25 ppm/V ~50 ppm/oC [3,34]

MIM 50 nm SiN 1.35 fF/um2 13% [3]MIM 33 nm SiN 2.1 fF/um2 11% [3]MIM Ta2O5 3 fF/um2

~ 100 ppm/V 84 ppm/oC [36]

MIM Al2O3 3 fF/um2~ 400 ppm/V 255 ppm/oC [36]

MIM 25nm HfO2-Al2O3 6.6 fF/um2109 ppm/V 196 ppm/oC [37]

MIM 13nm HfO2-Al2O3 13 fF/um2236 ppm/V 183 ppm/oC [37]

VPP 90 nm node > 3 fF/um2 [38]7 metal layers

Page 55: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

55

MIM capacitor in Cu technologyC.H. Ng, C.-S. Ho, S.F. Chu, S.-C. Sun, IEEE Trans. Elec. Dev., 52, 1399 (2005).

SiO2

CuTE

BE

hi-K

Cu

TE

BESiN or hi-K

SiO2

BE

Cu

TESiN

SiO2

Al

BE

TE

SiN or SiO2

Al Cu

(a) MIM in Al BEOL (b) MIM in Cu BEOL(1 mask)

(c) MIM in Cu BEOL (2 masks) (d) MIM in Cu BEOL (2 masks)

Page 56: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

56

Sources of energy loss for on-chip inductor S. Jenei, S. Decoutere, S. Van Huylenbroeck, G. Vanhorebeek, B. Nauwelaers,

Silicon Monolithic Integrated Circuits in RF Systems, 2001, p. 64.

Low frequency: resistive loss dominates (use thicker metal)High frequency: capacitive loss dominates (decouple from substrate)

Capacitive loss in Si and SiO2

Resistive loss in metal

Eddy current loss in Si

Page 57: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

57

Quality factor improvementC.-H. Chen et al., IEDM Proc., 2003, p. 39.

Increase metal thickness Use patterned ground shield (M1)

Y.-C. Wu et al., IEEE Elec. Dev. Lett., 2009, p. 383.

M1

M6

Page 58: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

58

Conclusion

• Reliability– For longer electromigration lifetime Metal capping

layers, metal alloys– Minimize fails from stress-induced voids Redundant

vias– Maximize TDDB lifetime control line width variation,

minimize polish damage

• Process integration– Porous low-k (k < 2.5) is very fragile difficult

processing• Low final dielectric constant may not be achieved due

to damage. • Air-gap is an alternative (but higher cost)

– Process variation• Litho and etch control; CMP control and pattern

density rules

Page 59: Copper Interconnect Technology for the 32 nm node …ewh.ieee.org/r5/denver/sscs/Presentations/2010_01_Gambino.pdfCopper Interconnect Technology for the 32 nm node ... SiN. 7 BEOL

59

Conclusion• Packaging

– Minimize damage to low-k dielectric optimize dicing, underfill, molding compound, layout.

– Pb-free solder; must optimize solder composition, solder reflow, pad layout, and underfill.

– Electromigration of solder: Use Cu pillars , reduce current crowding, optimize barrier layer metals.

• Passive devices for RF and mixed signal technology– Resistors: polysilicon (low cost, low TCR) vs TaN (low

parasitic capacitance)– Capacitors: MOS (low cost, high capacitance density) vs

MIM (good voltage linearity, high quality factor)– Inductors: Improve Q thick Cu and high resistivity

substrate or ground shield .


Recommended