+ All Categories
Home > Documents > CPE 631Lecture 10: Instruction Level Parallelism and Its...

CPE 631Lecture 10: Instruction Level Parallelism and Its...

Date post: 02-Feb-2020
Category:
Upload: others
View: 3 times
Download: 0 times
Share this document with a friend
48
•2/16/2004 •Aleksandar Milenkovich •1 CPE 631 Lecture 10: Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovi c, [email protected] Electrical and Computer Engineering University of Alabama in Huntsville 16/02/2004 UAH-CPE631 2 CPE 631 AM Outline n Instruction Level Parallelism (ILP) n Recap: Data Dependencies n Extended MIPS Pipeline and Hazards n Dynamic scheduling with a scoreboard
Transcript
Page 1: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •1

CPE 631 Lecture 10: Instruction Level Parallelism andIts Dynamic Exploitation

Aleksandar Milenkovic, [email protected] and Computer EngineeringUniversity of Alabama in Huntsville

16/02/2004 UAH-CPE631 2

CPE 631AM

Outline

n Instruction Level Parallelism (ILP)n Recap: Data Dependenciesn Extended MIPS Pipeline and Hazardsn Dynamic scheduling with a scoreboard

Page 2: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •2

16/02/2004 UAH-CPE631 3

CPE 631AM

ILP: Concepts and Challenges

n ILP (Instruction Level Parallelism) –overlap execution of unrelated instructions

n Techniques that increase amount of parallelism exploited among instructions– reduce impact of data and control hazards– increase processor ability to exploit parallelism

n Pipeline CPI = Ideal pipeline CPI + Structural stalls + RAW stalls + WAR stalls + WAW stalls + Control stalls– Reducing each of the terms of the right-hand side

minimize CPI and thus increase instruction throughput

16/02/2004 UAH-CPE631 4

CPE 631AM

Two approaches to exploit parallelism

n Dynamic techniques– largely depend on hardware

to locate the parallelism

n Static techniques– relay on software

Page 3: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •3

16/02/2004 UAH-CPE631 5

CPE 631AM

Techniques to exploit parallelism

DH stallsBasic compiler pipeline scheduling (A.2, 4.1)

CH stallsLoop Unrolling (4.1)

WAR and WAW stallsDynamic scheduling with register renaming (3.2)

DH stalls (RAW)Basic dynamic scheduling (A.8)

Control hazard stallsDelayed branches (A.2)

Data hazard (DH) stallsForwarding and bypassing (Section A.2)

Ideal CPI, and D/CH stallsCompiler speculation (4.4)

Ideal CPI and DH stallsSoftware pipelining and trace scheduling (4.3)

Ideal CPI, DH stallsCompiler dependence analysis (4.4)

RAW stalls w. memoryDynamic memory disambiguation (3.2, 3.7)

Data and control stallsSpeculation (3.7)

Ideal CPIIssuing multiple instruction per cycle (3.6)

CH stallsDynamic branch prediction (3.4)

Reduces Technique (Section in the textbook)

Dynamically Scheduled Pipelines

Page 4: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •4

16/02/2004 UAH-CPE631 7

CPE 631AM

Overcoming Data Hazards with Dynamic Scheduling

n Why in HW at run time?– Works when can’t know real dependence

at compile time– Simpler compiler – Code for one machine runs well on another

n Example

n Key idea: Allow instructions behind stall to proceed

DIV.D F0,F2,F4ADD.D F10,F0,F8SUB.D F12,F8,F12

SUB.D cannot execute because the dependence of ADD.D on DIV.D causes the pipeline to stall; yet SUBD is not data dependent on anything!

16/02/2004 UAH-CPE631 8

CPE 631AM

Overcoming Data Hazards with Dynamic Scheduling (cont’d)

n Enables out-of-order execution => out-of-order completion

n Out-of-order execution divides ID stage:– 1. Issue—decode instructions,

check for structural hazards– 2. Read operands—wait until no data hazards,

then read operandsn Scoreboarding –

technique for allowing instructions to execute out of order when there are sufficient resources and no data dependencies (CDC 6600, 1963)

Page 5: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •5

16/02/2004 UAH-CPE631 9

CPE 631AM

Scoreboarding Implications

n Out-of-order completion => WAR, WAW hazards?

n Solutions for WAR– Queue both the operation and copies of its operands– Read registers only during Read Operands stage

n For WAW, must detect hazard: stall until other completes

n Need to have multiple instructions in execution phase => multiple execution units or pipelined execution units

n Scoreboard keeps track of dependencies, state or operations

n Scoreboard replaces ID, EX, WB with 4 stages

DIV.D F0,F2,F4ADD.D F10,F0,F8SUB.D F8,F8,F12

DIV.D F0,F2,F4ADD.D F10,F0,F8SUB.D F10,F8,F12

16/02/2004 UAH-CPE631 10

CPE 631AM

Four Stages of Scoreboard Control

n ID1: Issue — decode instructions & check for structural hazards

n ID2: Read operands — wait until no data hazards, then read operands

n EX: Execute — operate on operands; when the result is ready, it notifies the scoreboard that it hascompleted execution

n WB: Write results — finish execution; the scoreboard checks for WAR hazards. If none, it writes results. If WAR, then it stalls the instruction

DIV.D F0,F2,F4ADD.D F10,F0,F8SUB.D F8,F8,F12

Scoreboarding stalls the the SUBD in its write result stage until ADDD reads its operands

Page 6: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •6

16/02/2004 UAH-CPE631 11

CPE 631AM

Four Stages of Scoreboard Control

n 1. Issue—decode instructions & check for structural hazards (ID1)– If a functional unit for the instruction is free and no other active

instruction has the same destination register (WAW), the scoreboard issues the instruction to the functional unit and updates its internal data structure. If a structural or WAW hazard exists, then the instruction issue stalls, and no further instructions will issue until these hazards are cleared.

n 2. Read operands—wait until no data hazards, then read operands (ID2)– A source operand is available if no earlier issued active instruction is

going to write it, or if the register containing the operand is being written by a currently active functional unit. When the source operands are available, the scoreboard tells the functional unit to proceed to read the operands from the registers and begin execution. The scoreboard resolves RAW hazards dynamically in this step, and instructions may be sent into execution out of order.

16/02/2004 UAH-CPE631 12

CPE 631AM

Four Stages of Scoreboard Control

n 3. Execution—operate on operands (EX)– The functional unit begins execution upon receiving operands. When

the result is ready, it notifies the scoreboard that it has completed execution.

n 4. Write result—finish execution (WB)– Once the scoreboard is aware that the functional unit has completed

execution, the scoreboard checks for WAR hazards. If none, it writes results. If WAR, then it stalls the instruction.

– Example:

– CDC 6600 scoreboard would stall SUBD until ADD.D reads operands

DIV.D F0,F2,F4ADD.D F10,F0,F8SUB.D F8,F8,F14

Page 7: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •7

16/02/2004 UAH-CPE631 13

CPE 631AM

Three Parts of the Scoreboard

n 1. Instruction status—which of 4 steps the instruction is in (Capacity = window size)

n 2. Functional unit status—Indicates the state of the functional unit (FU). 9 fields for each functional unit– Busy—Indicates whether the unit is busy or not

– Op—Operation to perform in the unit (e.g., + or –)– Fi—Destination register– Fj, Fk—Source-register numbers– Qj, Qk—Functional units producing source registers Fj, Fk– Rj, Rk—Flags indicating when Fj, Fk are ready

n 3. Register result status—Indicates which functional unit will write each register, if one exists. Blank when no pending instructions will write that register

16/02/2004 UAH-CPE631 14

CPE 631AM

MIPS with a Scoreboard

Add1Add2Add3

FP Mult

Registers

Control/StatusScoreboard

FP Mult

FP Div

FP Div

FP Div

Control/Status

Page 8: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •8

16/02/2004 UAH-CPE631 15

CPE 631AM

Detailed Scoreboard Pipeline Control

Read operands

Execution complete

Instruction status

Write result

Issue

Bookkeeping

Rj← No; Rk← No

∀f(if Qj(f)=FU then Rj(f)← Yes);∀f(if Qk(f)=FU then Rj(f)← Yes);

Result(Fi(FU))← 0; Busy(FU)← No

Busy(FU)← yes; Op(FU)← op; Fi(FU)← ’D’; Fj(FU)← ’S1’;

Fk(FU)← ’S2’; Qj← Result(’S1’); Qk← Result(’S2’); Rj← not Qj; Rk← not Qk; Result(’D’)← FU;

Rj and Rk

Functional unit done

Wait until

∀f((Fj( f )?Fi(FU) or Rj( f )=No) &

(Fk( f ) ?Fi(FU) or Rk( f )=No))

Not busy (FU) and not result (D)

16/02/2004 UAH-CPE631 16

CPE 631AM

Scoreboard Example

Instruction status Read ExecutionWriteInstruction j k Issue operandscomplete ResultL.D F6 34+ R2L.D F2 45+ R3MUL.D F0 F2 F4SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

FU

Page 9: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •9

16/02/2004 UAH-CPE631 17

CPE 631AM

Scoreboard Example: Cycle 1

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1L.D F2 45+ R3MUL.D F0 F2 F4SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

1 FU Integer

Issue 1st L.D!

16/02/2004 UAH-CPE631 18

CPE 631AM

Scoreboard Example: Cycle 2

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2L.D F2 45+ R3MUL.D F0 F2 F4SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

2 FU Integer

Issue 2nd L.D? Structural hazard!No further instructions will issue!

Page 10: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •10

16/02/2004 UAH-CPE631 19

CPE 631AM

Scoreboard Example: Cycle 3

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3L.D F2 45+ R3MUL.D F0 F2 F4SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

3 FU Integer

Issue MUL.D?

16/02/2004 UAH-CPE631 20

CPE 631AM

Scoreboard Example: Cycle 4

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3MUL.D F0 F2 F4SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F6 R2 YesMult1 NoMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

4 FU Integer

Check for WAR hazards!If none, write result!

Page 11: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •11

16/02/2004 UAH-CPE631 21

CPE 631AM

Scoreboard Example: Cycle 5

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5MUL.D F0 F2 F4SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 NoMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

5 FU Integer

Issue 2nd L.D!

16/02/2004 UAH-CPE631 22

CPE 631AM

Scoreboard Example: Cycle 6

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6MUL.D F0 F2 F4 6SUB.D F8 F6 F2DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 Yes Mult F0 F2 F4 Integer No YesMult2 NoAdd NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

6 FU Mult1 Integer

Issue MUL.D!

Page 12: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •12

16/02/2004 UAH-CPE631 23

CPE 631AM

Scoreboard Example: Cycle 7

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7MUL.D F0 F2 F4 6SUB.D F8 F6 F2 7DIV.D F10 F0 F6ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 Yes Mult F0 F2 F4 Integer No YesMult2 NoAdd Yes Sub F8 F6 F2 Integer Yes NoDivide No

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

7 FU Mult1 Integer Add

Issue SUB.D!

16/02/2004 UAH-CPE631 24

CPE 631AM

Scoreboard Example: Cycle 8

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6SUB.D F8 F6 F2 7DIV.D F10 F0 F6 8ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger Yes Load F2 R3 YesMult1 Yes Mult F0 F2 F4 Integer No YesMult2 NoAdd Yes Sub F8 F6 F2 Integer Yes NoDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

8 FU Mult1 Integer Add Divide

Issue DIV.D!

Page 13: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •13

16/02/2004 UAH-CPE631 25

CPE 631AM

Scoreboard Example: Cycle 9

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9DIV.D F10 F0 F6 8ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

10 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 No

2 Add Yes Sub F8 F6 F2 Integer Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

9 FU Mult1 Add Divide

Read operands for MUL.D and SUB.D!Assume we can feed Mult1 and Add units in the same clock cycle.Issue ADD.D? Structural Hazard (unit is busy)!

16/02/2004 UAH-CPE631 26

CPE 631AM

Scoreboard Example: Cycle 11

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11DIV.D F10 F0 F6 8ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

8 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 No

0 Add Yes Sub F8 F6 F2 Integer Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

11 FU Mult1 Add Divide

Last cycle of SUB.D execution.

Page 14: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •14

16/02/2004 UAH-CPE631 27

CPE 631AM

Scoreboard Example: Cycle 12

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

7 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 NoAdd Yes Sub F8 F6 F2 Integer Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

12 FU Mult1 Add Divide

Check WAR on F8. Write F8.

16/02/2004 UAH-CPE631 28

CPE 631AM

Scoreboard Example: Cycle 13

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

6 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

13 FU Mult1 Add Divide

Issue ADD.D!

Page 15: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •15

16/02/2004 UAH-CPE631 29

CPE 631AM

Scoreboard Example: Cycle 14

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13 14Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

5 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 No

2 Add Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

14 FU Mult1 Add Divide

Read operands for ADD.D!

16/02/2004 UAH-CPE631 30

CPE 631AM

Scoreboard Example: Cycle 15

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13 14Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

4 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 No

1 Add Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

14 FU Mult1 Add Divide

Read operands for ADD.D!

Page 16: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •16

16/02/2004 UAH-CPE631 31

CPE 631AM

Scoreboard Example: Cycle 16

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

3 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 No

0 Add Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

16 FU Mult1 Add Divide

16/02/2004 UAH-CPE631 32

CPE 631AM

Scoreboard Example: Cycle 17

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

2 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

17 FU Mult1 Add Divide

Why cannot write F6?

Page 17: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •17

16/02/2004 UAH-CPE631 33

CPE 631AM

Scoreboard Example: Cycle 19

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9 19SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger No

0 Mult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

17 FU Mult1 Add Divide

16/02/2004 UAH-CPE631 34

CPE 631AM

Scoreboard Example: Cycle 20

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9 19 20SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8ADD.D F6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 Yes Mult F0 F2 F4 Integer Yes YesMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 No Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

20 FU Mult1 Add Divide

Page 18: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •18

16/02/2004 UAH-CPE631 35

CPE 631AM

Scoreboard Example: Cycle 21

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9 19 20SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8 21ADD.D F6 F8 F2 13 14 16Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd Yes Add F6 F8 F2 Yes YesDivide Yes Div F10 F0 F6 Mult1 Yes Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

21 FU Add Divide

16/02/2004 UAH-CPE631 36

CPE 631AM

Scoreboard Example: Cycle 22

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9 19 20SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8 21ADD.D F6 F8 F2 13 14 16 22Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd Yes Add F6 F8 F2 Yes Yes

40 Divide Yes Div F10 F0 F6 Mult1 Yes YesRegister result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

22 FU Add Divide

Write F6?

Page 19: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •19

16/02/2004 UAH-CPE631 37

CPE 631AM

Scoreboard Example: Cycle 61

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9 19 20SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8 21 61ADD.D F6 F8 F2 13 14 16 22Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd No

0 Divide Yes Div F10 F0 F6 Mult1 Yes YesRegister result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

61 FU Divide

Write F6?

16/02/2004 UAH-CPE631 38

CPE 631AM

Scoreboard Example: Cycle 62

Instruction status Read ExecutionWriteInstruction j k Issue operandscompleteResultL.D F6 34+ R2 1 2 3 4L.D F2 45+ R3 5 6 7 8MUL.D F0 F2 F4 6 9 19 20SUB.D F8 F6 F2 7 9 11 12DIV.D F10 F0 F6 8 21 61 62ADD.D F6 F8 F2 13 14 16 22Functional unit status dest S1 S2 FU for jFU for kFj? Fk?

Time Name Busy Op Fi Fj Fk Qj Qk Rj RkInteger NoMult1 NoMult2 NoAdd NoDivide Yes Div F10 F0 F6 Mult1 Yes Yes

Register result statusClock F0 F2 F4 F6 F8 F10 F12 ... F30

62 FU Divide

Write F6?

Page 20: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •20

16/02/2004 UAH-CPE631 39

CPE 631AM

Scoreboard Results

n For the CDC 6600– 70% improvement for Fortran– 150% improvement for hand coded assembly language– cost was similar to one of the functional units

• surprisingly low• bulk of cost was in the extra busses

n Still this was in ancient time– no caches & no main semiconductor memory– no software pipelining

– compilers?

n So, why is it coming back– performance via ILP

16/02/2004 UAH-CPE631 40

CPE 631AM

Scoreboard Limitations

n Amount of parallelism among instructions– can we find independent instructions to execute

n Number of scoreboard entries– how far ahead the pipeline can look for

independent instructions (we assume a window does not extend beyond a branch)

n Number and types of functional units– avoid structural hazards

n Presence of antidependences and output dependences– WAR and WAW stalls become more important

Page 21: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •21

16/02/2004 UAH-CPE631 41

CPE 631AM

Tomasulo’s Algorithm

n Used in IBM 360/91 FPU (before caches)n Goal: high FP performance without special compilersn Conditions:

– Small number of floating point registers (4 in 360) prevented interesting compiler scheduling of operations

– Long memory accesses and long FP delays– This led Tomasulo to try to figure out how to get more

effective registers — renaming in hardware!

n Why Study 1966 Computer? n The descendants of this have flourished!

– Alpha 21264, HP 8000, MIPS 10000, Pentium III, PowerPC 604, …

16/02/2004 UAH-CPE631 42

CPE 631AM

Tomasulo’s Algorithm (cont’d)

n Control & buffers distributed with Function Units (FU)– FU buffers called “reservation stations” =>

buffer the operands of instructions waiting to issue;

n Registers in instructions replaced by values or pointers to reservation stations (RS) => register renaming– avoids WAR, WAW hazards– More reservation stations than registers,

so can do optimizations compilers can’t

n Results to FU from RS, not through registers, over Common Data Bus that broadcasts results to all FUs

n Load and Stores treated as FUs with RSs as welln Integer instructions can go past branches,

allowing FP ops beyond basic block in FP queue

Page 22: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •22

16/02/2004 UAH-CPE631 43

CPE 631AM

Tomasulo-based FPU for MIPS

FP addersFP adders

Add1Add2Add3

FP multipliersFP multipliers

Mult1Mult2

From Mem FP Registers

Reservation Stations

Common Data Bus (CDB)

To Mem

FP OpQueue

Load Buffers

Store Buffers

Load1Load2Load3Load4Load5Load6

From Instruction Unit

Store1Store2Store3

16/02/2004 UAH-CPE631 44

CPE 631AM

Reservation Station Components

n Op: Operation to perform in the unit (e.g., + or –)n Vj, Vk: Value of Source operands

– Store buffers has V field, result to be stored

n Qj, Qk: Reservation stations producing source registers (value to be written)– Note: Qj/Qk=0 => source operand is already available in Vj

/Vk

– Store buffers only have Qi for RS producing result

n Busy: Indicates reservation station or FU is busy

Register result status—Indicates which functional unit will write each register, if one exists. Blank when no pending instructions that will write that register.

Page 23: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •23

16/02/2004 UAH-CPE631 45

CPE 631AM

Three Stages of Tomasulo Algorithm

n 1. Issue—get instruction from FP Op Queue– If reservation station free (no structural hazard),

control issues instr & sends operands (renames registers)

n 2. Execute—operate on operands (EX)– When both operands ready then execute;

if not ready, watch Common Data Bus for result

n 3. Write result—finish execution (WB)– Write it on Common Data Bus to all awaiting units;

mark reservation station available

n Normal data bus: data + destination (“go to” bus)n Common data bus: data + source (“come from” bus)

– 64 bits of data + 4 bits of Functional Unit source address– Write if matches expected Functional Unit (produces result)– Does the broadcast

n Example speed: 2 clocks for Fl .pt. +,-; 10 for * ; 40 clks for /

16/02/2004 UAH-CPE631 46

CPE 631AM

Tomasulo ExampleInstruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 Load1 NoLD F2 45+ R3 Load2 NoMULTD F0 F2 F4 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

0 FU

Clock cycle counter

FU countdown

Instruction stream

3 Load/Buffers

3 FP Adder R.S.2 FP Mult R.S.

Page 24: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •24

16/02/2004 UAH-CPE631 47

CPE 631AM

Tomasulo Example Cycle 1Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 Load1 Yes 34+R2LD F2 45+ R3 Load2 NoMULTD F0 F2 F4 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

1 FU Load1

16/02/2004 UAH-CPE631 48

CPE 631AM

Tomasulo Example Cycle 2Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 Load1 Yes 34+R2LD F2 45+ R3 2 Load2 Yes 45+R3MULTD F0 F2 F4 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

2 FU Load2 Load1

Note: Can have multiple loads outstanding

Page 25: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •25

16/02/2004 UAH-CPE631 49

CPE 631AM

Tomasulo Example Cycle 3Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 Load1 Yes 34+R2LD F2 45+ R3 2 Load2 Yes 45+R3MULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 Yes MULTD R(F4) Load2Mult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

3 FU Mult1 Load2 Load1

• Note: registers names are removed (“renamed”) in Reservation Stations; MULT issued

• Load1 completing; what is waiting for Load1?

16/02/2004 UAH-CPE631 50

CPE 631AM

Tomasulo Example Cycle 4Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 Load2 Yes 45+R3MULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4DIVD F10 F0 F6ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 Yes SUBD M(A1) Load2Add2 NoAdd3 NoMult1 Yes MULTD R(F4) Load2Mult2 No

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

4 FU Mult1 Load2 M(A1) Add1

• Load2 completing; what is waiting for Load2?

Page 26: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •26

16/02/2004 UAH-CPE631 51

CPE 631AM

Tomasulo Example Cycle 5Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4DIVD F10 F0 F6 5ADDD F6 F8 F2

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

2 Add1 Yes SUBD M(A1) M(A2)Add2 NoAdd3 No

10 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

5 FU Mult1 M(A2) M(A1) Add1 Mult2

• Timer starts down for Add1, Mult1

16/02/2004 UAH-CPE631 52

CPE 631AM

Tomasulo Example Cycle 6Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

1 Add1 Yes SUBD M(A1) M(A2)Add2 Yes ADDD M(A2) Add1Add3 No

9 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

6 FU Mult1 M(A2) Add2 Add1 Mult2

• Issue ADDD here despite name dependency on F6?

Page 27: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •27

16/02/2004 UAH-CPE631 53

CPE 631AM

Tomasulo Example Cycle 7Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

0 Add1 Yes SUBD M(A1) M(A2)Add2 Yes ADDD M(A2) Add1Add3 No

8 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

7 FU Mult1 M(A2) Add2 Add1 Mult2

• Add1 (SUBD) completing; what is waiting for it?

16/02/2004 UAH-CPE631 54

CPE 631AM

Tomasulo Example Cycle 8Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 No2 Add2 Yes ADDD (M-M) M(A2)

Add3 No7 Mult1 Yes MULTD M(A2) R(F4)

Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

8 FU Mult1 M(A2) Add2 (M-M) Mult2

Page 28: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •28

16/02/2004 UAH-CPE631 55

CPE 631AM

Tomasulo Example Cycle 9Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 No1 Add2 Yes ADDD (M-M) M(A2)

Add3 No6 Mult1 Yes MULTD M(A2) R(F4)

Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

9 FU Mult1 M(A2) Add2 (M-M) Mult2

16/02/2004 UAH-CPE631 56

CPE 631AM

Tomasulo Example Cycle 10Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 No0 Add2 Yes ADDD (M-M) M(A2)

Add3 No5 Mult1 Yes MULTD M(A2) R(F4)

Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

10 FU Mult1 M(A2) Add2 (M-M) Mult2

• Add2 (ADDD) completing; what is waiting for it?

Page 29: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •29

16/02/2004 UAH-CPE631 57

CPE 631AM

Tomasulo Example Cycle 11Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

4 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

11 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

• Write result of ADDD here?• All quick instructions complete in this cycle!

16/02/2004 UAH-CPE631 58

CPE 631AM

Tomasulo Example Cycle 12Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

3 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

12 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

Page 30: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •30

16/02/2004 UAH-CPE631 59

CPE 631AM

Tomasulo Example Cycle 13Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

2 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

13 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

16/02/2004 UAH-CPE631 60

CPE 631AM

Tomasulo Example Cycle 14Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

1 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

14 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

Page 31: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •31

16/02/2004 UAH-CPE631 61

CPE 631AM

Tomasulo Example Cycle 15Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 No

0 Mult1 Yes MULTD M(A2) R(F4)Mult2 Yes DIVD M(A1) Mult1

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

15 FU Mult1 M(A2) (M-M+M)(M-M) Mult2

• Mult1 (MULTD) completing; what is waiting for it?

16/02/2004 UAH-CPE631 62

CPE 631AM

Tomasulo Example Cycle 16Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 No

40 Mult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

16 FU M*F4 M(A2) (M-M+M)(M-M) Mult2

• Just waiting for Mult2 (DIVD) to complete

Page 32: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •32

16/02/2004 UAH-CPE631 63

CPE 631AM

Tomasulo Example Cycle 55Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 No

1 Mult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

55 FU M*F4 M(A2) (M-M+M)(M-M) Mult2

16/02/2004 UAH-CPE631 64

CPE 631AM

Tomasulo Example Cycle 56Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5 56ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 No

0 Mult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

56 FU M*F4 M(A2) (M-M+M)(M-M) Mult2

• Mult2 (DIVD) is completing; what is waiting for it?

Page 33: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •33

16/02/2004 UAH-CPE631 65

CPE 631AM

Tomasulo Example Cycle 57Instruction status: Exec Write

Instruction j k Issue Comp Result Busy AddressLD F6 34+ R2 1 3 4 Load1 NoLD F2 45+ R3 2 4 5 Load2 NoMULTD F0 F2 F4 3 15 16 Load3 NoSUBD F8 F6 F2 4 7 8DIVD F10 F0 F6 5 56 57ADDD F6 F8 F2 6 10 11

Reservation Stations: S1 S2 RS RSTime Name Busy Op Vj Vk Qj Qk

Add1 NoAdd2 NoAdd3 NoMult1 NoMult2 Yes DIVD M*F4 M(A1)

Register result status:Clock F0 F2 F4 F6 F8 F10 F12 ... F30

56 FU M*F4 M(A2) (M-M+M)(M-M) Result

• Once again: In-order issue, out-of-order execution and out-of-order completion.

16/02/2004 UAH-CPE631 66

CPE 631AM

Tomasulo Drawbacks

n Complexity– delays of 360/91, MIPS 10000, Alpha 21264,

IBM PPC 620 in CA:AQA 2/e, but not in silicon!n Many associative stores (CDB) at high speedn Performance limited by Common Data Bus

– Each CDB must go to multiple functional units ⇒ high capacitance, high wiring density

– Number of functional units that can complete per cycle limited to one!

• Multiple CDBs ⇒ more FU logic for parallel assoc stores

n Non-precise interrupts!– We will address this later

Page 34: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •34

16/02/2004 UAH-CPE631 67

CPE 631AM

Tomasulo Loop Example

n This time assume Multiply takes 4 clocksn Assume 1st load takes 8 clocks

(L1 cache miss), 2nd load takes 1 clock (hit)n To be clear, will show clocks for SUBI, BNEZ

– Reality: integer instructions ahead of Fl. Pt. Instructions

n Show 2 iterations

Loop: LD F0 0(R1)MULTD F4 F0 F2SD F4 0 R1SUBI R1 R1 #8BNEZ R1 Loop

16/02/2004 UAH-CPE631 68

CPE 631AM

Loop ExampleInstruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 Load1 No1 MULTD F4 F0 F2 Load2 No1 SD F4 0 R1 Load3 No2 LD F0 0 R1 Store1 No2 MULTD F4 F0 F2 Store2 No2 SD F4 0 R1 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 No SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

0 80 Fu

Added Store Buffers

Value of Register used for address, iteration control

Instruction Loop

Iter-ationCount

Page 35: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •35

16/02/2004 UAH-CPE631 69

CPE 631AM

Loop Example Cycle 1Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 80

Load2 NoLoad3 NoStore1 NoStore2 NoStore3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 No SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

1 80 Fu Load1

16/02/2004 UAH-CPE631 70

CPE 631AM

Loop Example Cycle 2Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 No

Load3 NoStore1 NoStore2 NoStore3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

2 80 Fu Load1 Mult1

Page 36: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •36

16/02/2004 UAH-CPE631 71

CPE 631AM

Loop Example Cycle 3Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 No1 SD F4 0 R1 3 Load3 No

Store1 Yes 80 Mult1Store2 NoStore3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

3 80 Fu Load1 Mult1

Implicit renaming sets up data flow graph

16/02/2004 UAH-CPE631 72

CPE 631AM

Loop Example Cycle 4Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 No1 SD F4 0 R1 3 Load3 No

Store1 Yes 80 Mult1Store2 NoStore3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

4 80 Fu Load1 Mult1

Page 37: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •37

16/02/2004 UAH-CPE631 73

CPE 631AM

Loop Example Cycle 5Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 No1 SD F4 0 R1 3 Load3 No

Store1 Yes 80 Mult1Store2 NoStore3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

5 72 Fu Load1 Mult1

16/02/2004 UAH-CPE631 74

CPE 631AM

Loop Example Cycle 6Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 Yes 721 SD F4 0 R1 3 Load3 No2 LD F0 0 R1 6 Store1 Yes 80 Mult1

Store2 NoStore3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

6 72 Fu Load2 Mult1

Page 38: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •38

16/02/2004 UAH-CPE631 75

CPE 631AM

Loop Example Cycle 7Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 Yes 721 SD F4 0 R1 3 Load3 No2 LD F0 0 R1 6 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 No

Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 Yes Multd R(F2) Load2 BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

7 72 Fu Load2 Mult2

16/02/2004 UAH-CPE631 76

CPE 631AM

Loop Example Cycle 8Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 Yes 721 SD F4 0 R1 3 Load3 No2 LD F0 0 R1 6 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 Yes Multd R(F2) Load2 BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

8 72 Fu Load2 Mult2

Page 39: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •39

16/02/2004 UAH-CPE631 77

CPE 631AM

Loop Example Cycle 9Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 Load1 Yes 801 MULTD F4 F0 F2 2 Load2 Yes 721 SD F4 0 R1 3 Load3 No2 LD F0 0 R1 6 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load1 SUBI R1 R1 #8Mult2 Yes Multd R(F2) Load2 BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

9 72 Fu Load2 Mult2

16/02/2004 UAH-CPE631 78

CPE 631AM

Loop Example Cycle 10Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 Load2 Yes 721 SD F4 0 R1 3 Load3 No2 LD F0 0 R1 6 10 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1

4 Mult1 Yes Multd M[80] R(F2) SUBI R1 R1 #8Mult2 Yes Multd R(F2) Load2 BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

10 64 Fu Load2 Mult2

Page 40: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •40

16/02/2004 UAH-CPE631 79

CPE 631AM

Loop Example Cycle 11Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1

3 Mult1 Yes Multd M[80] R(F2) SUBI R1 R1 #84 Mult2 Yes Multd M[72] R(F2) BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

11 64 Fu Load3 Mult2

16/02/2004 UAH-CPE631 80

CPE 631AM

Loop Example Cycle 12Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1

2 Mult1 Yes Multd M[80] R(F2) SUBI R1 R1 #83 Mult2 Yes Multd M[72] R(F2) BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

12 64 Fu Load3 Mult2

Page 41: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •41

16/02/2004 UAH-CPE631 81

CPE 631AM

Loop Example Cycle 13Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1

1 Mult1 Yes Multd M[80] R(F2) SUBI R1 R1 #82 Mult2 Yes Multd M[72] R(F2) BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

13 64 Fu Load3 Mult2

16/02/2004 UAH-CPE631 82

CPE 631AM

Loop Example Cycle 14Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 14 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 Mult12 MULTD F4 F0 F2 7 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1

0 Mult1 Yes Multd M[80] R(F2) SUBI R1 R1 #81 Mult2 Yes Multd M[72] R(F2) BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

14 64 Fu Load3 Mult2

Page 42: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •42

16/02/2004 UAH-CPE631 83

CPE 631AM

Loop Example Cycle 15Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 14 15 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 [80]*R22 MULTD F4 F0 F2 7 15 Store2 Yes 72 Mult22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 No SUBI R1 R1 #8

0 Mult2 Yes Multd M[72] R(F2) BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

15 64 Fu Load3 Mult2

16/02/2004 UAH-CPE631 84

CPE 631AM

Loop Example Cycle 16Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 14 15 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 [80]*R22 MULTD F4 F0 F2 7 15 16 Store2 Yes 72 [72]*R22 SD F4 0 R1 8 Store3 No

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1

4 Mult1 Yes Multd R(F2) Load3 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

16 64 Fu Load3 Mult1

Page 43: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •43

16/02/2004 UAH-CPE631 85

CPE 631AM

Loop Example Cycle 17Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 14 15 Load2 No1 SD F4 0 R1 3 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 [80]*R22 MULTD F4 F0 F2 7 15 16 Store2 Yes 72 [72]*R22 SD F4 0 R1 8 Store3 Yes 64 Mult1

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load3 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

17 64 Fu Load3 Mult1

16/02/2004 UAH-CPE631 86

CPE 631AM

Loop Example Cycle 18Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 14 15 Load2 No1 SD F4 0 R1 3 18 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 Yes 80 [80]*R22 MULTD F4 F0 F2 7 15 16 Store2 Yes 72 [72]*R22 SD F4 0 R1 8 Store3 Yes 64 Mult1

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load3 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

18 64 Fu Load3 Mult1

Page 44: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •44

16/02/2004 UAH-CPE631 87

CPE 631AM

Loop Example Cycle 19Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 No1 MULTD F4 F0 F2 2 14 15 Load2 No1 SD F4 0 R1 3 18 19 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 No2 MULTD F4 F0 F2 7 15 16 Store2 Yes 72 [72]*R22 SD F4 0 R1 8 19 Store3 Yes 64 Mult1

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load3 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

19 56 Fu Load3 Mult1

16/02/2004 UAH-CPE631 88

CPE 631AM

Loop Example Cycle 20Instruction status: Exec Write

ITER Instruction j k Issue CompResult Busy Addr Fu1 LD F0 0 R1 1 9 10 Load1 Yes 561 MULTD F4 F0 F2 2 14 15 Load2 No1 SD F4 0 R1 3 18 19 Load3 Yes 642 LD F0 0 R1 6 10 11 Store1 No2 MULTD F4 F0 F2 7 15 16 Store2 No2 SD F4 0 R1 8 19 20 Store3 Yes 64 Mult1

Reservation Stations: S1 S2 RS Time Name Busy Op Vj Vk Qj Qk Code:

Add1 No LD F0 0 R1Add2 No MULTD F4 F0 F2Add3 No SD F4 0 R1Mult1 Yes Multd R(F2) Load3 SUBI R1 R1 #8Mult2 No BNEZ R1 Loop

Register result statusClock R1 F0 F2 F4 F6 F8 F10 F12 ... F30

20 56 Fu Load1 Mult1

• Once again: In-order issue, out-of-order execution and out-of-order completion.

Page 45: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •45

16/02/2004 UAH-CPE631 89

CPE 631AM

Why can Tomasulo overlap iterations of loops?

n Register renaming– Multiple iterations use different physical

destinations for registers (dynamic loop unrolling)

n Reservation stations – Permit instruction issue to advance past integer

control flow operations– Also buffer old values of registers - totally avoiding

the WAR stall that we saw in the scoreboard

n Other perspective: Tomasulo building data flow dependency graph on the fly

16/02/2004 UAH-CPE631 90

CPE 631AM

Tomasulo’s scheme offers 2 major advantages

n (1) the distribution of the hazard detection logic– distributed reservation stations and the CDB– If multiple instructions waiting on single result, &

each instruction has other operand, then instructions can be released simultaneously by broadcast on CDB

– If a centralized register file were used, the units would have to read their results from the registers when register buses are available.

n (2) the elimination of stalls for WAW and WAR hazards

Page 46: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •46

16/02/2004 UAH-CPE631 91

CPE 631AM

What about Precise Interrupts?

n Tomasulo had:In-order issue, out-of-order execution, and out-of-order completion

n Need to “fix” the out-of-order completion aspect so that we can find precise breakpoint in instruction stream

16/02/2004 UAH-CPE631 92

CPE 631AM

Relationship between precise interrupts and speculation

n Speculation is a form of guessingn Important for branch prediction:

– Need to “take our best shot” at predicting branch direction

n If we speculate and are wrong, need to back up and restart execution to point at which we predicted incorrectly:– This is exactly same as precise exceptions!

n Technique for both precise interrupts/exceptions and speculation: in-order completion or commit

Page 47: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •47

16/02/2004 UAH-CPE631 93

CPE 631AM

HW support for precise interrupts

n Need HW buffer for results of uncommitted instructions: reorder buffer– 3 fields: instr, destination, value– Use reorder buffer number instead of reservation station

when execution completes– Supplies operands between

execution complete & commit– (Reorder buffer can be operand source

=> more registers like RS)– Instructions commit– Once instruction commits,

result is put into register– As a result, easy to undo

speculated instructions on mispredicted branches or exceptions

ReorderBuffer

FPOp

Queue

FP Adder FP Adder

Res Stations Res Stations

FP Regs

16/02/2004 UAH-CPE631 94

CPE 631AM

Four Steps of Speculative TomasuloAlgorithm

n 1. Issue—get instruction from FP Op Queue– If reservation station and reorder buffer slot free, issue instr &

send operands & reorder buffer no. for destination (this stage sometimes called “dispatch”)

n 2. Execution—operate on operands (EX)– When both operands ready then execute; if not ready, watch

CDB for result; when both in reservation station, execute; checks RAW (sometimes called “issue”)

n 3. Write result—finish execution (WB)– Write on Common Data Bus to all awaiting FUs

& reorder buffer; mark reservation station available.

n 4. Commit—update register with reorder result– When instr. at head of reorder buffer & result present, update

register with result (or store to memory) and remove instr from reorder buffer. Mispredicted branch flushes reorder buffer (sometimes called “graduation”)

Page 48: CPE 631Lecture 10: Instruction Level Parallelism and Its ...milenka/cpe631-04S/lectures/cpe631-s10p2.pdf · Instruction Level Parallelism and Its Dynamic Exploitation Aleksandar Milenkovic,

•2/16/2004

•Aleksandar Milenkovich •48

16/02/2004 UAH-CPE631 95

CPE 631AM

What are the hardware complexities with reorder buffer (ROB)?

n How do you find the latest version of a register?– (As specified by Smith paper) need associative comparison network– Could use future file or just use the register result status buffer to track which

specific reorder buffer has received the value

n Need as many ports on ROB as register file

ReorderBuffer

FPOp

Queue

FP Adder FP Adder

Res Stations Res Stations

FP Regs

Compar network

Reorder Table

Des

t Re

g

Resu

lt

Exce

ptions

?

Valid

Prog

ram C

ount

er

16/02/2004 UAH-CPE631 96

CPE 631AM

Summary

n Reservations stations: implicit register renaming to larger set of registers + buffering source operands– Prevents registers as bottleneck– Avoids WAR, WAW hazards of Scoreboard– Allows loop unrolling in HW

n Not limited to basic blocks (integer units gets ahead, beyond branches)

n Today, helps cache misses as well– Don’t stall for L1 Data cache miss (insufficient ILP for L2 miss?)

n Lasting Contributions– Dynamic scheduling– Register renaming– Load/store disambiguation

n 360/91 descendants are Pentium III; PowerPC 604; MIPS R10000; HP-PA 8000; Alpha 21264


Recommended