+ All Categories
Home > Documents > Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi [email protected] Centro...

Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi [email protected] Centro...

Date post: 28-Feb-2021
Category:
Upload: others
View: 4 times
Download: 0 times
Share this document with a friend
58
Dott. Gabriele Balbi [email protected] Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken from documentation material linked in the references
Transcript
Page 1: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Dott. Gabriele Balbi

[email protected]

Centro Elettronica Bologna

Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken from documentation material linked in the references

Page 2: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Firmware implementation with

• Software tool suite for firmware • Design (ISE Project Navigator : main inerface)

• Implementation (XST, PAR : synthesis and physical implementation command line programs)

• Simulation (ISIM for vhdl/verilog test benches with waveform display)

• Debug (Chipscope Logic Analyzer & Virtual I/O)

• Download and references

http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.html

The WebPack Edition is free and fully functional for cheaper devices like the Spartan3 series.

Page 3: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken
Page 4: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

JTAG (Joint Action Test Group)Chipscope

Esempio 3

4

Page 5: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

ChipScope

Internal Logic Analyzer (Core Sincrono)

Icon

Fino a 4096 linee e circa 128K samples*

*SE LE RISORSE DISPONIBILI LO CONSENTONO!!!!5

Esempio 3

Page 6: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Internal Logic Analyzer ILA

BUSBUS

0x0

00

00

00

0

0x0

00

00

00

0

0x0

00

00

00

0

0 1 2 ….. N-3 N-2 N-1

Trigger PositionWord = 0xCOFFEE? RUN

6

Esempio 3

Page 7: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Internal Logic Analyzer ILA

BUSBUS

0x0

00

00

00

0

0x0

00

00

00

0

0x0

00

00

00

0

0 1 2 ….. N-3 N-2 N-1

Trigger Position

0x0

00

00

00

0

0x0

00

00

00

0

0x0

00

00

00

0

0x0

0C

0FFEE

0x0

00

00

00

0

0x0

00

00

00

0

0x0

00

00

00

0

0x0

00

00

00

0

0x0

00

00

00

0

STOP7

Esempio 3

Page 8: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

8

Page 9: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

ISE (XILINX)

SINTESI (XST)

library IEEE;use IEEE.STD_LOGIC_1164.ALL;

use ieee.numeric_std.all;

--library UNISIM;--use UNISIM.VComponents.all;

entity top isPort (

CLK_50M : in std_logic;ROT_A : in std_logic;ROT_B : in std_logic;ROT_CENTER : in std_logic;SW : in std_logic_vector (3 downto 0);LED : out std_logic_vector (7 downto 0)

);end top;

architecture Behavioral of top is….……

VHDL

top.vhd

top.ngc

TRANSLATE (ngdbuild)

top.ngd top_map.ncd

MAPPING(map)

Place & Route(par)

top.ncd

top.bitBitgen

9

Page 10: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

ISE (XILINX)

SINTESI (XST)

library IEEE;use IEEE.STD_LOGIC_1164.ALL;

use ieee.numeric_std.all;

--library UNISIM;--use UNISIM.VComponents.all;

entity top isPort (

CLK_50M : in std_logic;ROT_A : in std_logic;ROT_B : in std_logic;ROT_CENTER : in std_logic;SW : in std_logic_vector (3 downto 0);LED : out std_logic_vector (7 downto 0)

);end top;

architecture Behavioral of top is….……

VHDL

Top.vhd

top.ngc

TRANSLATE

top_cs.ngd top_map.ncd

MAPPING(map)

Place & Route(par)

top.ncd

top.bitbitgen

CORE INSERTER

attribute keep: string;attribute keep of busUT: signal is "true";

10

Page 11: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

ChipScope Definition and Connection file

11

Page 12: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Analizzatore stati logici

12

Page 13: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

13

DEMO

Page 14: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Xilinx Spartan 3AN Starter Kit

http://www.xilinx.com/products/boards-and-kits/hw-spar3an-sk-uni-g.htmlhttp://www.xilinx.com/products/boards/s3astarter/reference_designs.htm

Available Peripherals• RS-232 (serial)• Buttons & Switiches• Rotary Encoder• PS2 keyboard/mouse• LCD Display• VGA port• Stereo jack• ADC / DAC• DDR2• Ethernet• PicoBlaze 8-bit soft

microcontroller• Pmod connectors

50 MHz Clock

JTAG

Page 15: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Demo Functional Description• Control LEDs with different behaviors:

1. Single LED ON, shift the on-led rotating the knob. Push the knob to invert the LEDs state.

2. All LEDs ON, control the LEDs brightness with the knob.

• Choose between the two behaviors with the switches

Page 16: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Demo Functional Description• Control LEDs with different behaviors:

1. Single LED ON, shift the on-led rotating the knob. Push the knob to invert the LEDs state.

2. All LEDs ON, control the LEDs brightness with the knob.

• Choose between the two behaviors with the switches

Behind the knob: a Rotary Encoder

Camshaft:

~ ms!

Page 17: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

1. Register signal A for a delayed copy of A

Rotation Decoder

1. Evaluate A rising edges to detect rotary events

2. Evaluate B at rotary event to detect rotation direction

A

B

A

A’

clk

A

A’

AA’ Edge Type

10 Rising

01 Falling

Page 18: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

1. Register signal A for a delayed copy of A

2. Check B status

Rotation Decoder

1. Evaluate A rising edges to detect rotary events

2. Evaluate B at rotary event to detect rotation direction

A

B

A

A’

clk

A

A’

AA’ Edge Type

10 Rising

01 Falling

B Turn Direction

1 Left

0 Right

Page 19: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Shift Register

Data In

Clock

Q1

Q2

Q3

Q4

VHDL snippet

Schematic

Waveforms

Page 20: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Bi-directional Shift Register

VHDL snippet

Page 21: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

PWM modulatorTrick: Human eye cannot perceive light flickering at rates above ~50 Hz: Flicker Fusion Threshold.

Faster ON/OFF flickers are averaged out (eye’s low-pass filter)

Ruling parameter: Duty Cycle (% ON/OFF time)(no matter which frequency above F.F.T.)

1. 8-bit counter @50MHz (cycle at 50M/256 = 195,3125 kHz) 2. If counter < Th LED = ON ; else LED = OFF3. Vary the threshold level (0-255) with the knob.

Adjustable threshold=255*Duty Cycle

Counter 0-255

Modulated LED Signal

Page 22: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Output Multiplexer (MUX)

VHDL snippet

8

8

8led_sr

led_pwm

4sw

led_drive

Page 23: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

23

DEMO

Page 24: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

24

LED SHIFT OUTPUT

INPUT

ROTDETECT

PWMgenerator

TOP.VHD

Page 25: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

25

entity top isPort (

CLK_50M : in std_logic;ROT_A : in std_logic;ROT_B : in std_logic;ROT_CENTER : in std_logic;SW : in std_logic_vector (3 downto 0);LED : out std_logic_vector (7 downto 0)

);end top;

………############################################################################### Rotary Knob (ROT)##############################################################################

NET "ROT_CENTER" LOC = "R13" | IOSTANDARD = LVCMOS33 | PULLDOWN ;NET "ROT_A" LOC = "T13" | IOSTANDARD = LVCMOS33 | PULLUP ;NET "ROT_B" LOC = "R14" | IOSTANDARD = LVCMOS33 | PULLUP ;

############################################################################### Mechanical Switches (SW)##############################################################################

NET "SW<0>" LOC = "V8" | IOSTANDARD = LVCMOS33 ;NET "SW<1>" LOC = "U10" | IOSTANDARD = LVCMOS33 ;NET "SW<2>" LOC = "U8" | IOSTANDARD = LVCMOS33 ;NET "SW<3>" LOC = "T9" | IOSTANDARD = LVCMOS33 ;…………

TOP LEVEL

From UCF file

Page 26: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

26

-- registering inputsprocess(CLK_50M) begin

if rising_edge(CLK_50M) thenrot_a_in <= ROT_A; rot_b_in <= ROT_B; rot_center_in <= ROT_CENTER; sw_in <= SW;

end if;end process;

PROCESSI CONCORRENTI

-- LED output driver MUXprocess(CLK_50M) begin

if rising_edge(CLK_50M) thenLED <= led_out;

case sw_in iswhen "0000" =>

if rot_center_in = '0' thenled_out <= led_sr;

elseled_out <= not led_sr;

end if;when x"F" =>

led_out <= led_pwm;

when others=>led_out <= LED_DEFAULT;

end case;end if;

end process;

-- rotation decoder --NO DEBOUNCE FILTERprocess(CLK_50M) begin

if rising_edge(CLK_50M) thenrot_a_in_q <= rot_a_in; if rot_a_in = '1' and rot_a_in_q = '0' then

rot_event <= '1';rot_left <= rot_b_in;

elserot_event <= '0';

end if;end if;

end process;INPUT

OUTPUTROTATION DETECTION

A

B

Page 27: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

27

-- LED shift registersprocess(CLK_50M)begin

if rising_edge(CLK_50M) thenif rot_event = '1' then

if rot_left = '1' thenled_sr <= led_sr(6 downto 0) &

led_sr(7);else

led_sr <= led_sr(0) & led_sr(7 downto 1);

end if;end if;

end if;end process;

PROCESSI CONCORRENTI-- PWM modulator

process(CLK_50M) variable counter : natural range 0 to

255:=0;beginif rising_edge(CLK_50M) then-- threshold adjustif rot_event = '1' thenif rot_left = '1' thenduty_cycle <= duty_cycle -1;

elseduty_cycle <= duty_cycle + 1;

end if;end if;

--threshold discriminatorif counter < duty_cycle thenled_pwm <= x"FF";

elseled_pwm <= x"00";

end if;

-- counter increment (sawtooth wave)if counter < 255 thencounter := counter +1;

elsecounter := 0;

end if;end if;

end process;

LED SHIFT REG

PWM e SAWTOOTH Wave

Page 28: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

DEMO

Page 29: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

29

Page 30: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

JTAG (Joint Action Test Group)Chipscope

Demo 1

Costruzione del file CDC e verifica su Chipscope

30

Page 31: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

JTAG (Joint Action Test Group)Chipscope

31

Page 32: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

JTAG (Joint Action Test Group)Chipscope

32

Page 33: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

JTAG (Joint Action Test Group)Chipscope

Demo 2

Costruzione di una FSM e verifica con Chipscope

33

Page 34: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

FSMIDLE

S1

S2S3

S4

S5

sWON

sError

Rotazione a Destra o a Sinistra?

Rotazione a Destra o a Sinistra?

Rotazione a Destra o a Sinistra?

Rotazione a Destra o a Sinistra?

Rotazione a Destra o a Sinistra?

Rotazione a Destra o a Sinistra?

Output signal vittoria_iad «1» solo nello stato sWON e LED7=‘1’

vittoria_i<=‘0’

vittoria_i<=‘1’

34

Page 35: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

FSM

--MEALY State-Machine - Outputs based on state and inputsOUTPUT_DECODE: process (state, <input1>, <input2>, ...)begin

--insert statements to decode internal output signals--below is simple exampleif (state = st3_<name> and <input1> = '1') then

<output>_i <= '1';else

<output>_i <= '0';end if;

end process;

--MOORE State-Machine - Outputs based on state onlyOUTPUT_DECODE: process (state)begin

--insert statements to decode internal output signals--below is simple exampleif state = st3_<name> then

<output>_i <= '1';else

<output>_i <= '0';end if;

end process;

35

Page 36: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

FSMtype state_type is (s1,idle, s2, s3, s4, s5, sWon, sError); signal state, next_state : state_type;

SYNC_PROC: process (rot_event)begin

if rot_center_in = '1' then state <= idle;LED(7) <= '0';

elsif (rising_edge (rot_event)) thenstate <= next_state;LED(7) <= vittoria_i;

end if;

end process;

--MOORE State-Machine - Outputs based on state onlyOUTPUT_DECODE: process (state)begin

if state = sWon thenvittoria_i <= '1';

elsevittoria_i <= '0';

end if;end process;

NEXT_STATE_DECODE: process (state, rot_left)begin

next_state <= state;case (state) is

when sError =>next_state <= idle;

when sWon =>next_state <= sWon;

when idle =>……….

36

Page 37: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Token File

#File version

@FILE_VERSION=1.0.0

#Default token value

@DEFAULT_TOKEN=ERROR

#Explicit token values

IDLE=001\b

S1=000\b

S2=110\b

S3=111\b

S4=101\b

S5=100\b

sWON=011\b

sERROR=010\b

Codifica definita nel top.SYR

37

Page 38: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazioni Behavioral e Post Route

Demo 3

FPGA Editor: analisi delle netlist della demo 1, con e senza cdc

38

Page 39: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazioni Behavioral e Post Route

Demo 4

Simulazione Behaviorial e Post PAR di una demo

39

Page 40: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazioni Behavioral e Post Route

40

Page 41: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazione BEHAVE

41

10 MHz

Page 42: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazione BEHAVE

42

1GHz

Page 43: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazione PAR

43

10 MHz

Page 44: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Simulazione PAR

44

1GHz

Page 45: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

BACKUP

45

Page 46: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

Bibliografia e Risorse

Vhdl

• VLSI Testing: Digital and Mixed Analogue/digital Techniques Stanley Leonard Hurs

• http://opencores.org/

Chipscope

• http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_4/chipscope_pro_sw_cores_ug029.pdf

46

Page 47: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

DEMO 1

• Guida passo passo

47

Page 48: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

ChipScope Definition and Connection file

48

Page 49: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

49

Page 50: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

50

Page 51: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

51

Page 52: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

52

Page 53: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

53

Page 54: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

54

Page 55: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

55

Page 56: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

56

Page 57: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

57

Page 58: Dott. Gabriele Balbi - COnnecting REpositoriesDott. Gabriele Balbi balbi@bo.infn.it Centro Elettronica Bologna Some of the figures are curtesy of Xilinx Inc. and Altera Inc., taken

DEMO 2

• Guida passo passo

58


Recommended