+ All Categories
Home > Documents > Ecad Lab Manual[1]

Ecad Lab Manual[1]

Date post: 13-Jul-2015
Category:
Upload: akhilarulz
View: 206 times
Download: 0 times
Share this document with a friend
Popular Tags:

of 51

Transcript

E-CAD LAB 1.LOGIC GATES AIM: Write a VHDL code for all the logic gates. #1-TITLE: AND gate LOGIC GATE SYMBOL:

7408NTRUTH TABLE:

x 0 0 1 1

y 0 1 0 1

z 0 0 0 1

VHDL CODE: Library IEEE; use IEEE.std_logic_1164.all; entity AND2 is port( x : in STD_LOGIC; y : in STD_LOGIC; z : out STD_LOGIC ); end AND2;

51 1

E-CAD LAB

--Dataflow model architecture behav1 of AND2 is begin Z


Recommended