+ All Categories
Home > Documents > インテル Quartus Prime プロ・エディショ ンのユーザーガイ...

インテル Quartus Prime プロ・エディショ ンのユーザーガイ...

Date post: 21-Oct-2020
Category:
Upload: others
View: 6 times
Download: 0 times
Share this document with a friend
55
インテル ® Quartus ® Prime プロ・エディショ ンのユーザーガイド プログラマー インテル ® Quartus ® Prime 開発デザインスイートの更新情報: 19.1 更新情報 フィードバック UG-20134 | 2019.06.10 最新版をウェブからダウンロード: PDF | HTML
Transcript
  • インテル® Quartus® Prime プロ・エディションのユーザーガイドプログラマー

    インテル® Quartus® Prime 開発デザインスイートの更新情報: 19.1

    更新情報フィードバック

    UG-20134 | 2019.06.10

    最新版をウェブからダウンロード: PDF | HTML

    https://www.intel.com/content/www/us/en/programmable/bin/rssdoc?name=ftt1513991830769mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82https://www.intel.co.jp/content/dam/altera-www/global/ja_JP/pdfs/literature/ug/ug-qpp-programmer-j.pdfhttps://www.intel.co.jp/content/www/ja/jp/programmable/documentation/ftt1513991830769.html

  • 目次

    1. デバイスのプログラミング・ファイルを生成する............................................................................... 41.1. プライマリー・デバイス・プログラミング・ファイル生成.............................................................41.2. セカンダリー・プログラミング・ファイルを生成する................................................................ 6

    1.2.1. セカンダリー・プログラミング・ファイルの生成(プログラミング・ファイル・ジェネレーター)...... 61.2.2. セカンダリー・プログラミング・ファイルの生成(Convert Programming File ダイアロ

    グボックス)............................................................................................... 101.2.3. パーシャル・リコンフィグレーション用のプログラミング・ファイルの生成......................... 16

    1.3. ビットストリーム・ファイルの圧縮とセキュリティーの有効化....................................................211.3.1. ビットストリーム暗号化または共同署名の有効化(プログラミング・ファイル・ジェネレー

    ター).......................................................................................................221.3.2. ビットストリームの圧縮または暗号化の有効化(Convert Programming File ダイアロ

    グボックス)............................................................................................... 231.4. スクリプティング・サポート..........................................................................................24

    1.4.1. quartus_pfg のコマンド・ライン・ツール............................................................. 241.4.2. quartus_cpf のコマンドライン・ツール.............................................................. 25

    1.5. プログラミング・ファイルの改訂履歴の生成...................................................................... 25

    2. インテル FPGA デバイスのプログラミング................................................................................ 272.1. インテル Quartus Prime のプログラマー..................................................................... 272.2. スタンドアロンのプログラマー.....................................................................................28

    2.2.1. スタンドアロンのプログラマーのメモリー消費 ....................................................282.3. プログラミングおよびコンフィグレーション・モード .......................................................... 282.4. 基本的なデバイス・コンフィグレーション手順 ..................................................................292.5. プログラミング・ハードウェア・セットアップの指定 ............................................................31

    2.5.1. JTAG Chain Debugger ツール...................................................................... 332.5.2. 不明なデバイスの詳細の編集 ........................................................................332.5.3. Linux での JTAG デーモンの実行 .................................................................. 33

    2.6. フラッシュローダーを使用したプログラミング ................................................................342.6.1. フラッシュ・パーティションの指定...................................................................... 342.6.2. コンフィグレーション・フラッシュ・メモリーのセクター.............................................. 35

    2.7. プロジェクト・ハッシュを使用したプログラミング・ファイル・ソースを検証する...............................352.7.1. インテル Arria 10 デバイスのプロジェクトハッシュの取得....................................... 36

    2.8. PR ビットストリーム・セキュリティー検証の使用( インテル Stratix 10 デザイン)......................... 362.9. プログラマー設定リファレンス .................................................................................. 38

    2.9.1. Device and Pin Options ダイアログボックス......................................................382.9.2. 入力ファイルのタブの設定(プログラミング・ファイル・ジェネレーター)........................... 452.9.3. 出力ファイルタブの設定(プログラミング・ファイル・ジェネレーター)..............................452.9.4. コンフィグレーション・デバイスタブの設定(プログラミング・ファイル・ジェネレーター) ........ 462.9.5. Add Partition ダイアログボックス(プログラミング・ファイル・ジェネレーター)................. 472.9.6. ビットストリームの圧縮、認証、および暗号化の設定(プログラミング・ファイル・ジェネレ

    ーター)....................................................................................................472.9.7. Convert Programming Files ダイアログボックス................................................472.9.8. 圧縮と暗号化の設定(プログラミング・ファイルの変換).............................................482.9.9. SOF Data Properties ダイアログボックス(プログラミング・ファイルの変換)................. 492.9.10. Select Devices (Flash Loader)ダイアログボックス .........................................49

    目次

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    2

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 2.10. スクリプティング・サポート........................................................................................ 502.10.1. jtagconfig デバッグツール ........................................................................50

    2.11. インテル®FPGA デバイスのプログラミングの改訂履歴...................................................... 512.12. ドキュメントのアーカイブ.........................................................................................52

    A. インテル Quartus Prime プロ・エディションのユーザーガイド....................................................... 53

    目次

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    3

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 1. デバイスのプログラミング・ファイルを生成する

    デフォルトでは、Compiler's Assembler のモジュールは、完全コンパイルの終了時にデバイス・プログラミングに必要なプライマリーファイルを生成します。または、デザイン配置配線後いつでもアセンブラを個別に実行して、SRAM オブジェクトファイル( .sof )などの インテル® FPGA のコンフィグレーション用のプライマリー・デバイス・プログラミング・ファイルを生成できます。

    表 1. アセンブラーが生成したプライマリー・プログラミング・ファイル

    プログラミング・ツール 変更内容

    SRAM Object Files (.sof) すべての SRAM ベースのインテル FPGA デバイスのコンフィグレーション用のデータを含むバイナリーファイル。

    Programmer Object Files (.pof) 不揮発性 インテル MAX® 10 、 MAX V 、 MAX II 、またはインテル FPGA デバイスをコンフィグレーションできるフラッシュ・メモリー・デバイスのプログラミング用のデータを含むバイナリーファイル。

    Partial-Masked SRAM Object Files(.pmsf)

    パーシャル・リコンフィグレーション(PR)デザインの場合、PR 領域の定義とデバイス領域のリコンフィグレーションのためのコンフィグレーション・データが含まれます。

    Raw Binary Files (.rbf) パーシャル・リコンフィグレーション(PR)デザインの場合、SRAM ベースインテル FPGA デバイスのコンフィグレーションにインテリジェント外部コントローラーが使用するコンフィグレーション・データが含まれます。

    Assembler を実行した後、設定のためにプログラミング・ファイルをデバイスにロードする インテルQuartus® Prime のプログラマーを使用できます。

    プライマリー・デバイス・プログラミング・ファイルを生成した後、オプションで、フラッシュ・プログラミング、パーシャル・リコンフィグレーション、リモート・システム・アップデート、Configuration viaProtocol(CvP)、ハード・プロセッサー・システム(HPS)のコア・コンフィグレーションなどの代替デバイス・コンフィグレーション用の 1 つ以上のセカンダリー・プログラミング・ファイルを生成できます。

    関連情報• プライマリー・デバイス・プログラミング・ファイル生成 (4 ページ)

    • セカンダリー・プログラミング・ファイルを生成する (6 ページ)

    • インテル FPGA デバイスのプログラミング (27 ページ)

    • フラッシュローダーを使用したプログラミング  (34 ページ)

    1.1. プライマリー・デバイス・プログラミング・ファイル生成

    Assembler でファイルを生成する前に、プライマリー・デバイス・プログラミング・ファイルの生成に影響するオプションを指定します。

    次の手順に従って、プライマリー・デバイス・プログラミング・ファイルを生成します。

    UG-20134 | 2019.06.10

    フィードバック

    Intel Corporation.無断での引用、転載を禁じます。Intel、インテル、Intel ロゴ、Altera、ARRIA、CYCLONE、ENPIRION、MAX、NIOS、QUARTUS および STRATIX の名称およびロゴは、アメリカ合衆国および/ またはその他の国における Intel Corporationの商標です。インテルは FPGA 製品および半導体製品の性能がインテルの標準保証に準拠することを保証しますが、インテル製品およびサービスは、予告なく変更される場合があります。インテルが書面にて明示的に同意する場合を除き、インテルはここに記載されたアプリケーション、または、いかなる情報、製品、またはサービスの使用によって生じるいっさいの責任を負いません。インテル製品の顧客は、製品またはサービスを購入する前、および、公開済みの情報を信頼する前には、デバイスの仕様を最新のバージョンにしておくことをお勧めします。*その他の社名、製品名などは、一般に各社の表示、商標または登録商標です。

    ISO9001:2015登録済

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82https://www.intel.com/content/www/us/en/quality/intel-iso-registrations.htmlhttps://www.intel.com/content/www/us/en/quality/intel-iso-registrations.htmlhttps://www.intel.com/content/www/us/en/quality/intel-iso-registrations.html

  • 1. アセンブラーを実行する前に、 Assignments > Device > Device&Pin Options をクリックして、デバイス・コンフィグレーションのオプションと、オプションでセカンダリー・プログラミング・ファイルの生成を指定します。 Device and Pin Options ダイアログボックス (38 ページ)説明します。

    図 -1: Device and Pin Options ダイアログボックス

    2. プライマリー・デバイス・プログラミング・ファイルを生成するには、Processing > Start >Start Assembler をクリックするか、Compilation Dashboard で Assembler をダブルクリックします。Compiler は、前提条件モジュールが完全であることを確認し、Assembler を起動して、指定したプログラミング・ファイルを生成します。 「メッセージ」ウィンドウには、処理情報、警告、またはエラーが動的に表示されます。

    3. Assembler を実行した後、Compilation Report の Assembler のレポートフォルダーにあるプログラミング・ファイルの概要や暗号化された IP 情報など、プログラミング・ファイルの生成に関する詳細情報を表示します。

    図 -2: Assembler のレポート 

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    5

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 1.2. セカンダリー・プログラミング・ファイルを生成する

    部分的なリコンフィグレーションやリモートシステムの更新などの代替デバイス・プログラミング方法では、プライマリー・プログラミング・ファイルの生成後に特定のセカンダリー・プログラミング・ファイルを生成する必要があります。 Programming File Generator または Convert ProgrammingFiles ダイアログボックスを使用して、代替デバイス・プログラミング方法用のセカンダリー・プログラミング・ファイルを生成できます。

    Programming File Generator は、 インテル Stratix® 10 デバイスおよびそれ以降のデバイスファミリー向けに最適化されています。Convert Programming Files ダイアログボックスは、 インテル Stratix 10 デバイス以前のすべてのデバイスファミリーをサポートしていますが、 インテルStratix 10 デバイスの一部の高度なプログラミング機能はサポートしていません。(1)

    表 2. セカンダリーのプログラミング・ファイル・ジェネレーター

    プログラミング・ファイル・ジェネレーター

    プログラミング・ファイルの変換

    サポートされるデバイス

    • インテル Stratix 10• インテル MAX 10• インテル Cyclone® 10 LP

    • インテル Stratix 10• インテル Arria® 10• インテル Cyclone 10GX お

    よび LP• インテル MAX 10

    APEX20K、 Arria II GX および GZ、 ArriaV 、 Cyclone 、 Cyclone II、 Cyclone III および LS、 Cyclone IV E および GX、 CycloneV 、 HardCopy® III、 HardCopy II、HardCopy IV、 MAX V 、 Stratix 、 StratixII、 Stratix III、 Stratix IV、 Stratix V 

    1.2.1. セカンダリー・プログラミング・ファイルの生成(プログラミング・ファイル・ジェネレーター)

    Programming File Generator を使用して、フラッシュ・プログラミング用の.jic 、 パーシャル・リコンフィグレーション用の.rbf 、サード・パーティーのプログラマー・コンフィグレーション用の.rpdなど、代替デバイス・プログラミング方法用のプログラミング・ファイルを生成できます。

    (1) インテル Stratix 10 デバイスの場合、Convert Programming Files ダイアログボックスはデバイス・イメージ・ファイルの完全な生成のみをサポートし、システム・アップデート JTAG 間接構成ファイル(.jic)および Rawプログラミングデータファイル(.rpd)の生成はサポートしません。Programming File Generator を使用して、 インテル Stratix 10 プログラミング・ファイルを生成します。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    6

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -3: プログラミング・ファイル・ジェネレーター

    Select Device andConfiguration Mode

    Select Output FilesTo Generate, Input File Source, and Configuration Device

    Generate SelectedFiles

    Programming File Generator で使用可能なオプションは、デバイスとコンフィグレーション・モードの選択に応じて動的に変化します。

    1. プライマリー・デバイス・プログラミング・ファイル生成 (4 ページ)で説明されるように、デザインのプライマリー・プログラミング・ファイルを次のように生成します。

    2. File > Programming File Generator をクリックします。

    3. Device family では、 Stratix 10 を選択します。

    4. Configuration mode で 、デバイスがサポートする Active Serial モードを選択します。 コンフィグレーション・モード(プログラミング・ファイル・ジェネレーター) (10 ページ)では、すべてのモードについて説明します。

    5. Output Files タブで、生成するファイルを生成するためのチェックボックスをイネーブルします。Input Files タブが使用可能になりました。 セカンダリー・プログラミング・ファイル(プログラミング・ファイル・ジェネレーター) (9 ページ)では、すべての出力ファイルについて説明します。

    6. 生成するファイルの Output directory と Name を指定します。 出力ファイルタブの設定(プログラミング・ファイル・ジェネレーター) (45 ページ)では、すべてのオプションについて説明します。

    7. コンフィグレーション・ビットストリーム・データを含む.sofファイルを指定するには、InputFiles ブで Add Bitstream をクリックします。生データを含めるには、Add Raw Data をクリックし、16 進数(Intel 形式)ファイル( .hex )またはバイナリー( .bin )ファイルを指定します。

    8. ビットストリーム署名または暗号化セキュリティ設定をイネーブルするには、ビットストリーム暗号化または共同署名の有効化(プログラミング・ファイル・ジェネレーター) (22 ページ)で説明されるように、.sofファイルを選択し、Properties をクリックします。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    7

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -4: HDL Files タブ

    9. Configuration Device タブで Add Partition をクリックして、Add Partition ダイアログボックス(プログラミング・ファイル・ジェネレーター) (47 ページ)で説明されるように 、フラッシュ・メモリー・パーティションを占有する.sofファイルを指定します。

    図 -5: フラッシュ・パーティションを追加する

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    8

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 10. サポートされているフラッシュ・メモリー・デバイスと定義済みのプログラミング・フローを選択するには、Configuration Device タブで Add Device をクリックします。または、をクリックして、新しいフラッシュ・メモリー・デバイスとプログラミング・フローを定義します。コンフィグレーション・デバイスタブの設定(プログラミング・ファイル・ジェネレーター)(46 ページ)では、すべての設定について説明します。

    11. Flash Loader の Select ボタンをクリックし、フラッシュ・メモリー・デバイス(たとえば、 インテル Stratix 10 デバイス)のロードを制御するデバイスを選択します。Select Devices (FlashLoader)ダイアログボックス  (49 ページ)では、すべての設定について説明します。

    12. Programming File Generator ですべてのオプションを指定すると、Generate ボタンがイネーブルされます。Generate をクリックしてファイルを作成します。

    1.2.1.1. セカンダリー・プログラミング・ファイル(プログラミング・ファイル・ジェネレーター)

    プライマリー・デバイス・プログラミング・ファイルを生成した後、代替デバイス・コンフィグレーション・モード用の Programming File Generator で次のセカンダリデバイス・プログラミング・ファイルを生成できます。

    表 3. 出力ファイルのタイプ

    プログラミング・ファイル・タイプ 拡張子 変更内容

    Hexadecimal ( インテル -Format) Output File for SRAM

    .hexout  コンフィグレーション・デバイスやマス・ストレージ・デバイスなど、パラレル・データ・ソースをプログラミングするためのコンフィグレーション・データを含む インテル 16 進形式の ASCII テキストファイル。 パラレル・データ・ソースは、SRAM ベースのインテルデバイスを構成します。

    JTAG Indirect ConfigurationFile

    .jic インテル FPGA JTAG ピンを介してプログラミングするためのシリアルフラッシュプログラミング・データを格納する独自の インテル FPGA ファイルタイプ。 このメソッドは、アクティブ・シリアル・コンフィグレーションのみをサポートします。 フラッシュをプログラミングする前に、Programmer はまず Serial Flash Helper Design で FPGA を構成します。

    Map File .map コンフィグレーション・デバイスのメモリーに保存されているページおよびデータのバイトアドレスを含むテキストファイル

    Programmer Object File .pof  アクティブ・シリアル・ヘッダーを介してフラッシュ・メモリー・デバイスをプログラムするため、または Parallel Flash Loader Intel FPGA IP を介してフラッシュ・メモリー・デバイスをプログラムするためにのプログラマーが使用するバイナリーファイル。

    Raw Binary File .rbf  サード・パーティー・データ・ソース、パーシャル・リコンフィグレーション、または HPS データソースで使用するコンフィグレーションのビットストリーム・ファイル。パッシブシリアル(PS)および Avalon® -ストリーミング(AVST)モードをサポートします。

    Raw Binary File for CvP CoreConfiguration

    .rbf CvP フェーズ 2 のコンフィグレーション(CRAM)でプログラムされたロジックを含むバイナリーファイル。コア・ビットストリームは.rbf形式です。

    Raw Binary File for HPS CoreConfiguration

    HPS コンフィグレーション・フェーズ 2 のコンフィグレーション(CRAM)によってプログラムされるロジックを含むバイナリーファイル。 コア・ビットストリームは.rbf形式です。

    Raw Programming Data File .rpd サードパーティのプログラミング・ハードウェアでコンフィグレーションするためのデータを保存します。 .pofまたは.sofから Raw Programming Data Files を生成します。 .rpdファイルは.pofまたは.jicのサブセットであり、EPCS または EPCQシリアル・コンフィグレーション・デバイスおよびリモート・システム・アップデートを使用したアクティブ・シリアル・コンフィグレーション・スキーム用のデバイス固有のバイナリー・プログラミング・データのみが含まれます。

    Tabular Text File .ttf TTF には、Raw Binary File(.rbf)と同等の 10 進数が含まれています。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    9

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 1.2.1.2. コンフィグレーション・モード(プログラミング・ファイル・ジェネレーター)

    Programming File Generator で次の Configuration modes のいずれかを選択して、セカンダリー・プログラミング・ファイルを生成します。

    表 4. プログラミング・ファイル・ジェネレーターのコンフィグレーション・モード

    プログラミング・モード 変更内容 サポートされるデバイス

    Active Serial x4

    不揮発性メモリーと 4 ピンのインターフェイスを備えた低コストのシリアル・コンフィグレーション・デバイスにコンフィグレーション・データを保存します。シリアル・コンフィグレーション・デバイスは、コンフィグレーション・データにアクセスするためのシリアル・インターフェイスを提供します。デバイスのコンフィグレーション中に、 インテル Stratix 10 デバイスはシリアル・インターフェイスを介して設定データを読み込み、必要に応じてデータを解凍し、SRAM セルを設定します。

    インテル Stratix 10AVST x8  Avalon -ST コンフィグレーション・モードは、マイクロプロセッサーまたは インテルMAX 10 デバイスなどの外部ホストを使用します。外部ホストは、フラッシュメモリーなどの外部ストレージから FPGA へのコンフィグレーション・データの転送を制御します。コンフィグレーション・プロセスを制御するデザインは、外部ホストにあります。 インテルMAX 10 デバイスをホストとして PFL II IP コアを使用して、 インテル Stratix 10FPGA を構成するフラッシュ・メモリー・デバイスからコンフィグレーション・データを読み込むことができます。

    AVST x16 

    AVST x32 

    1-Bit Passive Serial

    外部コントローラーは、シリアル・データ・ストリームを介して 1 つ以上の FPGA デバイスにコンフィグレーション・データを渡します。 FPGA デバイスは、外部コントローラへの 5線式インターフェースを備えたスレーブデバイスです。外部コントローラーは、マイクロ・コントローラーや CPU などのインテリジェントホストにすることができます。

    インテル Cyclone 10LP

    Active Serial 不揮発性メモリーと 4 ピンインターフェイスを備えた低コストのシリアル・コンフィグレーション・デバイスにコンフィグレーション・データを保存します。

    InternalConfiguration

    ダウンロード・ケーブルの インテル Quartus Prime のプログラマーを介して、 インテルMAX 10 デバイスのコンフィグレーション・フラッシュ・メモリー(CFM)およびユーザー・フラッシュ・メモリー(UFM)の内部構成に.pofファイルを使用します。

    インテル MAX 10

    1.2.2. セカンダリー・プログラミング・ファイルの生成(Convert Programming File ダイアログボックス)

    Convert Programming File ダイアログボックスを使用して、代替デバイス・プログラミング方法用のセカンダリー・プログラミング・ファイルを生成できます。たとえば、フラッシュ・プログラミング用の.jicファイル、 パーシャル・リコンフィグレーション用の.rbfファイル、またはサード・パーティー・プログラマー・コンフィグレーション用の.rpdファイルの生成です。

    Convert Programming File ダイアログボックスで使用できるオプションは、デバイスとコンフィグレーション・モードの選択に応じて動的に変化します。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    10

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -6: Convert Programming Files ダイアログボックス

    Configuration Device Add FilesGenerate Files

    Output Programming File

    1. デザインのプライマリー・プログラミング・ファイルを次のように生成します。 プライマリー・デバイス・プログラミング・ファイル生成 (4 ページ)説明します。

    2. File > Convert Programming Files をクリックします。

    3. Output programming file で 、生成する Programming file type を選択します。セカンダリー・プログラミング・ファイル(プログラミング・ファイルの変換) (13 ページ)はすべてのファイルオプションを示します。

    4. 生成するファイルの File name と出力ディレクトリ(…)を指定します。

    5. コンフィグレーション Mode では、Active Serial x4 または Active Serial を選択します。 コンフィグレーション・モード(プログラミング・ファイルの変換)  (13 ページ)では、すべてのモードについて説明します。

    注意: インテル Stratix 10 デバイスは Active Serial x4 のみをサポートします。

    6. Configuration device を指定するには、 (…)ボタンをクリックして、サポートされているフラッシュ・メモリー・デバイスと事前定義されたプログラミング・フローを選択します。定義済みのデバイスを選択すると、設定を変更できません。または、新しいフラッシュ・メモリー・デバイスとプログラミング・フローを定義します。 コンフィグレーション・デバイスタブの設定(プログラミング・ファイル・ジェネレーター) (46 ページ)すべての設定について説明します。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    11

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -7: Configuration Options ダイアログ

    7. Input files to convert で SOF Data 項目を選択し、Add File ボタンをクリックします。コンフィグレーション・ビットストリーム・データを含む.sofファイルを指定します。生データを含めるには、Add Hex Data をクリックして、 .hexファイルを指定します。

    8. ビットストリーム圧縮または暗号化セキュリティー設定をイネーブルするには、ビットストリームの圧縮または暗号化の有効化(Convert Programming File ダイアログボックス) (23 ページ)で説明されるように、.sofファイルを択し、Properties をクリックします。

    9. Flash Loader テキストを選択し、Add Device ボタンをクリックします。フラッシュ・デバイスのロードを制御するデバイスを選択します。

    図 -8: フラッシュ・デバイスの選択

    10. Convert Programming File イアログボックスですべてのオプションを指定したら、Generate ボタンをクリックしてファイルを作成します。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    12

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 1.2.2.1. セカンダリー・プログラミング・ファイル(プログラミング・ファイルの変換)

    プライマリー・デバイス・プログラミング・ファイルを生成した後、代替デバイス・コンフィグレーション・モードの Convert Programming Files ダイアログボックスを使用して、次のセカンダリデバイス・プログラミング・ファイルを生成できます。

    表 5. 出力ファイルの種類

    プログラミング・ファイル・タイプ 拡張子 変更内容

    CvP File  .jic/.rbf CvP 設定に必要なファイル。 

    Hexadecimal ( インテル -Format)Output File for SRAM

    .hexout  コンフィグレーション・デバイスなど、パラレル・データ・ソースをプログラミングするためのコンフィグレーション・データを含む インテル 16 進形式の ASCII テキストファイル。 並列データソースは、SRAM ベースのインテルデバイスをコンフィグレーションします。

    JTAG Indirect Configuration File .jic  インテル FPGA JTAG ピンを介してプログラミングするためのシリアル・フラッシュ・プログラマブルプログラミング・データを格納する独自の インテル FPGA ファイルタイプ。このメソッドは、アクティブ・シリアル・コンフィグレーションのみをサポートします。フラッシュをプログラミングする前に、プログラマは最初に SerialFlash Helper Design で FPGA をコンフィグレーションします。

    Memory Map File .map EPC4、EPC8、または EPC16 コンフィグレーション・デバイスのメモリーに保存されているページのバイトアドレスと HEX データが含まれています。 MAP ファイルには、 メ Main Block Data と Bottom Boot Data 項目の開始アドレスと終了アドレス、および Main Block Data 項目内のページの開始アドレスと終了アドレスが格納されます。

    Partial-Masked SRAM Object Files .pmsf PR 領域のコンフィグレーション用の部分マスクビットが含まれます。 .pmsfファイルには、PR ビットストリームを作成するためのすべての情報が含まれています。

    Merged Mask Setting File .msf PR デザインの静的領域のマスクビットが含まれます。

    Programmer Object File .pof インテル MAX 10 、 MAX V 、 MAX II 、またはインテル FPGA デバイスをコンフィグレーションできるフラッシュ・メモリー・デバイス用の不揮発性プログラミング用のデータを含むバイナリーファイル。プログラマーは、リモート・アップデート・コンフィグレーション・モードでコンフィグレーション・デバイスをプログラムするために使用したリモートアップデート対応.pofと追加のリモートアップデート対応.sofでコンフィグレーションされます。

    Raw Binary File .rbf  サード・パーティー・データ・ソース、パーシャル・リコンフィグレーション、またはHPS データソースで使用するコンフィグレーション・ビットストリーム・ファイル。パッシブシリアル(PS)および Avalon -ストリーミング(AVST)モードをサポートします。

    Raw Programming Data File .rpd  サードパーティーのプログラミング・ハードウェアでコンフィグレーションするためのデータを保存します。 .pofまたは.sofから Raw Programming DataFiles を生成します。 .rpdファイルは.pofまたは.sofのサブセットであり、EPCS または EPCQ シリアル・コンフィグレーション・デバイスとリモート・システム・アップデートを使用したアクティブ・シリアル・コンフィグレーション・スキーム用のデバイス固有のバイナリー・プログラミング・データのみが含まれます。 .rpdファイルの内容は、出力ファイルと比較して 1 ビット交換されています。

    Tabular Text File .ttf  TTF には、Raw Binary File(.rbf)と同等の 10 進数が含まれています。 

    1.2.2.2. コンフィグレーション・モード(プログラミング・ファイルの変換) 

    Convert Programming Files で次の Configuration modes のいずれかを選択して、セカンダリー・プログラミング・ファイルを生成します。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    13

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 表 6. プログラミング・ファイルのコンフィグレーション・モードの変換

    プログラミング・モード 変更内容

    1-Bit/2-Bit/4-Bit/8-Bit PassiveSerial

    外部コントローラーは、シリアル・データ・ストリームを介して 1 つ以上の FPGA デバイスにコンフィグレーション・データを渡します。 FPGA デバイスは、外部コントローラへの 5 線式インターフェースを備えたスレーブデバイスです。外部コントローラーは、マイクロ・コントローラーや CPU などのインテリジェント・ホスト、または インテル Quartus Prime のプログラマー、または EPC2 またはEPC16 コンフィグレーション・デバイスとなります。

    Active Parallel コンフィグレーション 16 ビットのパラレル・フラッシュ・メモリーを使用してコンフィグレーション・インターフェイスを制御するコンフィグレーション・デバイスをサポートします。

    Active Serial 不揮発性メモリーを備えた低コストのシリアル・コンフィグレーション・デバイスにコンフィグレーション・データを保存するため。シリアル・コンフィグレーション・デバイスは、コンフィグレーション・データにアクセスするためのシリアル・インターフェイスを提供します。デバイスのコンフィグレーション中に、デバイスはシリアル・インターフェイスを介してコンフィグレーション・データを読み込み、必要に応じてデータを解凍し、SRAM セルをコンフィグレーションします。

    Active Serial x4

    AVST x8/x16/x32 Avalon -ST コンフィグレーション・モードは、マイクロプロセッサーまたは インテル MAX 10 デバイスなどの外部ホストを使用します。外部ホストは、フラッシュメモリーなどの外部ストレージからFPGA へのコンフィグレーション・データの転送を制御します。コンフィグレーション・プロセスを制御するデザインは、外部ホストにあります。 インテル MAX 10 デバイスをホストとして PFL II IP コアを使用して、FPGA を構成するフラッシュ・メモリー・デバイスからコンフィグレーション・データを読み込むことができます。

    Passive Parallel Synchronous CPU などの外部コントローラーは、共通データバスを介してデザインデータをデバイスにロードします。データは、CPU 駆動のクロック信号の最初の立ち上がりエッジでデバイスによってラッチされます。次の 8 つの立ち下がりクロックエッジは、デバイス内でこのラッチされたデータをシリアル化します。デバイスは、デバイスが完全にコンフィグレーションされるまで、クロック信号の 8 番目の立ち上がりエッジごとにデータの次の 8 ビットバイトをラッチします。

    Passive Parallel Asynchronous CPU などの外部コントローラーは、共通データバスを介してデザインデータをデバイスにロードします。デバイスは、入力データの並列バイトを受け入れます。外部コントローラーとデバイス間のインテリジェント通信により、外部コントローラーはデバイスをコンフィグレーションできます。

    Internal Configuration ダウンロード・ケーブルの インテル Quartus Prime のプログラマーを介して、 インテル MAX 10デバイスのコンフィグレーション・フラッシュ・メモリー(CFM)およびユーザー・フラッシュ・メモリー(UFM)の内部構成に.pofファイルを使用します。

    1.2.2.3. コンフィグレーションのデバッグ

    Convert Programming Files ダイアログボックスの Advanced オプションをクリックして、ファイル変換コンフィグレーションをデバッグします。デザインでターゲットとするインテル FPGA デバイスに適用される詳細設定のみを選択します。

    Advanced Options ダイアログボックスの変更は、 .pof、 .jic、 .rpd、および.rbfファイルの生成に影響します。

    次の表に、 Advanced Options の設定を示します。

    表 7. 高度オプション設定

    オプション設定 変更内容 値

    Disable EPCS/EPCQ IDcheck

    EPCS/ EPCQ シリコン ID 検証をスキップするように FPGA に指示します。すべてのデバイスのシングルおよびマルチデバイス AS コンフィグレーション・モードに適用されます。

    デフォルト設定はオンです(EPCS / EPCQ ID チェックは有効です)。 

    Disable AS modeCONF_DONE error check

    CONF_DONEエラーチェックをスキップするよう FPGA に指示します。 すべてのデバイスのシングルおよびマルチデバイス(AS)コンフィグレーション・モードに適用されます。 

    デフォルト設定はオフです(AS モード CONF_DONEエラーチェックは有効です)。 

    continued...

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    14

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • オプション設定 変更内容 値

    Program Length Countadjustment

    ビットストリーム全体の計算された PLC に適用できる オフセットを指定します。 すべての FPGA デバイスのシングルおよびマルチデバイス(AS)コンフィグレーション・モードに適用されます。

    予約。デフォルト= 0

    Post-chain bitstream padbytes

    ビットストリーム全体の最後に追加されるパッドバイト数を指定します。 

    最後のデバイスのビットストリームが圧縮されていない場合、デフォルト値は 0 です。 それ以外の場合、デフォルトは 2です。

    Post-device bitstreampad bytes

    デバイスのビットストリームの末尾に追加されるパッドバイト数を指定します。  すべての FPGA デバイスのすべてのシングル・デバイス・コンフィグレーション・モードに適用されます。 

    ゼロまたは正の整数。 デフォルト = 0

    Bitslice Padding Value すべてのビットスライス・コンフィグレーション・チェインが最終コンフィグレーション・データビットを同時に受信するように、ビットスライス・コンフィグレーション・ビットストリームの準備に使用されるパディング値を指定します。圧縮解除機能をイネーブルして EPC デバイスを使用する場合、2、4、および 8 ビット PS コンフィグレーション・モードでのみ使用してください。拡張コンフィグレーション・デバイスをサポートするすべての FPGA デバイスに適用されます。

    0 または 1デフォルト値は 1 です。

    次の表は、コンフィグレーションが失敗した場合に考えられる症状をリストし、コンフィグレーションのデバッグに必要な詳細オプションについて説明しています。

    故障症状  EPCS /EPCQID チェックをディセーブルする

    AS モードのCONF_DONEエラーチェックをディセーブルする

    PLC の設定 ポストチェインのビットストリーム・パッド・バイト

    デバイス後のビットストリーム・パッ

    ド・バイト

    ビットスライスのパディング値 

    コンフィグレーション・サイクルの後にコンフィグレーションエラーが発生する

    — 有効 有効

    有効 (2)有効 (3) —

    解凍機能がイネーブルされる 

    — 有効 有効 有効 (2) 有効 (3) —

    暗号化機能がイネーブルされる 

    — 有効 有効 有効 (2) 有効 (3) —

    CONF_DONEは、コンフィグレーション・サイクルの後も低いまま

    — 有効 有効 (4) 有効 (2) 有効 (3) —

    CONF_DONEは、コンフィグレーション・サイクルの後に瞬間的に高くなる

    — 有効 有効 (5) — — —

    continued...

    (2) マルチ・デバイス・チェインにのみ使用

    (3) シングル・デバイス・チェインにのみ使用

    (4) PLC 設定への正のオフセットで開始

    (5) PLC 設定への負のオフセットで開始

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    15

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 故障症状  EPCS /EPCQID チェックをディセーブルする

    AS モードのCONF_DONEエラーチェックをディセーブルする

    PLC の設定 ポストチェインのビットストリーム・パッド・バイト

    デバイス後のビットストリーム・パッ

    ド・バイト

    ビットスライスのパディング値 

    CONF_DONEが Highになっても、FPGA はユーザーモードに入らない

    — — — 有効 (2) 有効 (3) —

    コンフィグレーションの失敗は、コンフィグレーション・サイクルの開始時に発生する

    有効 — — — — —

    EPCS128 有効 — — — — —

    圧縮解除機能が有効な場合、 インテルQuartus PrimeConvertProgramming FileUtility を使用したEPC デバイスの.pof生成の失敗

    — — — — — 有効

    1.2.3. パーシャル・リコンフィグレーション用のプログラミング・ファイルの生成

    次のセクションでは、パーシャル・リコンフィグレーション用のビットストリームおよびその他のファイルの生成について説明します。

    1.2.3.1. PR ビットストリーム・ファイルの生成

    インテル Stratix 10 デザインでは、アセンブラーはコンパイルの最後にコンフィグレーション.rbfを自動的に生成します。 インテル Arria 10 デザインの場合、次のいずれかの方法を使用して PR ビットストリームを処理し、リコンフィグレーション用の Raw Binary File( .rbf )ファイルを生成します。

    コンパイル中の PR ビットストリームの生成 

    コンパイル中に.rbfファイルを生成するには、次の手順に従います。 

    1. 次の割り当てをリビジョン.qsfに追加して、コンパイル後に必要な PR ビットストリームを自動的に生成します。

    set_global_assignment -name GENERATE_PR_RBF_FILE ONset_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF

    2. リビジョンをコンパイルして.rbfを生成するには、 Processing > Start Compilation をクリックします。 

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    16

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • プログラミング・ファイル・ジェネレーターを使用した PR ビットストリームの生成 

    以下の手順に従って、 Programming File Generator で PR プログラミング用の.rbfを生成します。 

    1. File > Programming File Generator をクリックします。Programming FileGenerator が表示されます。

    2. ターゲットとする Device family と部分リコンフィグレーションの Configuration mode を指定します。

    3. Output File タブで、Output directory およびファイル name を指定し、Raw BinaryFile for Partial Reconfiguration (.rbf)ファイルタイプがイネーブルします。

    4. 変換する入力.pmsfファイルを追加するには、Input Files タブをクリックし、AddBitstream をクリックして、Assembler で生成した.pmsfを指定します。

    図 -9: ビットストリーム・ファイルの追加

    5. Input Files タブで、ビットストリーム.pmsfファイルを選択し、Properties をクリックします。.rbfに対して次のオプションのいずれかを指定します

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    17

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • • Enable compression—圧縮 PR ビットストリーム・ファイルを生成して、ファイルサイズを縮小します。

    • Enable encryption—ベースイメージと PR イメージの暗号化された独立したビットストリームを生成します。ベースイメージに暗号化がない場合でも、PR イメージを暗号化できます。PR イメージには、個別の暗号化キーファイル( .ekp )を含めることができます 。また、他のSecurity settings を指定することもできます。

    • Enable encryption をオンにする場合、チェックボックスをオンにして、DesignSecurity Feature Disclaimer にも同意する必要があります。

    図 -10: デザイン・セキュリティー機能の免責事項

    6. OK をクリックします。

    7. Programming File Generator で 、Generate をクリックします。 PR ビットストリーム・ファイルは、仕様に従って生成されます。

    Convert Programming Files ダイアログボックスを使用した PR ビットストリームの生成

    Convert Programming Files ダイアログボックスで.rbfを生成するには、次の手順に従います。 

    1. File > Convert Programming Files をクリックします。Convert ProgrammingFiles ダイアログボックスが表示されます。

    2. 出力ファイル名と Programming file type を Raw Binary File for PartialReconfiguration (.rbf)として指定します。

    3. 変換する入力.pmsfファイルを追加するには、Add File をクリックします。

    4. 新しく追加された.pmsfファイルを選択し、Properties をクリックします。

    5. 次のオプションのいずれかを有効またはディセーブルし、OK をクリックします。

    • Compression—PR ビットストリームの圧縮をイネーブルします。

    • Enhanced compression—PR ビットストリームの拡張圧縮をイネーブルします。

    • Generate encrypted bitstream—ベースイメージと PR イメージの暗号化された独立したビットストリームを生成します。ベースイメージに暗号化がない場合でも、PR イメージを暗号化できます。 PR イメージには、個別の暗号化キーファイル( .ekp )を含めることができます。Generate encrypted bitstream をイネーブルする場合、Enable volatilesecurity key、Use encryption lock file および Generate key programmingfile オプションをイネーブルまたはディセーブルします。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    18

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -11: PMSF ファイル・プロパティーのビットストリーム暗号化

    6. Generate をクリックします。 PR ビットストリーム・ファイルは、仕様に従って生成されます。

    1.2.3.2. パーシャル・リコンフィグレーション・ビットストリームの互換性チェック

    部分リコンフィグレーション・ビットストリームの互換性チェックでは、リコンフィグレーション・ビットストリームの互換性を検証して、互換性のない PR ビットストリームを使用したコンフィグレーションを防止します。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    19

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -12: PR ビットストリームの互換性チェック 

    Static Region

    PR RegionPersona A

    PR Bitstream Persona Bfrom Same

    Design

    PR BitstreamPersona B

    from DifferentDesign

    Incompatible PRBitstream

    CompatiblePR Bitstream

    PR Bi

    tstre

    am Co

    mpa

    tibilit

    y Che

    ck

    次のセクションでは、 インテル Stratix 10 そして インテル Arria 10 PR デザインのための PR ビットストリームの互換性チェックのサポートについて説明します。 

    インテル Stratix 10 PR ビットストリームの互換性チェック 

    インテル Stratix 10 デザインでは、PR ビットストリームの互換性チェックは、デフォルトで Compilerと インテル Stratix 10 デフォルトでセキュアー・デバイス・マネージャー(SDM)ファームウェアを自動的にイネーブルされます。 PR ビットストリームの互換性チェックがイネーブルされている場合、PR デザインには次の制限が適用されます。

    • Compiler は、最大 255 個の PR 領域を許可します。 

    • Compiler は、親 PR 領域の子 PR 領域を最大 15 個許可します。 

    • Compiler では、最大 6 つの階層的なパーシャル・リコンフィグレーション・レイヤーを使用できます。

    PR ビットストリームの互換性チェックがイネーブルされているときに PR デザインがこれらの制限を超えると、Compiler はエラーを生成します。

    この制限で許可されているよりも多くの PR 領域が必要な場合、または インテル Stratix 10 デザインの PR ビットストリームの互換性チェックを無効にする場合、.qsfファイルに次の割り当てを追加できます。

    set_global_assignment -name ENABLE_PR_POF_ID OFF

    インテル Arria 10 PR ビットストリームの互換性チェック

    インテル Arria 10 デザインの場合、IP カタログから インテル Arria 10 /Cyclone 10 FPGA IP をインスタンス化するときに Enable bitstream compatibility check オプションをオンにして、PRビットストリーム互換性チェックをは無効にします。

    次に、ソフトウェアはパーシャル・リコンフィグレーション PR ビットストリーム・ファイル( .rbf )を検証します。ソフトウェアが互換性のないビットストリームを検出した場合、PR 操作は停止し、 status出力はエラーを報告します。 PR .pof ID は、PR ビットストリームの 71 番目のワードとしてエンコードします。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    20

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • Enable bitstream compatible check をオンにすると、PR Controller IP コアは PRbitstream ID を作成し、コンフィグレーション・ダイアログ・ボックスにビットストリーム ID を表示します。

    1.2.3.3. Raw Binary Programming File のバイトシーケンス伝送の例

    Raw Binary Programming File( .rbf )ファイルには、リトル・エンディアンのロウバイナリー形式のデバイス・コンフィグレーション・データが含まれています。次の例は、x32 モードでの.rbfバイトシーケンス 02 1B EE 01 の送信を示しています。

    表 8. x32 モードでの PR 制御ブロックまたは SDM への書き込み x32 モードでは、ファイルの最初のバイトはコンフィグレーション・ダブル・ワードの最下位バイトであり、4 番目のバイトは最上位バイトです。

    ダブルワード= 01EE1B02 

    LSB:BYTE0 = 02  BYTE1 = 1B  BYTE2 = EE  MSB: BYTE3 = 01

    D[7..0] D[15..8] D[23..16] D[31..24]

    0000 0010 0001 1011 1110 1110 0000 0001

    1.2.3.4. 複数の.pmsf ファイルからマージされた.pmsf ファイルを生成する 

    単一のマージされた.rbfファイルを使用して、2 つの PR 領域を同時にリコンフィグレーションします。2 つ以上の.pmsfファイルをマージするには:

    1. Convert Programming Files ダイアログボックスを開きます。

    2. 出力ファイル名とプログラミング・ファイルタイプを Merged Partial-Mask SRAM ObjectFile(.pmsf)として指定します。

    3. Input files to convert ダイアログボックスで、PMSF Data を選択します。

    4. 入力ファイルを追加するには、Add File をクリックします。マージするには、2 つ以上のファイルを指定する必要があります。

    5. マージされたファイルを生成するには、Generate をクリックします。

    または、2 つ以上の.pmsfファイルをマージするには、 インテル Quartus Prime シェルで、次のコマンドを入力します。

    quartus_cpf --merge_pmsf= \

    たとえば、2 つの.pmsfファイルをマージするには、次のコマンドを入力します。

    quartus_cpf --merge_pmsf= \

    1.3. ビットストリーム・ファイルの圧縮とセキュリティーの有効化

    オプションで、ビットストリーム圧縮(および圧縮解除)をイネーブルして、プログラミング・ビットストリーム・ファイルのサイズを縮小できます。 インテル Quartus Prime Assembler は、コンフィグレーション・ファイルのサイズを 30%から 55% (デザインに応じて)削減する圧縮ビットストリームイメージを生成できます。 FPGA デバイスは、圧縮されたコンフィグレーション・ビットストリームを受信し、コンフィグレーション中に real-time でデータを圧縮解除できます。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    21

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 暗号化キープログラミング・ファイルとユーザー定義の 256 ビットのセキュリティー・キーの生成を個別にイネーブルして、コンフィグレーション・ビットストリームを保護および認証できます。ビットストリームの暗号化は、非侵入型攻撃からのサイドチャネル保護も提供します。

    インテル Stratix 10 デバイスは、デバイスファームウェアの共同署名もサポートしています。共同署名により、デバイスファームウェアのセキュリティがさらに強化されます。共同署名機能を使用すると、生成した所有者署名キーを使用してデバイスファームウェアに署名できます。所有者の公開鍵ハッシュと共同署名されたファームウェア eFuses をプログラミングすることにより、共同署名をイネーブルします。これらのセキュリティー・ヒューズをプログラムしたら、新しいファームウェアをロードするにはインテルおよび所有者の署名の両方が必要です。

    関連情報• AN 556: インテル FPGA でのデザイン・セキュリティー機能の使用

    • AN 556: インテル FPGA でのデザイン・セキュリティー機能の使用

    1.3.1. ビットストリーム暗号化または共同署名の有効化(プログラミング・ファイル・ジェネレーター)

    暗号化キープログラミング・ファイルと 256 ビットのセキュリティー・キーをイネーブルして、コンフィグレーション・ビットストリームを保護および認証できます。

    所有者の公開鍵ハッシュと共同署名されたファームウェア eFuse をプログラムして、 インテル Stratix10 デバイスのためにデバイスのファームウェアの共同署名をイネーブルします。

    ビットストリーム・ファイル暗号化の署名をイネーブルするには、次の手順に従います。

    1. このドキュメントで説明するように、フラッシュ・プログラミング用の.jicファイルを生成します。

    2. Programming File Generator で 、Input Files タブで.sofファイルを選択します。

    3. Properties ボタンをクリックします。 Input File Properties ダイアログボックスが表示されます。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー フィードバック

    22

    https://www.intel.co.jp/content/www/jp/ja/programmable/documentation/sss1439972793861.html#dtn1534779107124https://www.intel.co.jp/content/www/jp/ja/programmable/documentation/bhc1410500804155.html#bhc1410500731946mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -13: ビットストリーム暗号化または共同署名の有効化(インテル Stratix 10 デバイス)

    my_key.pem

    co_firm.zip

    my_key.qek

    4. デバイスのファームウェアの共同署名をイネーブルするには:a. Enable signing tool を On に設定します。

    b. Private key file には Privacy Enhanced Mail Certificates ファイル( .pem )を指定し、Co-signed firmware には Quartus Co-Signed Firmware ファイル( .zip )を指定します。

    5. ビットストリーム・ファイルの暗号化をイネーブルするには:a. Finalize encryption を On に設定します。

    b. Quartus Encryption Key ファイル( .qek )を指定して、ビットストリームを復号化します。 

    6. OK をクリックします。

    1.3.2. ビットストリームの圧縮または暗号化の有効化(Convert Programming File ダイアログボックス)

    オプションで、ビットストリーム圧縮をイネーブルして、プログラミング・ビットストリーム・ファイルのサイズを小さくします。暗号化キープログラミング・ファイルとユーザー定義の 256 ビットセキュリティー・キーをイネーブルして、コンフィグレーション・ビットストリームを保護および認証します。これらのオプションは相互に排他的です。

    ビットストリーム・ファイルの圧縮または暗号化をイネーブルするには、次の手順に従います。

    1. このドキュメントで説明するように、フラッシュ・プログラミング用の.jicファイルを生成します。

    2. Convert Programming File ダイアログ・ボックスで、Input files to convert の.sofファイルを選択します。

    3. Properties をクリックすると SOF Files Properties: Bitstream Encryption ダイアログボックスが表示されます。

    1. デバイスのプログラミング・ファイルを生成するUG-20134 | 2019.06.10

    フィードバック インテル Quartus Prime プロ・エディションのユーザーガイド: プログラマー

    23

    mailto:[email protected]?subject=%20%E3%82%A4%E3%83%B3%E3%83%86%E3%83%AB%20Quartus%20Prime%E3%83%97%E3%83%AD%E3%83%BB%E3%82%A8%E3%83%87%E3%82%A3%E3%82%B7%E3%83%A7%E3%83%B3%E3%81%AE%E3%83%A6%E3%83%BC%E3%82%B6%E3%83%BC%E3%82%AC%E3%82%A4%E3%83%89%20%E3%83%97%E3%83%AD%E3%82%B0%E3%83%A9%E3%83%9E%E3%83%BC%20(UG-20134%202019.06.10)%20%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%83%BB%E3%82%AA%E3%83%B3&body=%E5%BC%8A%E7%A4%BE%E3%81%AF%E5%BE%A1%E7%A4%BE%E3%81%AE%E3%83%95%E3%82%A3%E3%83%BC%E3%83%89%E3%83%90%E3%83%83%E3%82%AF%E3%81%AB%E6%84%9F%E8%AC%9D%E3%81%84%E3%81%9F%E3%81%97%E3%81%BE%E3%81%99%E3%80%82%E3%82%B3%E3%83%A1%E3%83%B3%E3%83%88%E3%81%AE%E4%B8%AD%E3%81%A7%E3%80%81%E3%83%9A%E3%83%BC%E3%82%B8%E7%95%AA%E5%8F%B7%E3%81%BE%E3%81%9F%E3%81%AF%E6%AE%B5%E8%90%BD%E3%82%92%E6%8C%87%E5%AE%9A%E3%81%97%E3%81%A6%E3%81%8F%E3%81%A0%E3%81%95%E3%81%84%E3%80%82%E3%81%82%E3%82%8A%E3%81%8C%E3%81%A8%E3%81%86%E3%81%94%E3%81%96%E3%81%84%E3%81%BE%E3%81%99%E3%80%82

  • 図 -14: ビットストリームの圧縮または暗号化の有効化(Intel Arria 10


Recommended