+ All Categories
Home > Engineering > Ic technology-pattern transfer and etching

Ic technology-pattern transfer and etching

Date post: 16-Apr-2017
Category:
Upload: kriticka-sharma
View: 178 times
Download: 9 times
Share this document with a friend
163
IC TECHNOLOGY PATTERN TRANSFER & ETCHING By: Kritica Sharma Assistant Professor (ECE)
Transcript
Page 1: Ic technology-pattern transfer and etching

IC TECHNOLOGY

PATTERN TRANSFER & ETCHING

By:Kritica Sharma

Assistant Professor (ECE)

Page 2: Ic technology-pattern transfer and etching

CONTENTS

2

Lithography Introduction to photo/optical lithography Mask generation Contact/ proximity printers Projection printers Photo resists Etching Dry & Wet etching Methods for anisotropic etching Plasma etching Reaction ion etching (RIE).

Page 3: Ic technology-pattern transfer and etching

FABRICATION PROCESSES FOR VLSI DEVICES

Chip Fabrication ProcessesSilicon Wafer Manufacture

Packaging

Epitaxial

Growth

Photo-lithography

oxidation

Etching

Diffusion (Ion Implantation)

Metalization

Page 4: Ic technology-pattern transfer and etching

4

LITHOGRAPHY A light sensitive photoresist is spun onto the wafer forming a thin

layer on the surface. The resist is then selectively exposed by shining light through a mask which contains the pattern information for the particular being fabricated. The resist is then developed which completes the pattern transfer from the mask to the wafer.

Lithography comes from two Greek words, “lithos” which means stone and graphein which means write.“ writing a pattern on stone”

Page 5: Ic technology-pattern transfer and etching

LITHOGRAPHY Lithography is the most complicated, expensive, and

critical process of modern IC manufacturing.

Lithography transforms complex circuit diagrams into pattern which are define on the wafer in a succession of exposure and processing steps to form a number of superimposed layers of insulator, conductor, and semiconductors materials.

Typically 8-25 lithography steps and several hundred processing steps between exposure are required to fabricate a packed IC.

The minimum feature size i.e., the minimum line width or line to line separation that can be printed on the surface, control the number of circuits that can be placed on the chip and has a direct impact on circuit speed. The evolution of IC is therefore closely linked to the evolution of lithographic tools.

Page 6: Ic technology-pattern transfer and etching

6

review

Page 7: Ic technology-pattern transfer and etching

PHOTOLITHOGRAPHY Temporarily coat photoresist on wafer. Transfers designed pattern to photoresist. Most important process in IC fabrication. 40 to 50% total wafer process time. Determines the minimum feature size.

Page 8: Ic technology-pattern transfer and etching

APPLICATIONS OF PHOTOLITHOGRAPHY

Main application: IC patterning process

Other applications: Printed electronic board, nameplate and printer plate.

Page 9: Ic technology-pattern transfer and etching

BASIC STEPS OF PHOTOLITHOGRAPHY

Photoresist coating Alignment and exposure Development

Page 10: Ic technology-pattern transfer and etching

BASIC STEPS - OLD TECHNOLOGY Wafer clean Dehydration bake Spin coating primer and PR Soft bake Alignment and exposure Development Pattern inspection Hard bake

PR coating

Development

Page 11: Ic technology-pattern transfer and etching

BASIC STEPS - ADVANCED TECHNOLOGY

Wafer clean Pre-bake and primer coating Photoresist spin coating Soft bake Alignment and exposure Post exposure bake Development Hard bake Pattern inspection

PR coating

Development

Track-stepper integrated system

Page 12: Ic technology-pattern transfer and etching

Hard bake

Strip PR

Etch

Previous Process

Ion Impla

nt

Rejected

Surface preparation PR

coatingSoft bake Alignme

nt&

Exposure

Development

Inspection

PEB

Approved

Clean

Track system

Photo Bay

Photo cell

Page 13: Ic technology-pattern transfer and etching

WAFER CLEAN

P-WellUSGSTI

Polysilicon

Gate Oxide

Page 14: Ic technology-pattern transfer and etching

WAFER CLEAN Remove contaminants Remove particulate Reduce pinholes and other defects Improve photoresist adhesion Basic steps

Chemical clean Rinse Dry

Page 15: Ic technology-pattern transfer and etching

SURFACE CLEANING Typical contaminants that must be removed prior to

photoresist coating: dust from scribing or cleaving (minimized by laser scribing)

Photoresist residue from previous photolithography (minimized by performing oxygen plasma ashing)

atmospheric dust (minimized by good clean room practice)

bacteria (minimized by good DI water system)

Page 16: Ic technology-pattern transfer and etching

films from other sources:-solvent residue-H2 O residue-photoresist or developer residue-silicone

For particularly troublesome grease, oil, or wax stains: Start with 2-5 min. soak in 1,1,1-trichloroethane (TCA) or trichloroethylene (TCE) with ultrasonic agitation prior to acetone

Page 17: Ic technology-pattern transfer and etching

PRE-BAKE AND PRIMER VAPOR

P-WellUSGSTI

Polysilicon

Primer

Page 18: Ic technology-pattern transfer and etching

Primer Vapor CoatingDehydration Bake

Wafer

Prep Chamber Primer Layer

Pre-bake and Primer Vapor Coating

Wafer

Hot Plate Hot Plate

HMDS Vapor

Page 19: Ic technology-pattern transfer and etching

Dehydration bake Remove moisture from wafer surface Promote adhesion between PR and surface Usually around 100 °C Integration with primer coating

PHOTOLITHOGRAPHY PROCESS, PREBAKE

Page 20: Ic technology-pattern transfer and etching

Promotes adhesion of PR to wafer surface Wildly used: Hexamethyldisilazane (HMDS) HMDS vapor coating prior to PR spin coating Usually performed in-situ with pre-bake Chill plate to cool down wafer before PR coating

PHOTOLITHOGRAPHY PROCESS, PRIMER

Page 21: Ic technology-pattern transfer and etching

WAFER COOLING Wafer need to cool down Water-cooled chill plate Temperature can affect PR viscosity

Affect PR spin coating thickness

Page 22: Ic technology-pattern transfer and etching

PHOTORESIST COATING

P-WellUSGSTI

PolysiliconPhotoresist

Primer

Page 23: Ic technology-pattern transfer and etching

SPIN COATING Wafer sit on a vacuum chuck Slow spin ~ 500 rpm Liquid photoresist applied at center of wafer Ramp up to ~ 3000 - 7000 rpm Photoresist spread by centrifugal force Evenly coat on wafer surface

Page 24: Ic technology-pattern transfer and etching

SPIN COATING WITH PHOTORESIST Wafer is held on a spinner chuck by vacuum and resist

is coated to uniform thickness by spin coating.

Typically 3000 - 6000 rpm for 15-30 seconds.

Resist thickness is set by: primarily resist viscosity secondarily spinner rotational speed

Most resist thicknesses are 1-2 μm for commercial Si processes.

Page 25: Ic technology-pattern transfer and etching

Resist thickness is given by t =square of( kp)/root of(w1), where,

k = spinner constant, typically 80-100p = resist solids content in percentw = spinner rotational speed in rpm/1000

Page 26: Ic technology-pattern transfer and etching

SPIN COATER Automatic wafer loading system from robot of track system Vacuum chuck to hold wafer Resist containment and drain Exhaust features Controllable spin motor Dispenser and dispenser pump Edge bead removal

Page 27: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATER

PR

Vacuum

EBR

Wafer

Chuck

Water Sleeve

Drain Exhaust

Page 28: Ic technology-pattern transfer and etching

PHOTORESIST APPLYING

Spindle

PR dispenser nozzle

Chuck

Wafer

To vacuum pump

Page 29: Ic technology-pattern transfer and etching

PHOTORESIST SUCK BACK

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 30: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 31: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 32: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 33: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

PR suck back

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

Wafer

Page 34: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

PR suck back

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

Wafer

Page 35: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 36: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 37: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 38: Ic technology-pattern transfer and etching

PHOTORESIST SPIN COATING

Spindle

To vacuum pump

PR dispenser nozzle

Chuck

PR suck backWafer

Page 39: Ic technology-pattern transfer and etching

EDGE BEAD REMOVAL

Spindle

To vacuum pump

Chuck

WaferSolvent

Page 40: Ic technology-pattern transfer and etching

EDGE BEAD REMOVAL

Spindle

To vacuum pump

Chuck

WaferSolvent

Page 41: Ic technology-pattern transfer and etching

OPTICAL EDGE BEAD REMOVAL EXPOSURE

SpindleChuck

Wafer

PhotoresistLight sourceLight beam

Exposed Photoresist

Page 42: Ic technology-pattern transfer and etching

OPTICAL EDGE BEAD REMOVAL After alignment and exposure Wafer edge expose (WEE) Exposed photoresist at edge dissolves during

development

Page 43: Ic technology-pattern transfer and etching

READY FOR SOFT BAKE

Spindle

To vacuum pump

Chuck

Wafer

Page 44: Ic technology-pattern transfer and etching

EDGE BEAD REMOVAL (EBR)

PR spread to the edges and backside PR could flakes off during mechanical handling

and causes particles Front and back chemical EBR Front optical EBR

Page 45: Ic technology-pattern transfer and etching

SOFT BAKING Used to evaporate the coating

solvent.

Typical thermal cycles:90-100°C for 20 min. in a convection oven 75-85°C for 45 sec. on a hot plate

Microwave heating or IR lamps are also used

Optimizes light absorbance characteristics of photoresist

Spindle

To vacuum pump

Chuck

Wafer

Page 46: Ic technology-pattern transfer and etching

SOFT BAKE

P-WellUSGSTI

PolysiliconPhotoresist

Page 47: Ic technology-pattern transfer and etching

PURPOSE OF SOFT BAKE Evaporating most of solvents in PR Solvents help to make a thin PR but absorb radiation and affect

adhesion Soft baking time and temperature are determined by the

matrix evaluations Over bake: polymerized, less photo-sensitivity Under bake: affect adhesion and exposure

Page 48: Ic technology-pattern transfer and etching

METHODS OF SOFT BAKE Hot plates Convection oven Infrared oven Microwave oven

Page 49: Ic technology-pattern transfer and etching

BAKING SYSTEMS

Heater

Vacuum

Wafer

Heater

Heated N2

Wafers

MW Source

VacuumWafer

Photoresist

Chuck

Hot plate Convection oven

Microwave oven

Page 50: Ic technology-pattern transfer and etching

HOT PLATES

Widely used in the industry Back side heating, no surface

“crust” In-line track system

Heater

Wafer

Page 51: Ic technology-pattern transfer and etching

WAFER COOLING BEFORE EXPOSURE Need to cool down to ambient temperature Water-cooled chill plate Silicon thermal expansion rate: 2.510-6/C For 8 inch (200 mm) wafer, 1 C change causes 0.5 mm

difference in diameter PR thermal expansion effect

Page 52: Ic technology-pattern transfer and etching

ALIGNMENT

P-WellUSGSTI

PolysiliconPhotoresist

Gate Mask

Page 53: Ic technology-pattern transfer and etching

EXPOSUREGate Mask

P-WellUSGSTI

PolysiliconPhotoresist

Page 54: Ic technology-pattern transfer and etching

READY FOR POST EXPOSURE BAKE

P-WellUSGSTI

PolysiliconPhotoresist

Page 55: Ic technology-pattern transfer and etching

ALIGNMENT AND EXPOSURE Most critical process for IC fabrication Most expensive tool (stepper) in an IC fab. Most challenging technology Determines the minimum feature size Currently 0.18 mm and pushing to 0.13 mm

Page 56: Ic technology-pattern transfer and etching

POST EXPOSURE BAKE PEB normally uses hot plate at 110 to 130 C for about 1

minute. For the same kind of PR, PEB usually requires a higher

temperature than soft bake. Insufficient PEB will not completely eliminate the standing

wave pattern. Over-baking will cause polymerization and affects

photoresist development

Page 57: Ic technology-pattern transfer and etching

PURPOSE OF POST EXPOSURE BAKE Baking temperature higher than the Photoresist glass

transition temperature Tg. Thermal movement of photoresist molecules. Rearrangement of the overexposed and underexposed PR

molecules. Average out standing wave effect. Smooth PR sidewall and improve resolution.

Page 58: Ic technology-pattern transfer and etching

WAFER COOLING BEFORE DEVELOPMENT After PEB the wafer is put on a chill plate to cool down

to the ambient temperature before sent to the development process.

High temperature can accelerate chemical reaction and cause over-development and PR CD loss.

Page 59: Ic technology-pattern transfer and etching

DEVELOPMENT

P-WellUSGSTI

PolysiliconPR

Page 60: Ic technology-pattern transfer and etching

Development: Immersion

Spin DryDevelop

Rinse

Page 61: Ic technology-pattern transfer and etching

Schematic of a Spin Developer

Vacuum

DeveloperWafer

Chuck

Water sleeve

Drain

DI water

Page 62: Ic technology-pattern transfer and etching

APPLYING DEVELOPMENT SOLUTION

SpindleChuck

Wafer

Exposed Photoresist

Development solution dispenser nozzle

To vacuum pump

Page 63: Ic technology-pattern transfer and etching

APPLYING DEVELOPMENT SOLUTION

Spindle

To vacuum pump

Chuck

Wafer

Exposed Photoresist

Page 64: Ic technology-pattern transfer and etching

Developer Spin Off

Spindle

To vacuum

pump

Chuck

Wafer

Patterned photoresist

Edge PR removed

Page 65: Ic technology-pattern transfer and etching

DI WATER RINSE

Spindle

To vacuum pump

Chuck

Wafer

DI water dispenser nozzle

Page 66: Ic technology-pattern transfer and etching

SPIN DRY

Spindle

To vacuum pump

Chuck

Wafer

Page 67: Ic technology-pattern transfer and etching

READY FOR HARD BAKE

SpindleChuck

Wafer

Page 68: Ic technology-pattern transfer and etching

DEVELOPMENT• Developer solvent dissolves the softened part

of photoresist• Transfer the pattern from mask or reticle to

photoresist• Three basic steps:

– Development– Rinse– Dry

Page 69: Ic technology-pattern transfer and etching

DEVELOPMENT

PR

PR PR

PR

Substrate Substrate

Substrate Substrate

Film Film

FilmFilm

Mask

Exposure

DevelopmentEtching

PR Coating

Page 70: Ic technology-pattern transfer and etching

DEVELOPMENT PROFILES

PR PR

Substrate Substrate

PR

Substrate

PR

Substrate

Normal Development

Under Development Over Development

Incomplete Development

Page 71: Ic technology-pattern transfer and etching

DEVELOPER SOLUTION +PR normally uses weak base solution The most commonly used one is the tetramethyl

ammonium hydride, or TMAH ((CH3)4NOH).

Page 72: Ic technology-pattern transfer and etching

HARD BAKING Used to stabilize and harden the developed

photoresist prior to processing steps that the resist will mask.

Postbake removes any remaining traces of the coating solvent or developer.

Higher temperature than soft bake (120-150 degree)

Page 73: Ic technology-pattern transfer and etching

HARD BAKE

P-WellUSGSTI

PolysiliconPR

Page 74: Ic technology-pattern transfer and etching

PURPOSE OF HARD BAKE

• Evaporating all solvents in PR• Improving etch and implantation resistance• Improve PR adhesion with surface• Polymerize and stabilize photoresist• PR flow to fill pinhole

Page 75: Ic technology-pattern transfer and etching

PR PINHOLE FILL BY THERMAL FLOW

PR

Substrate Substrate

PR

Pinhole

Page 76: Ic technology-pattern transfer and etching

HARD BAKE CONDITIONS• Hot plate is commonly used• Can be performed in a oven after inspection• Hard bake temperature: 100 to 130 C • Baking time is about 1 to 2 minutes• Hard bake temperature normally is higher than the soft bake

temperature for the same kind of photoresist

Page 77: Ic technology-pattern transfer and etching

EFFECTS OF IMPROPER HARD BAKE• Under-bake

– Photoresist is not filly polymerized– High photoresist etch rate – Poor adhesion

• Over-baking – PR flow and bad resolution

Page 78: Ic technology-pattern transfer and etching

Photoresist Flow• Over baking can causes too much PR flow, which affects

photolithography resolution.

PR Substrate SubstrateNormal Baking

Over Baking

PR

Page 79: Ic technology-pattern transfer and etching

PATTERN INSPECTION

P-WellUSGSTI

PolysiliconPR

Page 80: Ic technology-pattern transfer and etching

PATTERN INSPECTION Surface irregularities such as scratches, pin holes,

stains, contamination, etc. Critical dimension (CD) Overlay or alignment

run-out, run-in, reticle rotation, wafer rotation, misplacement in X-direction, and misplacement in Y-direction

Page 81: Ic technology-pattern transfer and etching

PATTERN INSPECTION Fail inspection, stripped PR and rework

Photoresist pattern is temporary Etch or ion implantation pattern is permanent.

Photolithography process can rework Can’t rework after etch or implantation. Scanning electron microscope (SEM) Optical microscope

Page 82: Ic technology-pattern transfer and etching

CRITICAL DIMENSION

Good CD CD Loss Sloped Edge

PR PRSubstrate

PRSubstrate Substrate

Page 83: Ic technology-pattern transfer and etching

MASK ALIGNMENT & EXPOSURETransfers the mask image to the resist-coated wafer

Activates photo-sensitive components of photoresist

Three types of masking(1) Contact printing (2) Proximity printing(3) Projection printing

Page 84: Ic technology-pattern transfer and etching

84

Page 85: Ic technology-pattern transfer and etching

Contact printing capable of high resolution but has unacceptable defect densities. May be used in Development but not manufacturing.

Proximity printing cannot easily print features below a few mm in line width. Used in nano-technology.

Projection printing provides high resolution and low defect densities and dominates today. They print » 50 wafers/hour.

Page 86: Ic technology-pattern transfer and etching

CONTACT PRINTER Simple equipment Use before mid-70s Resolution: capable for sub-micron Direct mask-wafer contact, limited mask lifetime Particles

Page 87: Ic technology-pattern transfer and etching

CONTACT PRINTERLight Source

Lenses

Mask

PhotoresistWafer

Page 88: Ic technology-pattern transfer and etching

CONTACT PRINTING

N-SiliconPR

UV Light Mask

Page 89: Ic technology-pattern transfer and etching

PROXIMITY PRINTER ~ 10 mm from wafer surface No direct contact Longer mask lifetime Resolution: > 3 mm

Page 90: Ic technology-pattern transfer and etching

PROXIMITY PRINTERLight Source

Lenses

Mask

PhotoresistWafer

~10 mm

Page 91: Ic technology-pattern transfer and etching

PROXIMITY PRINTING

N-SiliconPR

UV Light~10 mm Mask

Page 92: Ic technology-pattern transfer and etching

PROJECTION PRINTER Works like an overhead projector Mask to wafer, 1:1 Resolution to about 1 mm

Page 93: Ic technology-pattern transfer and etching

Projection System

Light Source

Lenses

Mask

PhotoresistWafer

Page 94: Ic technology-pattern transfer and etching

Scanning Projection SystemLight Source

Lens

Mask

Photoresist

Wafer

Synchronized mask and wafer movement

Slit

Lens

Page 95: Ic technology-pattern transfer and etching

PHOTOLITHOGRAPHY REQUIREMENTS High Resolution PR High PR Sensitivity PR Precision Alignment Machine Precise Process Parameters Control Low Defect Density ultra-clean room

Page 96: Ic technology-pattern transfer and etching

PHOTORESIST (PR) Photo sensitive material Temporarily coated on wafer surface Transfer design image on it through exposure Very similar to the photo sensitive coating on the

film for camera

Page 97: Ic technology-pattern transfer and etching

REQUIREMENT OF PHOTORESIST High resolution

Thinner PR film has higher the resolution Thinner PR film, the lower the etching and ion

implantation resistance High etch resistance Good adhesion Wider process latitude

Higher tolerance to process condition change

Page 98: Ic technology-pattern transfer and etching

REQUIREMENT OF PHOTORESIST-2 Ion implantation blocking Expose rate, Sensitivity and Exposure Source Pinholes Particle and Contamination Levels Step Coverage Thermal Flow

Page 99: Ic technology-pattern transfer and etching

Types of Photoresist

Negative Photoresist• Becomes insoluble after

exposure• When developed, the

unexposed parts dissolved.

• Cheaper

Positive Photoresist• Becomes soluble after

exposure• When developed, the

exposed parts dissolved• Better resolution

Page 100: Ic technology-pattern transfer and etching

Negative and Positive Photoresists

Mask/reticle

Exposure

After Development

Negative Photoresist

UV light

Positive Photoresist

Substrate

Substrate

Substrate

Photoresist

SubstratePhotoresist

Page 101: Ic technology-pattern transfer and etching

NEGATIVE PHOTORESIST

Mask

Expose

Development

Negative Photoresist

Page 102: Ic technology-pattern transfer and etching

NEGATIVE RESIST Most negative PR are polyisoprene type Exposed PR becomes cross-linked polymer Cross-linked polymer has higher chemical etch

resistance. Unexposed part will be dissolved in development

solution.

Page 103: Ic technology-pattern transfer and etching

POSITIVE PHOTORESIST Novolac resin polymer. Acetate type solvents. Sensitizer cross-linked within the resin. Energy from the light dissociates the sensitizer and

breaks down the cross-links. Exposed part dissolve in developer solution. Image the same that on the mask. Higher resolution. Commonly used in IC fabrication.

Page 104: Ic technology-pattern transfer and etching

Positive Photoresists

Mask/reticle

Exposure

After Development

UV light

Positive Photoresist

Substrate

Substrate

Substrate

Photoresist

SubstratePhotoresist

Page 105: Ic technology-pattern transfer and etching

DISADVANTAGES OF NEGATIVE PHOTORESIST

• Polymer absorbs the development solvent• Poor resolution due to PR swelling • Environmental and safety issues due to the main

solvents xylene.

Page 106: Ic technology-pattern transfer and etching

COMPARISON OF PHOTORESISTS

- PR

Film+ PR

Film

Substrate Substrate

Negative photoresist Positive photoresist

Page 107: Ic technology-pattern transfer and etching

SUMMARY Photolithography: temporary patterning process Most critical process steps in IC processing Requirement: high resolution, low defect density Photoresist, positive and negative Process steps: Pre-bake and Primer coating, PR spin coating, soft

bake, exposure, PEB, development, hard bake, and inspection NGL: EUV and e-beam lithography

Page 108: Ic technology-pattern transfer and etching

After a thin film is deposited, it is usually etched to remove unwanted materials and leave only the desired pattern on the wafer

The process is done many times In addition to deposited films, sometimes we also

need to etch the Si wafer to create trenches (especially in MEMS)

The masking layer may be photoresist, SiO2 or Si3N4 The etch is usually done until another layer of a

different material is reached

ETCHING

Page 109: Ic technology-pattern transfer and etching

INTRODUCTION

Page 110: Ic technology-pattern transfer and etching

Etching can be done “wet” or “dry” Wet etching

uses liquid etchants Wafer is immersed in the liquid Process is mostly chemical

Wet etching is not used much in VLSI wafer fabrication.

INTRODUCTION

Page 111: Ic technology-pattern transfer and etching

Dry etching◦ Uses gas phase etchants in a plasma.◦ The process is a combination of chemical and

physical action.◦ Process is often called “plasma etching”.

This is the normal process used in most VLSI fabrication.

The ideal etch produces vertical sidewalls as shown in Fig.10-1.

In reality, the etch occurs both vertically and laterally (Figure 10-2).

INTRODUCTION

Page 112: Ic technology-pattern transfer and etching

INTRODUCTION

Page 113: Ic technology-pattern transfer and etching

Note that There is undercutting, non-vertical sidewalls, and

some etching of the Si. The photoresist may have rounded tops and non-

vertical sidewalls. The etch rate of the photoresist is not zero and the

mask is etched to some extent. This leads to more undercutting.

INTRODUCTION

Page 114: Ic technology-pattern transfer and etching

Etch selectivity is the ratio of the etch rates of different materials in the process.

If the etch rate of the mask and of the underlying substrate is near zero, and the etch rate of the film is high, we get high selectivity.

This is the normally desired situation If the etch rate of the mask or the substrate is high,

the selectivity is poor Selectivity of 25 – 50 are reasonable. Materials usually have differing etch rates due to

chemical processes rather than physical processes.

INTRODUCTION

Page 115: Ic technology-pattern transfer and etching

Etch directionality is a measure of the etch rate in different directions (usually vertical versus lateral)

INTRODUCTION

Page 116: Ic technology-pattern transfer and etching

In isotropic etching, the etch rates are the same in all directions.

Perfectly anisotropic etching occurs in only one direction.

Etch directionality is often related to physical processes, such as ion bombardment and sputtering.

In general, the more physical a process is, the more anisotropic the etch is and the less selective it is.

Directionality is often desired in order to maintain the lithographically defined features.

INTRODUCTION

Page 117: Ic technology-pattern transfer and etching

Note, however, that very anisotropic structures can lead to step coverage problems in subsequent steps

Selectivity is very desirable The etch rate of the material to be removed should

be fast compared to that of the mask and of the substrate layer

It is hard to get good directionality and good selectivity at the same time

INTRODUCTION

Page 118: Ic technology-pattern transfer and etching

Other system requirements include: Ease of transporting gases/liquids to the wafer

surface Ease of transporting reaction products away from

wafer surface Process must be reproducible, uniform, safe, clean,

cost effective

INTRODUCTION

Page 119: Ic technology-pattern transfer and etching

We consider two processes “wet” etching “dry” etching

Wet process is well-established, simple, and inexpensive

The need for smaller feature sizes could only be met with plasma etching

Plasma etching is used almost exclusively today

TYPES OF ETCHING

Page 120: Ic technology-pattern transfer and etching

In wet process by immersing the wafer in these chemicals, exposed areas could be etched and washed away

For SiO2, HF was used. Wet etches work through chemical processes to

produce a water soluble byproduct

WET ETCHING

O2HSiFH6HFSiO 2622

Page 121: Ic technology-pattern transfer and etching

In some cases, the etch works by first oxidizing the surface and then dissolving the oxide

An etch for Si involves a mixture of nitric acid and HF The nitric acid (HNO3) decomposes to form nitrogen

dioxide (NO2)

The SiO2 is removed by the previous reaction The overall reaction is

WET ETCHING

22222 2HNOHSiOO2H2NOSi

222623 HOHHNOSiFH6HFHNOSi

Page 122: Ic technology-pattern transfer and etching

Buffers are often added to keep the etchants at maximum strength over use and time

Ammonium fluoride (NH4F) is often used with HF to help prevent depletion of the F ions

This is called Basic Oxide Etch (BOE) or Buffered HF (BHF)

The ammonium fluoride reduces the etch rate of photoresist and helps eliminate the lifting of the resist during oxide etching

Acetic acid (CH3COOH) is often added to the nitric acid/HF Si etch to limit the dissociation of the nitric acid

CONTD..

Page 123: Ic technology-pattern transfer and etching

Wet etches can be very selective because they depend on chemistry

The selectivity is given by

Material “1” is the film being etched and material”2” is either the mask or the material below the film being etched

If S>>1, we say the etch has good selectivity for material 1 over material 2

CONTD..

2

1

rrS

Page 124: Ic technology-pattern transfer and etching

Most wet etches etch isotropically The exception is an etch that depends on the

crystallographic orientation Example—some etches etch <111> Si slower than

<100> Si Etch bias is the amount of undercutting of the mask If we assume that the selectivity for the oxide over

both the mask and the substrate is infinite, we can define the etch depth as “d” and the bias as “b”

CONTD..

Page 125: Ic technology-pattern transfer and etching

CONTD..

Page 126: Ic technology-pattern transfer and etching

We often deliberately build in some overetching into the process

This is to account for the fact that the films are not perfectly uniform the etch is not perfectly uniform

The over etch time is usually calculated from the known uncertainties in film thickness and etch rates

It is important to be sure that no area is under-etched; we can tolerate some over-etching

CONTD..

Page 127: Ic technology-pattern transfer and etching

This means that it is important to have as high a selectivity as possible to eliminate etching of the substrate

However, if the selectivity is too high, over-etching may produce unwanted undercutting

If the etch rate of the mask is not zero, what happens? If m is the amount of mask removed, we get

unexpected lateral etching

CONTD..

Page 128: Ic technology-pattern transfer and etching

CONTD..

Page 129: Ic technology-pattern transfer and etching

m is called “mask erosion” Etching is usually neither perfectly anisotropic nor

perfectly isotropic We can define the degree of anisotropy by

Isotropic etching has an Af = 0 while anisotropic etching has Af = 1

CONTD..

vert

latf r

rA -1

Page 130: Ic technology-pattern transfer and etching

Plasma etching has (for the most part) replaced wet etching

There are two reasons: Very reactive ion species are created in the plasma

that give rise to very active etching Plasma etching can be very anisotropic (because

the electric field directs the ions)

PLASMA ETCHING(DRY ETCHING)

Page 131: Ic technology-pattern transfer and etching

Plasma systems can be designed so that either reactive chemical components dominate or ionic components dominate

Often, systems that mix the two are used The etch rate of the mixed system may be much

faster than the sum of the individual etch rates A basic plasma system is shown in the next slide

CONTD..

Page 132: Ic technology-pattern transfer and etching

PLASMA ETCHING

Page 133: Ic technology-pattern transfer and etching

Features of this system Low gas pressure (1mtorr – 1 torr) High electric field ionizes some of the gas

(produces positive ions and free electrons) Energy is supplied by 13.56 MHz RF generator A bias develops between the plasma and the

electrodes because the electrons are much more mobile than the ions (the plasma is biased positive with respect to the electrodes)

CONTD..

Page 134: Ic technology-pattern transfer and etching

CONTD..

Page 135: Ic technology-pattern transfer and etching

If the area of the electrodes is the same (symmetric system) we get the solid curve of 10-8

The sheaths are the regions near each electrode where the voltage drops occur (the dark regions of the plasma)

The sheaths form to slow down the electron loss so that it equals the ion loss per RF cycle

In this case, the average RF current is zero

CONTD..

Page 136: Ic technology-pattern transfer and etching

The heavy ions respond to the average voltage The light electrons respond to the instantaneous

voltage The electrons cross the sheath only during a short

period in the cycle when the sheath thickness is minimum

During most of the cycle, most of the electrons are turned back at the sheath edge

The sheaths are thus deficient in electrons They are thus dark because of a lack of light-emitting

electron-ion collisions

PLASMA ETCHING

Page 137: Ic technology-pattern transfer and etching

For etching photoresist, we use O2 For other materials we use species containing halides

such as Cl2, CF4, and HBr Sometimes H2, O2, and Ar may be added The high-energy electrons cause a variety of reactions The plasma contains

free electrons ionized molecules neutral molecules ionized fragments Free radicals

PLASMA ETCHING

Page 138: Ic technology-pattern transfer and etching

PLASMA ETCHING

Page 139: Ic technology-pattern transfer and etching

In CF4 plasmas, there are Free electrons CF4 CF3 CF3

+

F CF and F are free radicals and are very reactive Typically, there will be 1015 /cc neutral species and

108-1012 /cc ions and electrons

PLASMA ETCHING

Page 140: Ic technology-pattern transfer and etching

The main species involved in etching are Reactive neutral chemical species Ions

The reactive neutral species (free radicals in many cases) are primarily responsible for the chemical component

The ions are responsible for the physical component The two can work independently or synergistically

PLASMA ETCHING MECHANISMS

Page 141: Ic technology-pattern transfer and etching

When the reactive neutral species act alone, we have chemical etching

Ions acting by themselves give physical etching When they work together, we have ion-enhanced

etching

PLASMA ETCHING MECHANISMS

Page 142: Ic technology-pattern transfer and etching

Chemical etching is done by free radicals Free radicals are neutral molecules that have

incomplete bonding (unpaired electrons) For example

Both F and CF3 are free radicals Both are highly reactive F wants 8 electrons rather than 7 and reacts quickly to

find a shared electron

CHEMICAL ETCHING

--- eFCFCFe 34

Page 143: Ic technology-pattern transfer and etching

The idea is to get the free radical to react with the material to be etched (Si, SiO2).

The byproduct should be gaseous so that it can be transported away (next slide).

The reaction below is such a reaction

Thus, we can etch Si with CF4 There are often several more complex intermediate

states.

CHEMICAL ETCHING

4SiFSi4F

Page 144: Ic technology-pattern transfer and etching

CHEMICAL ETCHING

Page 145: Ic technology-pattern transfer and etching

Gas additives can be used to increase the production of the reactive species (O2 in CF4)

The chemical component of plasma etching occurs isotropically

This is because The arrival angles of the species is isotropic There is a low sticking coefficient (which is more

important) The arrival angle follows what we did in deposition

and there is a cosn dependence where n=1 is isotropic

CHEMICAL ETCHING

Page 146: Ic technology-pattern transfer and etching

The sticking coefficient is

A high sticking coefficient means that the reaction takes place the first time the ion strikes the surface.

For lower sticking coefficients, the ion can leave the surface (usually at random angles) and strikes the surface somewhere else.

CHEMICAL ETCHING

incident

reactedc F

FS

Page 147: Ic technology-pattern transfer and etching

One would guess that the sticking coefficient for reactive ions is high

However, there are often complex reactions chained together. This complexity often means low sticking coefficients

Sc for O2/CF4 on Si is about 0.01 This additional “bouncing around” of the ions leads to

isotropic etching Since free radicals etch by chemically reacting with

the material to be etched, the process can be highly selective

CHEMICAL ETCHING

Page 148: Ic technology-pattern transfer and etching

CHEMICAL ETCHING

Page 149: Ic technology-pattern transfer and etching

Due to the voltage drop between the plasma and the electrodes and the resulting electric field across the sheaths, positive ions are accelerated towards each electrode

The wafers are on one electrode Therefore, ionic species (Cl+ or Ar+) will be accelerated

towards the wafer surface These ions striking the surface result in the physical

process The process is much more directional because the

ions follow the field lines

PHYSICAL ETCHING

Page 150: Ic technology-pattern transfer and etching

PHYSICAL ETCHING

Page 151: Ic technology-pattern transfer and etching

This means n is very large in the cosn distribution But, because the process is more physical than

chemical, the selectivity will not be as good as in the more chemical processes

We also assume that the ion only strikes the surface once (which implies that the sticking coefficient is near 1)

Ions can also etch by physical sputtering (Chapter 9)

PHYSICAL ETCHING

Page 152: Ic technology-pattern transfer and etching

The ions and the reactive neutral species do not always act independently (the observed etch rate is not the sum of the two independent etch rates)

The classic example is etching of Si with XeF2 and Ar+ ions are introduced.

ION-ENHANCED ETCHING

Page 153: Ic technology-pattern transfer and etching

ION-ENHANCED ETCHING

Page 154: Ic technology-pattern transfer and etching

The shape of the etch profiles are interesting The profiles are not the linear sum of the profiles from

the two processes The profile is much more like the physical etch alone

(c)

ION-ENHANCED ETCHING

Page 155: Ic technology-pattern transfer and etching

If the chemical component is increased, the vertical etching is increased, but not the lateral etching

The etch rate is also increased The mechanisms for these effects are poorly

understood Whatever the mechanism, the enhancement only

occurs where the ions hit the surface Since the ions strike normal to the surface, the

enhancement is in this direction This increases the directionality

ION-ENHANCED ETCHING

Page 156: Ic technology-pattern transfer and etching

ION-ENHANCED ETCHING

Page 157: Ic technology-pattern transfer and etching

Possible models include Enhancement of the etch reaction Inhibitor removal

The reaction takes place only where the ions strike the surface

Since the ions strike normal to the surface, removal is thus only at the bottom of the well

It is assumed that etching by radicals (chemical etching) is negligible

Note that even under these assumptions, the side walls may not be perfectly vertical

ION-ENHANCED ETCHING

Page 158: Ic technology-pattern transfer and etching

Note that an inhibitor can be removed on the bottom, but not on the sidewalls

If inhibitors are deliberately deposited, we can make very anisotropic etches

If the inhibitor formation rate is large compared to the etch rate, one can get non-vertical walls (next slide)

ION-ENHANCED ETCHING

Page 159: Ic technology-pattern transfer and etching

ION-ENHANCED ETCHING

Page 160: Ic technology-pattern transfer and etching

ANISOTROPY Etchant can not distinguishes b/w vertical or horizontal

dimensions (isotropic). Anisotropy = 1 – dH/dV Wet etching is isotropic and dry etching is anisotropic.

Page 161: Ic technology-pattern transfer and etching

SELECTIVITY Etchant should distinguish b/w SiO2 and Si wafer. Wet Etching is Selective than Dry Etching.

Page 162: Ic technology-pattern transfer and etching

ADVANTAGES OF PLASMA ETCHING OVER WET ETCHING Eliminates handling of dangerous acids and solvents. Uses small amounts of chemicals. Anisotropic etch profiles. High resolution and cleanliness. Less undercutting. Better process control.

Page 163: Ic technology-pattern transfer and etching

THANK YOU


Recommended