+ All Categories
Home > Documents > INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices...

INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices...

Date post: 17-Aug-2018
Category:
Upload: vuongkiet
View: 221 times
Download: 0 times
Share this document with a friend
19
INVITED PAPER GaN-Based RF Power Devices and Amplifiers Gallium nitride power transistors can operate at millimeter wave and beyond to meet future needs of cell phones, satellites, and TV broadcasting. By Umesh K. Mishra, Fellow IEEE , Likun Shen , Thomas E. Kazior, and Yi-Feng Wu ABSTRACT | The rapid development of the RF power electronics requires the introduction of wide bandgap mate- rial due to its potential in high output power density, high operation voltage and high input impedance. GaN-based RF power devices have made substantial progresses in the last decade. This paper attempts to review the latest develop- ments of the GaN HEMT technologies, including material growth, processing technologies, device epitaxial structures and MMIC designs, to achieve the state-of-the-art microwave and millimeter-wave performance. The reliability and manu- facturing challenges are also discussed. KEYWORDS | Gallium nitride; High Electron Mobility Transistors (HEMTs); microwave transistors; millimeter wave transistors; MMICs; reliability I. INTRODUCTION With the recent upsurge of the wireless communication market, as well as the steady but continuous progress of traditional military applications, microwave transistors are playing critical roles in many aspects of human activities. The requirements for the performance of microwave transistors are becoming more and more demanding. In the personal mobile communication applications, next generation cell phones require wider bandwidth and improved efficiency. The development of satellite com- munications and TV broadcasting requires amplifiers operating at higher frequencies (from C band to Ku band, further to Ka band) and higher power to reduce the antenna size of terminal users. The same requirement holds for broadband wireless internet connections as well because of the ever increasing speed or data transmission rate. Because of these needs, there has been significant investment in the development of high performance microwave transistors and amplifiers based on Si/SiGe, GaAs, SiC and GaN. Table 1 lists the major parameters of these materials and the Johnson’s figure of merit (JM) calculated to compare the power-frequency limits of different materials [1]. The JM gives the power-frequency limit based solely on material properties and can be used to compare different materials for high frequency and high power applications. The requirement for high power and high frequency requires transistors based on semiconductor materials with both large breakdown voltage and high electron velocity. From this point of view, wide bandgap materials, like GaN and SiC, with higher JM are preferable. The wide bandgap results in higher breakdown voltages because the ultimate breakdown field is the field required for band-to-band impact ionization. Moreover, both have high electron saturation velocities, which allow high frequency opera- tion. The ability of GaN to form heterojunctions makes it superior compared to SiC, in spite of having similar breakdown fields and saturation electron velocities. GaN can be used to fabricate high electron mobility transistors (HEMTs) whereas SiC can only be used to fabricate metal semiconductor field effect transistors (MESFETs). The advantages of the HEMT include its high carrier concen- tration and its higher electron mobility due to reduced ionized impurity scattering. The combination of high carrier concentration and high electron mobility results in a high current density and a low channel resistance, which are especially important for high frequency operation and power switching applications. From the amplifier point of view, GaN-based HEMTs have many advantages over existing production Manuscript received February 5, 2007; revised August 22, 2007. U. K. Mishra and L. Shen are with the Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 USA (e-mail: [email protected]; [email protected]). T. E. Kazior is with the Raytheon RF Components, Andover, MA 01810 USA (e-mail: [email protected]). Y.-F. Wu is with the Santa Barbara Technology Center, CREE Inc., Goleta, CA 93117 USA (e-mail: [email protected]). Digital Object Identifier: 10.1109/JPROC.2007.911060 Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 287 0018-9219/$25.00 Ó2007 IEEE
Transcript
Page 1: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

INV ITEDP A P E R

GaN-Based RF Power Devicesand AmplifiersGallium nitride power transistors can operate at millimeter wave and beyond

to meet future needs of cell phones, satellites, and TV broadcasting.

By Umesh K. Mishra, Fellow IEEE, Likun Shen, Thomas E. Kazior, and Yi-Feng Wu

ABSTRACT | The rapid development of the RF power

electronics requires the introduction of wide bandgap mate-

rial due to its potential in high output power density, high

operation voltage and high input impedance. GaN-based RF

power devices have made substantial progresses in the last

decade. This paper attempts to review the latest develop-

ments of the GaN HEMT technologies, including material

growth, processing technologies, device epitaxial structures

and MMIC designs, to achieve the state-of-the-art microwave

and millimeter-wave performance. The reliability and manu-

facturing challenges are also discussed.

KEYWORDS | Gallium nitride; High Electron Mobility Transistors

(HEMTs); microwave transistors; millimeter wave transistors;

MMICs; reliability

I . INTRODUCTION

With the recent upsurge of the wireless communication

market, as well as the steady but continuous progress of

traditional military applications, microwave transistors are

playing critical roles in many aspects of human activities.

The requirements for the performance of microwavetransistors are becoming more and more demanding. In

the personal mobile communication applications, next

generation cell phones require wider bandwidth and

improved efficiency. The development of satellite com-

munications and TV broadcasting requires amplifiers

operating at higher frequencies (from C band to Ku

band, further to Ka band) and higher power to reduce the

antenna size of terminal users. The same requirement

holds for broadband wireless internet connections as well

because of the ever increasing speed or data transmission

rate. Because of these needs, there has been significant

investment in the development of high performance

microwave transistors and amplifiers based on Si/SiGe,GaAs, SiC and GaN. Table 1 lists the major parameters of

these materials and the Johnson’s figure of merit (JM)

calculated to compare the power-frequency limits of

different materials [1]. The JM gives the power-frequency

limit based solely on material properties and can be used to

compare different materials for high frequency and high

power applications.

The requirement for high power and high frequencyrequires transistors based on semiconductor materials with

both large breakdown voltage and high electron velocity.

From this point of view, wide bandgap materials, like GaN

and SiC, with higher JM are preferable. The wide bandgap

results in higher breakdown voltages because the ultimate

breakdown field is the field required for band-to-band

impact ionization. Moreover, both have high electron

saturation velocities, which allow high frequency opera-tion. The ability of GaN to form heterojunctions makes it

superior compared to SiC, in spite of having similar

breakdown fields and saturation electron velocities. GaN

can be used to fabricate high electron mobility transistors

(HEMTs) whereas SiC can only be used to fabricate metal

semiconductor field effect transistors (MESFETs). The

advantages of the HEMT include its high carrier concen-

tration and its higher electron mobility due to reducedionized impurity scattering. The combination of high

carrier concentration and high electron mobility results in

a high current density and a low channel resistance, which

are especially important for high frequency operation and

power switching applications.

From the amplifier point of view, GaN-based

HEMTs have many advantages over existing production

Manuscript received February 5, 2007; revised August 22, 2007.

U. K. Mishra and L. Shen are with the Department of Electrical and Computer

Engineering, University of California, Santa Barbara, CA 93106 USA

(e-mail: [email protected]; [email protected]).

T. E. Kazior is with the Raytheon RF Components, Andover, MA 01810 USA

(e-mail: [email protected]).

Y.-F. Wu is with the Santa Barbara Technology Center, CREE Inc., Goleta,

CA 93117 USA (e-mail: [email protected]).

Digital Object Identifier: 10.1109/JPROC.2007.911060

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 2870018-9219/$25.00 �2007 IEEE

Page 2: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

technologies (e.g. GaAs) [2]. The high output power

density allows the fabrication of much smaller size devices

with the same output power. Higher impedance due to the

smaller size allows for easier and lower loss matching inamplifiers. The operation at high voltage due to its high

breakdown electric field not only reduces the need for

voltage conversion, but also provides the potential to obtain

high efficiency, which is a critical parameter for amplifiers.

The wide bandgap also enables it to operate at high

temperatures. At the same time, the HEMT offers better

noise performance than that of MESFET’s.

These attractive features in amplifier applicationsenabled by the superior semiconductor properties make

the GaN-based HEMT a very promising candidate for

microwave power applications.

In this article we discuss the key components of GaN

HEMT technology. In Section II we review growth of high

purity device layers by metal organic chemical vapor

deposition (MOCVD) and molecular beam epitaxy (MBE).

In Section III we present device engineering andprocessing technologies that are being developed to realize

state-of-the-art GaN HEMT performance. The reliability

and manufacturing challenges are also discussed. In

Section IV, we highlight some of the GaN HEMT hybrid

amplifiers and monolithic microwave integrated circuit

(MMIC) that have recently been achieved.

II . GaN EPITAXIAL LAYER GROWTH

Numerous teams have been developing the MOCVD and

MBE techniques for growth of group-III nitride materials

such as GaN, AlN, AlGaN, and InGaN [3]–[8]. In the

MOCVD process, Ga, Al, and In are supplied using

corresponding metal organic compounds, usually tri-

methylgallium, trimethylaluminum and timethylindium.

The metal-organic compounds are then transported by acarrier gas, most often hydrogen. Thereby the concentra-

tion of the compound in the carrier gas is determined by its

vapor pressure. The most commonly used nitrogen source

is ammonia. In the RF-MBE technique reactive nitrogen

atoms and molecules are produced by passing a nitrogen

flow (N2 gas) through a plasma discharge. A variant of this

process uses ammonia ðNH3Þ as the nitrogen source gas

[8]. The column III growth fluxes are provided by

evaporation of high purity elemental sources. The growth

efforts of both techniques have been focused on developing

high power microwave and millimeter-wave AlGaN/GaNHEMT structures. SiC has been extensively employed as

substrates due to its excellent thermal conductivity [9],

while sapphire and Si are also used because of the low cost

[10], [11]. Device isolation from the SiC and Si substrate is

provided by a resistive AlN nucleation layer, in which the

growth conditions are adjusted to prevent silicon out

diffusion [12].

Excellent material quality has been achieved for GaNHEMT films. The impurity concentrations in semi-

insulating GaN films are below the detection limit when

characterized by SIMS. AlGaN/GaN, AlN/GaN [13], GaN/

AlN/GaN [14] and AlGaN/AlN/GaN [15] heterostructures

with smooth and abrupt interfaces have been demonstrat-

ed, leading to the formation of 2DEGs with electron

mobilities as high as 2000 cm2=Vs at room temperature

[16]. Non-uniformites of G 2% on 4-inch diameter SiCsubstrates are routinely achieved (for example, see

Fig. 1(a)Va sheet resistivity map of a GaN DHFET

(Double Heterostructure Field-Effect Transistors) [17].

Mercury probe capacitance-voltage (C-V) measure-

ments of AlGaN/ GaN HEMT structures grown on semi-

insulating SiC substrates reveal high quality material. The

C-V profile exhibits a sharp pinch-off and extremely low,

flat capacitance at high reverse bias (equal to thecapacitance of the SiC substrate) indicative of negligible

GaN buffer and epi/SiC interface charge/doping [as shown

in Fig. 1(b)] [18].

Both MOCVD and MBE techniques are capable of

growing thin layers. The use of a thin, �10A, AlN

interlayer between the AlGaN barrier and GaN channel

has been demonstrated to reduce sheet resistance by

increasing the mobility and sheet density of the HEMTstructure [15]. The increase in mobility is attributed to the

reduction in alloy scattering and the increase in sheet

charge due to the larger conduction band discontinuity at

the AlGaN/GaN interface. Fig. 2 is an x-ray spectrum of a

250 A Al0:26Ga0:74N=10 A AlN/GaN HEMT grown on a

SiC substrate. The presence of the thin, AlN layer

enhances the strength of the Pendellosung oscillations.

Table 1 Material Properties Related to the Power Performance at High Frequencies for Various Materials

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

288 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 3: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

(The Pendellosung oscillations are a measure of thequality (flatness and abruptness) of the hetero-interface.)

The AlN interlayer lowered the sheet resistance from 400

to 285 ohm/sq. and the mobility was increased to greater

than 2000 cm2=Vs.

Using the MOCVD and MBE techniques, growers have

demonstrated more complex device structures similar to

GaAs pHEMTs, such as quantum well or double hetero-

junction (DH) FETs. Some of these devices operate up toW-band frequencies. The quantum well or DH structures

provide improved electron confinement to mitigate short

channel effects associated with smaller gate lengths as well

as better substrate isolation resulting in higher gaindevices and improved device efficiency. AlGaN buffer

layers [19] and InGaN backside barrier layers [20]–[22]

have been used to create conduction band discontinuities

(double quantum wells similar to GaAs pHEMTs and InP

HEMTs) that inhibit the injection of electrons into the

buffer layer. Improved channel confinement/buffer iso-

lation and reduced buffer leakage current by Fe, Be, or C

doping of the GaN buffer layer (similar to fully depletedburied p-layers commonly used in GaAs MESFETs and Si

nMOS devices) has also been demonstrated [23]–[26].

Finally, highly doped cap layers are being added to the

epi structure to reduce device access (source) resistance,

which results in increased device gain and efficiency

[19], [27].

III . ADVANCED DEVICE DESIGNS ANDPROCESSING TECHNOLOGIES

While several electronic devices have been investigated

(for example, HBTs [28], MESFETs [29], MISFETs [30],

HEMTs [31]), most of the research work has been focused

on HEMTs [including MOSHEMT [32] (Metal-oxide-

semiconductor HEMT)], because HEMTs have better

carrier transport properties than MESFETs and thedifficulty of p-doping in GaN impedes the development

of bipolar transistors. A typical AlGaN/GaN HEMT is

shown in Fig. 3.

The polarization doping effect in GaN HEMTs was

predicted by Bykhovski et al. [33]. The first observation of

a Two-Dimensional Electron Gas (2DEG) with a carrier

concentration of the order of 1011 cm�2 and a room

temperature mobility of 400–800 cm2=Vs in an AlGaN/GaN heterojunction was reported in 1992 [31]. The first

Fig. 1. (a) Sheet resistance map and (b) capacitance-voltage plot for GaN HEMT grown on a 4-inch SiC substrate.

Fig. 2. (0002) x-ray spectrum of a AlGaN=10 A AlN/GaN HEMT

on a SiC substrate.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 289

Page 4: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

DC performance of AlGaN/GaN HEMT was shown in 1993

with the saturation drain current of 40 mA/mm [34]. First

RF power data of 1.1 W/mm at 2 GHz for an AlGaN/GaN

HEMT was demonstrated in 1996 [35]. In the early stage of

the development of the GaN devices, many AlGaN/GaN

HEMTs suffered a discrepancy between the predicted

output power from static I-V curves and load pull

measurements of output power, referred to as BDC-to-RFdispersion.[ As seen in Fig. 4, current collapse occurs in

the pulsed I-V measurement. It is believed to be a trap-

related phenomenon where both surface and bulk traps

contribute [36], [37]. The existence of the dispersion has

severely limited the microwave output power of GaN

HEMTs, until two innovations were proposed to overcome

this problem. One was the introduction of the SixN

passivation in 2000 [38], [39], which effectively reducedDC-to-RF dispersion caused by surface trap states, thereby

resulting in a significant increase in output power to 9 and

11 W/mm [40], [41]. Another was the adoption of the field

plate in 2003 [10], [42]. In addition to the traditional

function of the field plate to increase the breakdown

voltage, it also reduced the dispersion beyond what SixNpassivation offered. Since then, the output power density

has further increased with the help of steadily improved

growth techniques, material qualities, enhanced proces-

sing technologies and more optimum device designs.

The latest record for power density is over 40 W/mm at

4 GHz [43].

The trend of the GaN-based device is towards higher

output power density, higher Power-Added-Efficiency(PAE), higher operation frequencies and improved reli-

ability. In order to achieve these requirements, novel

device designs and processing technologies are being

developed. Recently, much progress has been made and

will be discussed below. The first subsections focus on

improvements to the performance of microwave transis-

tors. The last subsection addresses the unique challenges

of optimizing the device for millimeter wave applications.

A. Field-Plated GaN HEMTsImplementing a field plate on a dielectric layer at the

drain side of the GaN HEMTs has resulted in some of the

most significant and exciting improvements [10], [42],

[43]. The performance and tradeoffs of the field plate (FP)

configurations have been investigated in an attempt to

extract the best gain and power characteristics.

Gate Connected FP (GC-FP): Fig. 5(a) shows the cross

section of a gate-connected field-plated GaN HEMT. The

function of a FP is to modify the electric field profile and to

decrease its peak value, hence reducing trapping effect and

increasing breakdown voltages. Initial FPs were either

constructed as part of the gate or tied to the gate

externally. This has been effective in improving largesignal (or power) performance and enabling high voltage

operation as seen in Fig. 6(a) and (b) [44]. Up to a certain

value, the longer the FP, the more output power was

achieved.

However, in this configuration the capacitance be-

tween the FP and drain becomes gate-to-drain capacitance

ðCgdÞ, resulting in negative Miller feedback. This causes

reduction in current-gain and power-gain cutoff frequen-cies ðft=fmaxÞ as seen in Fig. 7.

Source-Connected FP (SC-FP): A close look into the

device operation reveals that, since the voltage swing

across the gate and source is only 4–8 V for a typical GaN

HEMT, much less than the dynamic output swing up to

230 V, terminating the FP to the source [shown in

Fig. 5(b)] also satisfies the electrostatics for it to befunctional. In this configuration, the FP-to-channel

capacitance becomes the drain-source capacitance, which

could be absorbed in the output-tuning network. The

drawback of additional Cgd by the FP is hence is

eliminated. Depending on the implementation, the

source-connected field plate can add parasitic capacitance

to the device input. However, this can also be absorbed

Fig. 3. A schematic of a typical AlGaN/GaN HEMT.

Fig. 4.DCandpulsed I-VcharacteristicsofanunpassivatedAlGaN/GaN

HEMT on SiC substrate. Obvious current collapse (dispersion) could be

observed in the pulsed mode.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

290 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 5: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

into the input tuning circuit, at least for narrow band

applications.

SC-FP, GC-FP and non-FP Devices were fabricated on

the same wafer for a direct evaluation. Compared to the

non-FP device, the reveres power transfer ðS12Þ of the

device with GC-FP increased by 71% at 4 GHz, while thatof the device with SC-FP actually reduced by 28%. The

reduction in S12 for the latter is attributed to the Faraday

shielding effect by the grounded field plate. As a result,

at 10 V drain bias and 4 GHz the SC-FP device exhibited

a maximum-stable-gain (MSG) 1.3-dB higher than the

non-FP device and 5.2 dB higher than the GC-FP device.

As a result, the SC-FP devices shows a significant (9 5 dB

at 4 GHz) improvement in maximum stable gain, This

advantage for SC-FP devices was maintained for biases

from 10 though 60 V as seen in Fig. 8(a). Fig. 8(b) lists

the change of the capacitance components in GC- and

SC-FP devices, respectively.

Large-signal performance was characterized by load-

pull power measurement at 4 GHz. Both the GC-FP andthe SC-PF devices outperformed the non-FP devices in

both output power and PAE at 48 V and above, while

the SC-FP device consistently delivered large-signal gains

5–7 dB higher than that of the GC-FP device.

As successful high-voltage designs, both FP devices

were able to operate at 118 V dc bias as shown in Fig. 9,

where tuning was optimized for the best combination of

gain, power-added-efficiency (PAE) and output power at

Fig. 5. Cross section of a GaN HEMT with (a) gate-connected field plate; (b) source-connected field plate.

Fig. 6. (a) Power density vs. drain voltage for various FP lengths. Device dimension: 0:5� 246 �m2. (b) Power performance of a

GaN HEMTs with gate-connected field plates, showing 32.2 W/mm output power at 120 V drain bias.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 291

Page 6: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

3-dB compression ðP3 dBÞ. While both devices generate

power densities around 20 W/mm, the SC-FP device

distinguishes itself by 7-dB higher associated gain. With

the achieved large-signal gain of 21 dB at 4 GHz and theestimated voltage swing of 224 V, the voltage-frequency-

gain product (Johnson’s voltage-frequency figure of merit

[1]) for the SC-FP is approaching 10 kV-GHz, the highest

ever shown for any semiconductor device.

The above studies were for operation at C-band and

below. For applications at X-band and above, dimensions

for the field plates need to be reduced accordingly to

manage the parasitic capacitances.

B. Deep-Recessed GaN HEMTsSiNx passivation has been used to reduce the disper-

sion, but reproducibility of breakdown voltage, gate

leakage, and effectiveness of dispersion elimination is

strongly process related. Recently, solutions to thedispersion problem had been addressed at the epitaxial

level [45], [46]. One of these approaches, which has made

substantial progress, is the deep-recessed GaN HEMT

using a thick cap layer to eliminate dispersion [47], as

shown in Fig. 10.

The effect of the surface to the channel is inversely

proportional to the distance between surface and channel.

The thick AlGaN or GaN cap layers in the deep-recessedHEMTs increase the surface-to-channel distance, the

dispersion caused by surface traps is therefore reduced

or eliminated without surface passivation because now

only a smaller portion of the channel charge is affected

compared to the conventional AlGaN/GaN HEMTs. The

graded AlGaN layer is Si-doped to compensate the negative

polarization charge and prevent hole accumulation.

The processing flow was similar to that of the standardHEMT except for the deep ohmic and gate recess. A

fluorine plasma treatment of the recessed surface before

gate metallization was found to be very effective to reduce

the gate leakage (up to two orders of magnitude) and

increase breakdown voltage (9 200 V) [48]. A record

output power density Pout of more than 17 W/mm with an

associated power added efficiency (PAE) of 50% was

measured at VDS ¼ 80 V at 4 GHz (without SiNx

passivation as shown in Fig. 11). This is believed to be

the highest power generated from a GaN transistor with-

out surface passivation to date. At lower bias of 30 V,

an excellent PAE of 74% with output power density of

5.5 W/mm was achieved.

In order to control the recess depth accurately and

improve the manufacturability, a selective dry etch tech-

nology of GaN over AlGaN using BCl3=SF6 has beendeveloped [49]. The presence of fluorine decreases the

etch rate of AlGaN due to the formation of a non-volatile

Fig. 7. ft=fmax as functions of FP length Lf .

Fig. 8. (a) MSG as a function of drain voltage; (b) change of the capacitance components in GC- and SC-FP devices.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

292 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 7: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

AlF3 residue on the AlGaN surface. The compatible deep-

recessed structure has a GaN cap (9 200 nm) and an

abrupt GaN/AlGaN interface to clearly define the etch-

stop position, seen in Fig. 12. Selectivity of around 25 ofGaN over Al0:22Ga0:78N was achieved. The selectivity

increased with Al composition in AlGaN, up to about 50–

100 between GaN and AlN. The devices processed with

selective etch technology demonstrated significantly

reduced processing variations as well as excellent

microwave power performance. At 10 GHz, a high PAE

of 63% with an output power density of 5 W/mm was

achieved at VD ¼ 28 V, while 10.5 W/mm with 53% PAEwas achieved at VD ¼ 48 V, shown in Fig. 13. The power

performance of these devices with gate length of 0.6 �m

is comparable to state-of-the-art conventional SiNx-

passivated AlGaN/GaN HEMTs at 10 GHz.

C. Metal-Oxide-Semiconductor HEMT (MOSHEMT)The MOSHEMT design combines the advantages of the

MOS structure, which suppresses the gate-leakage current,

and an AlGaN/GaN heterointerface that provides high-density high-mobility 2DEG channel [50]. The

MOSHEMT approach also allows for application of high

positive gate voltages to further increase the sheet electron

density in the 2-D channel and, therefore, the peak device

current. The MOSHEMT built-in channel is formed by the

high-density 2DEG at the AlGaN/GaN interface as in

regular AlGaN/GaN HEMTs. However, in contrast to a

regular HEMT, the gate metal is isolated from the AlGaNbarrier layer by a thin dielectric film such as SiO2, AlO,

ZrO, NbO, AlN, HfO2 and so on, as seen in Fig. 14. Thus,

the MOSHEMT gate behaves more like a MOS gate

structure rather than a Schottky barrier gate used in

regular HEMTs. Since the properly designed AlGaN

barrier layer is fully depleted by electron transfer to the

adjacent GaN layer, the gate insulator in the MOSHEMT

consists of two sequential layers: the SiO2 film and AlGaNepilayer. This double layer ensures an extremely low gate-

leakage current and allows for a large negative to positive

gate voltage swing.

The suppression of the gate-leakage current is one of

the most important features of the MOSHEMT. In

Fig. 15, the gate-leakage currents for the 1:5 �m �200 �m gate MOSHEMT at different temperatures is

shown. The data shows that the MOSHEMT leakagecurrent is as low as 1 nA/mm at 20-V gate bias at room

temperature and is approximately six orders of magnitude

smaller than for the regular HEMT with similar gate

Fig. 9. Power sweeps with a SC-FP device and a GC-FP device at 118 V drain bias and 4 GHz. Device dimension: 0:5� 500 �m2.

Fig. 10.Devicestructureofadeep-recessedGaNHEMTwithAlGaNcap.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 293

Page 8: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

dimensions. Even at 300 �C, the gate-leakage current for

MOSHFET remains 3–4 orders of magnitude lower than

for regular HEMTs.The maximum DC saturation drain current at positive

gate voltages is a key parameter controlling maximum

output RF power. For conventional AlGaN/GaN HEMTs,

gate voltages in excess of 1.2 V result in excessive forward

current. In a MOSHEMT, the gate voltages as high as 10 V

could be applied. This results in significant increase in

maximum channel current. The gate leakage, however,

remains well below 1 nA/mm. Fig. 16 shows the transfercharacteristics for the 1.5 �m-gate-length MOSHEMT and

HEMT measured at the drain voltage sufficient to shift the

operating point into the saturation regime.

With the SixN surface passivation and field plate, the

MOSHEMT demonstrated an output power density of

18.6 W/mm with a PAE of 49.5% at drain bias of 55 V at

2 GHz, seen in Fig. 17. Moreover, there was no degra-

dation after the RF-stress at such a high output powerdensity for 100 hours [51]. The application of the

MOSHEMT to higher frequencies (e.g. 26 GHz) has also

been demonstrated [52]. The gate leakage was much

lower and the maximum output power was 3 dB higher

than a HEMT fabricated by the same group. A more

careful scaling of the gate length and gate oxide

thickness, or adoption of high-K dielectrics, could extend

the MOSHEMT into the millimeter-wave frequencies.

D. Process and Device Technology for GaN HEMTsfor mm-Wave Applications

New applications are demanding high output power and

efficiency at higher frequencies, especially Ka-band (26–

40 GHz) and beyond, with the aim to replace or complement

traveling wave tube amplifiers. Satellite and broad-band

wireless communications as well as advanced radars are only

a few of the many applications that would greatly benefitfrom the increased reliability, reduced size and noise of these

solid-state based amplifiers. In order to achieve the goal of

working at mm-Wave frequencies and beyond, new process

technologies and device structures have to be utilized.

The gate-to-source spacing of mm-Wave HEMT must

be minimized, to keep the source access resistance low.

Fig. 11. Power performance at 4 GHz without SiNx passivation.

Fig. 12. Device structure of a deep-recessed GaN HEMT with GaN cap,

which is compatible with selective etch technology.

Fig. 13. Power performance at 10 GHz without SixN passivation.

Fig. 14. Device structure of an AlGaN/GaN MOS-HEMT.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

294 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 9: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

However, the conventional alloyed ohmic contacts have

rough morphology and edges, which limits the reduction of

the gate-to-source spacing. Therefore, a non-alloyed ohmic

contact is preferred for the high frequency devices. Ion

implantation has been used in the GaN device fabricationto form non-alloyed ohmic contacts [53], [54]. In the past,

a high temperature ð1200 � 1500 �CÞ annealing process

was employed using protective surface layers during the

implant activation annealing including SiO2 [55], Si3N4

[56] and AlN [54], as well as high pressure (�100 bar N2).

However, the use of a high temperature, high pressure and

capped annealing processes limits the manufacturability of

this process for AlGaN/GaN HEMTs. Recently investiga-tors began applying this technique to selectively Si dope

the source and drain contact region of the GaN HEMT in

order to reduce the contact resistance and enable the

creation of non-alloyed ohmic contacts (see Fig. 18) [57].

The non-alloyed ohmic contacts formed on the implanted

region have much smoother surfaces than alloyed contacts,as shown in Fig. 19. The smooth edges of the ohmic

contacts allow the reduction of the gate-drain spacing, thus

further lowering the access resistance, which is important

to high frequency devices. The same investigators have also

demonstrated a capless implant activation anneal with a

reduced thermal budget and improved the manufactur-

ability [57].

Devices fabricated with the non-alloyed ohmic con-tacts exhibit performance comparable to control devices,

indicating that the implant and capless anneal process

do not degrade the HEMT material characteristics. Re-

cently, a non-alloyed ohmic contact resistance lower

than 0.3 �–mm was achieved with the optimization of

the ion implantation process including reduction of the

spacing between the implant and ohmic edge. The HEMT

showed an excellent PAE of 60% with an output powerdensity of 7.3 W/mm at 10 GHz when VD ¼ 35 V [58].

In the past few years, the power performance at Ka-

band has made steady progress. For instance, an output

power density of 2.8 W/mm was reported at 40 GHz in

2003 [59] and 5.7 W/mm at 30 GHz in 2004 [60].

Fig. 15. Gate-leakage currents for the MOSHEMT 1:5 �m� 200 �m

gate at different temperatures and the baseline HEMT at room

temperature measured in diode mode (drain disconnected).

Fig. 16.Maximumsaturation and gate-leakage currents in 1.5-�mgate

MOSHEMT and HEMT devices.

Fig. 17. Power sweep at 2 GHz for a 200-�m-wide device. Device

dimensions are Lsd ¼ 6 �m, Lg ¼ 1:1 �m, LFP ¼ 2:1 �m with a 1.1-�m

overlap with the gate.

Fig. 18. Schematicepitaxial structureof the implanted-S/DAlGaN/GaN

HEMT [57].

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 295

Page 10: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

Recently, an output power of 10.5 W/mm with a PAE of

34% was demonstrated at 40 GHz at drain bias of 30 V, asshown in Fig. 20 [61]. The device had a gate length of

160 nm and showed a current gain cut-off frequency ðfTÞof 70 GHz and a maximum power gain cut-off frequency

ðfmaxÞ of 100 GHz. The very high output power is the

result of the combination of both very high current

densities (�1.4 A/mm at VGS ¼ þ2 V) and breakdown

voltages (9 80 V) with negligible knee walk-out and

current collapse.Higher fT and fmax are required for operation beyond

Ka-band and are attracting much research efforts [62]. The

traditional methods, for instance, shorter gate length,

multiple fingers to reduce gate resistance and �-shaped

gate to decrease gate-to-drain capacitance, are still

effective to further boost the device performance. A fT of

180 GHz has been achieved with 30-nm-gate, thin AlGaN

barrier layer and CAT-CVD-deposited SiN thin layer [63].In order to improve the confinement of the electrons to

reduce the output conductance and improve fmax, the

concept of the back-barrier has attracted some research

recently. The DHFET utilizing a low Al content

Al0:04Ga0:96N buffer achieved three orders of magnitude

lower sub-threshold drain leakage and demonstrated 30%

improvement in output density and 10% improvement in

PAE [64]. Another InGaN back-barrier design used the

unique strong polarization property of GaN to improve thechannel charge confinement [65]. The sample structure was

shown in Fig. 21. The ultra-thin InGaN layer is 1 nm thick

and has an In composition of 10%. As shown in Fig. 22(b),

the pinch-off characteristics of the sample with an InGaN

back-barrier are excellent for drain voltages as high as 50 V,

much better than the control sample without InGaN back-

barrier [Fig. 22(a)]. This led to an improvement of output

Fig. 19. (a) Rough surface morphology of the alloyed ohmic contact

of GaN HEMTs (b) smooth surface morphology of the nonalloyed

ohmic contact.

Fig. 20. Power sweep of a mm-wave MOCVD AlGaN/GaN HEMT

showing a maximum power of 10.5 W/mm and PAE of 33% at 40 GHz.

Thedrainvoltagewas30Vand thedrainbiascurrentwas500mA/mm.

Fig. 21. Schematic and band diagram of the InGaN back-barrier

sample.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

296 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 11: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

resistance. Standard HEMTs with a gate length of 200 nm

have an output resistance of 20 � 5 � � mm, while in the

sample with an InGaN back-barrier the output resistance is

35 � 5 � � mm. An average 18% increase in fmax wasmeasured as a result of the improved confinement. A record

fmax of 230 GHz and fT of 150 GHz were achieved from

unpassivated devices, shown in Fig. 23. More work is needed

to confirm the benefit of the InGaN back barrier devices

under large-signal operation.

E. Linearity of GaN HEMTsFor all high-data-rate communication applications,

device linearity is a key performance specification. Due

to their large operation space in the I-V plane as compared

to the lower band-gap semiconductors, GaN-based HEMTshave the potential to offer high linearity for the stringent

requirements. The linearity criteria for high power

transmitters are usually expressed in terms of associated

output power and efficiency at a certain distortion level.

Although there exist many modulation schemes, the basic

evaluation of a device technology can always be done with

a 2-tone inter-modulation measurement. Up to now the

best-reported 2-tone linearity-efficiency combination wasachieved with a field plate GaN HEMT [66].

These devices were similar to those with gate-

connected field plates in Section III-A. The gate dimen-

sions were 0:5 � 246 �m2. The lengths of the FP ðLFÞ,defined as the extension of the FP over the gate edge on the

drain side, were set at 0 (i.e. non-FP), 0.7 and 1.1 �m.

All devices with and without FP had similar dc

characteristics including 9 1 A/mm open-channel currentand a �4 V gate pinch-off voltage. Yet the FP devices

showed higher breakdown voltages of 9 140 V compared

to �100 V for the non-FP devices. When cutoff fre-

quencies were investigated against bias current, all devices

showed extremely sharp turn-on in power-gain cutoff

frequencies ðfmaxÞ as the device channel opened up as seen

in Fig. 24. Such gain characteristics are well suited for

class-B or deep class-AB operation for high efficiency.When biased at 48 V with a small quiescent current

of 20 mA/mm and driven by a 2-tone signal with 100 kHz

spacing at 4 GHz, a non-FP device generated 3.4 W/mm

with 56% PAE and 15.8 dB gain at IM3 of �30 dBc. The

FP devices exhibited improved linear power due to the

benefit of field shaping. However, as LF was increased

the gain was reduced, which negatively affected PAE. As a

compromise, LF ¼ 0:7 �m was found to be optimum at

Fig. 22. Change in gm and pinch off with VDS in a standard AlGaN/GaN

HEMT (a) and a HEMT with InGaN back-barrier (b).

Fig. 23. Small signal performance of an AlGaN/GaN HEMT with InGaN back-barrier with bias voltages optimized for maximum fTand maximum fmax.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 297

Page 12: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

this bias voltage, achieving a linear power density of

3.7 W/mm with 57% PAE and 13.7 dB gain at IM3 of

�30 dBc as shown in Fig. 25.A more significant advantage of the FP devices is their

capability for higher voltage operation. At 78 V, 7 W/mm

linear power was obtained with 50% PAE and 15.2 dB gain

from a device with LF ¼ 0:7 �m. As shown in Fig. 26,

with further increased bias voltages, a longer LF was

needed. At 108 V, a device with LF ¼ 1:1 �m produced

10 W/mm linear power with 41% PAE and 14.3 dB gain at

IM3 of �30 dBc. The combination of high linear powerdensity and PAE is a dramatic improvement over pre-

viously reported performance, very promising for future

communication applications.As an example of commercial applications, CREE Inc. has

started offering GaN HEMT products for stringent WiMax

transmitter amplifiers. Instead of using three amplifiers to

manage a bandwidth of 3.3–3.9 GHz in Si technologies, a

single GaN HEMT amplifier not only covers the whole band

but does so with much higher drain efficiencies of 23–28% at

a specified distortion level of 2.5 EVM, as compared to

around 18% for Si devices (see Fig. 27).Another important advantage is the reduced memory

effect found with the GaN HEMTs, which is beneficial to

the modern digital pre-distortion transmitters [67].

Recently, using all advantageous features of the GaN

HEMTs, a remarkable average wall-plug efficiency of 50%

was achieved in a WCDMA base-station amplifier with an

average output power of 37.2 W and with a normalized

power RMS error of 0.7% and ACLR of �52 dBc at anoffset frequency of 5 MHz [68], which was at least twice

improvement from conventional technologies.

F. Reliability and Manufacturing ChallengesOne of the last remaining hurdles to the commercial-

ization of GaN technology has been the demonstration of

reliability consistent with system requirements. To address

reliability, research has focused on reducing or eliminatingdevice drift and leakage currents under the high field and

high power conditions associated with device operation.

These include:

1) improving material quality (reducing defect den-

sity) of both substrates and epitaxially grown

device layers

Fig. 24. Ft and Fmax vs. current for a GaN HEMT showing extremely

sharp turn-on, very suitable for class B or deep class AB operations.

Fig. 25. A device with LF of 0.7 mm achieves 57% PAE at�30 dBc IM3

with associated output power of 3.7 W/mm. Device dimensions:

0:5� 246 mm2. Vds ¼ 48 V, deep class-AB biaswith IQ ¼ 20 mA=mm.

Single-tone power at 3 dB compression was: P3 dB ¼ 8:8 W=mm

PAE ¼ 71%.

Fig. 26. A device with LF of 1.1 mmproduces 10W/mmat�30 dBc IM3

with associated PAE of 41%. Device dimensions: 0:5� 246 mm2.

Vds ¼ 108 V, deep class-AB bias with IQ ¼ 20 mA=mm. Single-tone

power at 3 dB compression was: P3 dB ¼ 24 W=mm PAE ¼ 48%.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

298 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 13: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

2) epi-engineering such as backside barrier layers to

reduce subthreshold leakage currents [64]

3) surface stabilization/passivation to eliminate de-vice drift due to changes in surface charge and

gate leakage currents [69], [70]

4) device/process engineering, such as gate recess

and field plate technology, to reduce peak electric

fields in the channel;

5) development of robust ohmic and gate contacts

Recent progress in the reliability of wide bandgap

devices have been presented at different workshops andconferences. (For example, see the proceeding of the 2005

and 2006 ROCS [Reliability of Compound Semiconductors]

Workshops.) Numerous laboratories [70]–[75] have re-

ported reliable device operation under industry standard

reliability testing. Three temperature DC Arrhenius accel-

erated life testing predicts lifetimes in excess of a million

hours at standard operating (channel) temperatures for GaN

HEMTs operating at 28 V (Fig. 28). Similar results have

been achieved for RF accelerated life testing and threetemperature RF Arrhenius accelerated life testing. Recent

RF operational life testing of X-band GaN MMICs (single

stage MMIC amplifier biased at 28 V and driven 3 dB into

compression) show stable operation (no change in output

power) in excess of 10 000 hours (Fig. 28). Extrapolation of

these results predict stable operation in excess of one million

hours under realistic operating conditions Similar results

have also been demonstrated for large periphery GaNdiscrete transistors at S-band (for base stations) [75]. These

reliability results have been obtained for devices fabricated

on epitaxial material grown by both MOCVD and MBE and

for SiC and alternate substrates such as silicon, highlighting

the dramatic improvement in material quality over the past

few years.

Fig. 27. Drain efficiency of a WiMax amplifier using a CGH35015S-DS GaN HEMT by CREE Inc.

Fig. 28. DC 3 temperature Arrhenius accelerated life test (left) and RF operational life test (right) show that GaN MMIC are stable under

realistic operating conditions.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 299

Page 14: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

For use in MMICs, achievement of transistor reliabilityis necessary but not sufficient. Passive components must

also be reliable under high voltage and power conditions.

To this end, reliable high voltage, high power on chip MIM

capacitors have also been demonstrated, which have

MTTF larger than 108 hours and � of 4.0 MV/cm.

Thus wide bandgap semiconductors have matured to the

point where they can be seriously considered for insertion

into systems.Once performance and reliability have been demon-

strated, the last hurdle is producing wide bandgap

semiconductors RF devices and circuits in a manufacturing

environment at a cost that is affordable for the various

system insertion opportunities (i.e., if a technology is

10 times better than the incumbent technology but is

10 times more expensive, will anyone buy it?). Since

most of the processing steps for the manufacture of widebandgap semiconductors are similar to or compatible with

other compound semiconductors, most development has

focused on scaling the technology to take advantage of

existing semiconductor manufacturing infrastructure. The

most pressing need is for cost effective 100 mm or larger

diameter substrates. This need has driven the scaling of SiC

substrates (the most commonly used, although expensive,

substrate due to its excellent thermal properties) as well asthe use of alternate lower cost substrates, such as GaN on

Si. Epitaxial growth requires dedicated reactorsVmulti-

wafer 100 mm (or larger) GaN reactors, for example, are

becoming readily available. With the availability of 100 mm

diameter substrates and epitaxial growth, wideband gap

semiconductors can either be produced in existing

compound semiconductor wafer fabs (and thus take

advantage of compound semiconductor wafer loadings todrive down cost) or on dedicated lines using readily

available fabrication equipment. As a result many wide

bandgap pilot and production lines exist today (e.g, Cree,

Eudyna, Nitronix, RFMD, Raytheon, TriQuint, NGST) and

thus an infrastructure for the low cost manufacture of widebandgap devices and circuits is emerging.

IV. APPLICATIONS

As GaN technology is maturing and migrating from

university and industry research labs into foundries,

wide bandgap semiconductors are attracting interest in a

wide range of applications ranging from cell phone andwireless infrastructure (base stations) to high performance

military electronics. The wide bandgap semiconductors are

being used as discrete devices in hybrid assemblies and in

MMICs. While the majority of the applications are for

power amplification, the wide bandgap semiconductors,

particularly GaN, also provide significant advantages for

robust low noise receivers and switching power supplies.

For base station applications a number of manufac-turers have reported reliable, high power large periphery

discrete transistors [75]–[82]. An example (see Fig. 29) is

the Eudyna GaN hybrid power amplifier capable of

efficiently delivering 9 200 W of power at 2.1 GHz for

W-CDMA applications [78]. To provide margin for reliable

operation, these hybrid amplifiers are designed/optimized

for relatively lower power densities (3–4 W/mm) (i.e.,

backed off from the peak power densities).CREE Inc. has also demonstrated compact, high-power

microwave amplifiers taking advantage of the high-voltage

and high power density of GaN HEMTs [82]. The devices

used had 28.8-mm periphery with through via holes

employed under the source ohmic contacts for minimum

grounding inductance and elimination of air bridges. A

peak power of 550 W (57.40 dBm) is achieved at 3.45 GHz

with 66% DE and 12.5 dB associated gain. An outstandingpower-efficiency combination of 521 W and 72.4% is

obtained at 3.55 GHz. Such power levels, accompanied

by the high efficiencies, are believed to be the highest at

around 3.5 GHz for a fully-matched, single-package

Fig. 29. Picture and output power of a large periphery 2.1 GHz GaN HEMT hybrid assembly for W-CDMA base station applications (Eudyna).

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

300 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 15: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

solid-state power amplifier, attesting the great potentialof the GaN HEMT technology.

Numerous companies are developing GaN MMICs for

applications ranging from L- through W-band. The high

power density of GaN has several advantages in MMIC

design: 1) The higher power density results in lower

parasitic capacitance per watt of output power; and 2) the

higher operating voltage results in higher output imped-

ance. These two factors enable the design of simpler, lowerloss, and wider bandwidth matching networks enabling

higher power, higher efficiency, and wider bandwidth

amplifiers than possible with GaAs pHEMTs.

Two different MMIC topologies are being pursuedVmicrostrip and co-planar waveguide (CPW). Each approach

has its inherent advantages and both are capable of yielding

high performance MMIC HPAs. CPW based MMICs avoid

the additional fabrication steps associated with backsideprocessing (wafer thinning and via hole etching) and take

full advantage of thermal spreading in the high thermal

conductivity SiC substrates to maintain low device channel

temperatures and reliable operation. Examples of CPW GaN

MMIC HPAs are shown in Fig. 30. High power, high

efficiency, high gain, multistage CPW GaN MMICs have

been demonstrated from L thru Ka band in a fraction of the

footprint of GaAs pHEMT MMICs of comparable outputpower. CPW devices may also be the preferred approach for

the heterogeneous integration of GaN and Silicon transistors

since silicon technology typically relies on topside metalli-

zation schemes for interconnects.

With the demonstration of a production worthy SiC

through wafer via hole technology, microstrip GaN

MMICs are also being designed and fabricated. The GaN

microstrip MMIC design approach leverages the experi-ence and infrastructure (e.g., design and modeling

methodologies) of GaAs microstrip MMICs. The via

technology, in general, and individual source finger via

holes in particular, provides an added degree of freedom in

device and component grounding (as opposed to highdensity of air bridge grounding straps used in CPW

designs). However, these advantages come at the expense

of reduced thermal spreading in the thinner (50–100 �m)

SiC substrate and added constraints in device thermal

management (packaging) to maintain reliable operation.

Nevertheless, GaN MMICs with similar levels of perfor-

mance have been achieved with each circuit topology, and

it is up to the MMIC designer to determine whichapproach provides the best solution for a given application.

As discussed earlier, GaN HEMTs have also proven to be

very attractive and viable as a power source for millimeter

wave applications [84]–[88]. Similar to microwave frequen-

cies, microstrip and CPW MMICs have been demonstrated.

Fig. 31 shows the performance of a microstrip Ka band GaN

MMIC power amplifier capable of delivering 11 W of

output power [84]. Wu et al. announced an amplifier withan 1.5-mm-wide device produced 8.05 W output power at

30 GHz with 31% PAE and 4.1 dB associated gain. This is

believed to be the highest power generated from a GaN

transistor at millimeter wave frequencies to date. The

output power matches that of a GaAs-based MMIC with a

14.7-mm-wide output device but with a 10 times smaller

size. Recently, GaN MMIC performance has been

demonstrated at W-band as well (Fig. 32) [88]. The W-band MMIC is based on an MBE grown device structure

and relies on individual source via holes, similar to GaAs

pHEMTs, to achieve 2 W/mm at 80 GHz.

While there is a long history of microstrip and CPW

GaAs pHEMT, MHEMT and InP HEMT devices and

circuits operating at millimeter wave frequencies, these

devices can not support the power, linearity and efficiency

requirements of next generation systems such as radar,satellite communications and active self protect systems.

The demonstration of GaN devices and MMICs with high

power densities and usable gain will enable the proliferation

of solid state solutions at millimeter wave frequencies.

Fig. 30. Examples of 2 stage CPW GaN MMIC Pas [83]). Design of the MMIC on the right funded under the DARPA WBGS Phase 2 Program.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 301

Page 16: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

V. CONCLUSION

The rapid development of the RF power electronics

requires the introduction of wide bandgap material (for

instance, GaN and SiC) due to its potential in high output

power density, high operation voltage and high input

impedance. GaN-based RF power devices have made

substantial progresses in many aspects, from material

growth, processing technology, device structure, to MMIC

design in the last decade. Output power density has

reached 30–40 W/mm, more than one order of magnitudehigher than GaAs. The extremely high power density does

demand stringent thermal management but could be

Fig. 32. Picture, and RF performance of a 3 stage W-band GaN MMIC (epi layers grown by MBE). The MMIC exhibited over 2 W/mm of

output power at 80 GHz [88].

Fig. 31. Picture and performance of a 31–36 GHz balanced GaN MMIC Power Amplifier. 11 W of output power at 34 GHz were achieved [84].

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

302 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 17: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

mitigated by achieving a higher efficiency. At the sametime, fT and fmax of about 200 GHz have been achieved,

extending the application of GaN devices to millimeter

wave and beyond. With the reliability issues being sortedout, GaN-based devices will soon offer new solutions to

future electronic applications. h

REFERENCES

[1] E. O. Johnson, BPhysical limitation onfrequency and power parameters oftransistors,[ RCA Rev., pp. 163–176, Jun. 1965.

[2] U. K. Mishra, P. Parikh, and Y.-F. Wu,BAlGaN/GaN HEMTsVAn overview ofdevice operations and applications,[Proceedings of the IEEE, vol. 90, no. 6,pp. 1022–1031, Jun. 2002.

[3] S. Keller, Y.-F. Wu, G. Parish, N. Zhang, J. Xu,B. P. Keller, S. P. DenBaars, and U. K. Mishra,BGallium nitride based high powerheterojunction field effect transistors: Processdevelopment and present status at UCSB,[IEEE Trans. Electron Devices, vol. 48, no. 3,pp. 552–558, Mar. 2001.

[4] S. Rajan, P. Waltereit, C. Poblenz,S. J. Heikman, D. S. Green, J. S. Speck,and U. K. Mishra, BPower performance ofAlGaN-GaN HEMTs grown on SiC byplasma-assisted MBE,[ Electron Device Letters,IEEE, vol. 25, no. 5, pp. 247–249, May 2004.

[5] N. G. Weimann, M. J. Manfra, J. W. P. Hsu,L. N. Pfeiffer, K. W. West, D. V. Lang,and R. J. Molnar, BAlGaN/GaN HEMTsgrown by MBE on semi-insulating HVPE GaNtemplates,[ in 60th Device Research ConferenceDigest, Jun. 2002, pp. 24–26.

[6] N. X. Nguyen, M. Micovic, W.-S. Wong,P. Hashimoto, L.-M. McCray, P. Janke,and C. Nguyen, BHigh performancemicrowave power GaN/AlGaN MODFETsgrown by RF-assisted MBE,[ ElectronicsLetters, vol. 36, no. 5, pp. 468–469,Mar. 2, 2000.

[7] Development of GaN MBE growthtechniques and Growth of GaN HEMTs/HFETs by MBE at Raytheon, HRLLaboratories LLC, Northrop Grumman Spaceand Technology, and University of Californiaat Santa Barbara was partially funded underDARPA Phase 1 Programs.

[8] H. Tang, J. B. Webb, J. A. Bardwell, S. Rolfe,and T. MAcElwee, Solid State Electronics,vol. 44, pp. 2177–2182, 2000.

[9] R. Gaska, J. Yang, A. Osinsky,and M. Asif Khan, BNovel high powerAlGaN/GaN HFETs on SiC substrates,[ inIEEE IEDM Technical Digest, Dec. 1997,pp. 565–568.

[10] A. Chini, D. Buttari, R. Coffie, S. Heikman,S. Keller, and U. K. Mishra, B12 W/mm powerdensity AlGaN-GaN HEMTs on sapphiresubstrate,[ IEEE Electron Device Letters,vol. 40, pp. 73–74, Jan. 2004.

[11] J. W. Johnson, E. L. Piner, A. Vescan,R. Therrien, P. Rajagopal, J. C. Roberts,J. D. Brown, S. Singhal, and K. J. Linthicum,B12 W/mm AlGaN-GaN HFETs on siliconsubstrates,[ IEEE Electron Device Letters,vol. 25, no. 7, pp. 459–461, Jul. 2004.

[12] W. E. Hoke, A. Torabi, J. J. Mosca,R. B. Hallock, and T. D. Kennedy, J. Appl.Phys., vol. 98, p. 084510, 2005.

[13] I. P. Smorchkova, L. Chen, T. Mates, L. Shen,S. Heikman, B. Moran, S. Keller,S. P. DenBaars, J. S. Speck, and U. K. Mishra,BAlN/GaN and (Al,Ga)N/AlN/GaNtwo-dimensional electron gas structuresgrown by plasma-assisted molecular-beamepitaxy,[ Journal of Applied Physics, vol. 90,no. 10, pp. 5196–5201, Nov. 15, 2001.

[14] S. Keller, S. Heikman, L. Shen,I. P. Smorchkova, S. P. DenBaars,

and U. K. Mishra, BGaN-GaN junctions withultrathin AlN interlayers: Expandingheterojunction design,[ Applied PhysicsLetters, vol. 80, no. 23, pp. 4387–4389,Jun. 10, 2002.

[15] L. Shen, S. Heikman, B. Moran, R. Coffie,N. Q. Zhang, D. Buttari, I. P. Smorchkova,S. Keller, S. P. DenBaars, and U. K. Mishra,BAlGaN/AlN/GaN high-power microwaveHEMT,[ IEEE Electron. Dev. Lett., vol. 22,no. 10, pp. 457–459, Oct. 2001.

[16] R. Gaska, J. W. Yang, A. Osinsky, Q. Chen,M. A. Khan, A. O. Orlov, G. L. Snider,and M. S. Shur, BElectron transport inAlGaN-GaN heterostructures grown on6H-SiC substrates,[ Appl. Phys. Lett., vol. 72,no. 6, pp. 707–709, 1998.

[17] M. Micovic, DARPA WBGS Phase 1 PI Review.

[18] W. E. Hoke, A. Torabi, R. B. Hallock,J. J. Mosca, and T. D. Kennedy, J. Vac. Sci.Technol. B, vol. 24, p. 1500, 2006.

[19] M. Micovic et al., BGaN double heterojuctionfield effect transistor for microwave andmillimeterwave power applications,[ in IEEEIEDM Technical Digest, Dec. 2004,pp. 807–810.

[20] T. Palacios, A. Chakraborty, S. Heikman,S. Keller, S. P. DenBaars, and U. K. Mishra,BAlGaN/GaN high electron mobilitytransistors with InGaN back-barriers,[IEEE Electron Device Letters, vol. 27, no. 1,pp. 13–15, Jan. 2006.

[21] J. Liu, Y. Zhou, J. Zhu, K. M. Lau,and K. J. Chen, BAlGaN/GaN/InGaN/GaNDH-HEMT’s with an InGaN Notch forenhanced carrier confinement,[ IEEE ElectronDevice Letters, vol. 27, no. 1, pp. 10–12,Jan. 2006.

[22] M. Wojtowicz, R. Coffie, I. Smorchkova,B. Heying, Y. Chen, W. Sutton, D. Buttari,R. Sandhu, R. Tsai, C. Namba, P. Liu,M. Aumer, G. Henry, A. Khan, and J. Yang,BGaN HEMT technology for Q-band poweramplifiers,[ in GOMAC, 2006.

[23] V. Desmaris, M. Rudzinski, N. Rorsman,P. R. Hageman, P. K. Larsen, H. Zirath,T. C. Rodle, and H. F. F. Jos, BComparison ofthe DC and microwave performance ofAlGaN/GaN HEMTs grown on SiC byMOCVD with Fe-doped or unintentionallydoped GaN buffer layers,[ IEEE ElectronDevices, Trans., vol. 53, no. 9, pp. 2413–2417,Sep. 2006.

[24] D. S. Katzer, D. F. Storm, S. C. Binari,J. A. Roussos, V. Shanabrook, andE. R. Glaser, BMolecular beam epitaxy ofberyllium-doped GaN buffer layers forAlGaN/GaN HEMTs,[ in Molecular BeamEpitaxy, 2002 International Conference on,Sep. 15–20, 2002, pp. 233–234.

[25] C. Poblenz, P. Waltereit, S. Rajan,S. Heikamn, U. K. Mishra, and J. S. Speck,BEffect of carbon doping on buffer leakage inAlGaN/GaN high electron mobilitytransistors,[ J Vac. Sci. Technol B, vol. 22,no. 3, pp. 1145–1149, May/Jun. 2004.

[26] S. Heikman, S. Keller, S. DenBaars,and U. Mishra, BGrowth of Fe dopedsemi-insulating GaN by metalorganicchemical vapor deposition,[ Appl. Phys. Lett,vol. 81, no. 3, pp. 439–441, Jul. 2002.

[27] W.-K. Wang, P.-C. Lin, C.-H. Lin, C.-K. Lin,Y.-J. Chan, G.-T. Chen, and J.-I. Chyi,BPerformance enhancement by using the

n/sup þ=�GaN cap layer and gate recesstechnology on the AlGaN-GaN HEMTfabrication,[ Electron Device Letters, IEEE,vol. 26, no. 1, pp. 5–7, Jan. 2005.

[28] H. Xing, D. S. Green, L. McCarthy,I. P. Smorchkova, P. Chavarkar, P. Mates,S. Keller, S. Denbaars, J. Speck, and U. K.Mishra, BProgress in gallium nitride-basedtransistors,[ in Proceedings of the 2001BIPOLAR/BiCMOS Circuits and TechnologyMeeting, 2001, pp. 125–130.

[29] M. Asif Khan, J. N. Kuznia, A. R. Bhattarai,and D. T. Olson, BMetal semiconductor fieldeffect transistor based on single crystal GaN,[Appl. Phys. Lett., vol. 62, no. 15,pp. 1786–1787, Apr. 1993.

[30] A. Chini, J. Wittich, S. Heikman, S. Keller,S. P. DenBaars, and U. K. Mishra, BPower andlinearity characteristics of GaN MISFETs onsapphire substrate,[ IEEE Electron DeviceLetters, vol. 25, no. 2, pp. 55–57, Feb. 2004.

[31] M. Asif Khan, J. N. Kuznia, J. M. Van Hove,N. Pan, and J. Carter, BObservation of atwo-dimensional electron gas in low pressuremetalorgnic chemical vapor depositedGaN-AlGaN heterojunctions,[ Appl. Phys. Lett.,vol. 60, no. 24, pp. 3027–3029, Jun. 1992.

[32] M. A. Khan, X. Hu, G. Simin, A. Lunev,R. Gaska, and M. S. Shur, BNovel AlGaN/GaNmetal-oxide-semiconductor field effecttransistor,[ IEEE Electron Device Letters,vol. 21, no. 2, Feb. 2000.

[33] A. Bykhovski, B. Gelmont, and M. S. Shur,BThe influence of the strain-induced electricfield on the charge distribution inGaN-AlN-GaN structure,[ J. Appl. Phys.,vol. 74, no. 11, pp. 6734–6739, Dec. 1993.

[34] M. Asif Khan, A. Bhattarai, J. N. Kuznia,and D. T. Olson, BHigh electron mobilitytransistor based on a GaN � AlxGa1�xNheterojunction,[ Applied Physics Letters,vol. 63, no. 9, pp. 1214–1215, Aug. 1993.

[35] Y.-F. Wu, B. P. Keller, S. Keller, D. Kapolnek,S. P. Denbaars, and U. K. Mishra, BMeasuredmicrowave power performance of AlGaN/GaNMODFET,[ IEEE Electron Device Letters,vol. 17, no. 9, pp. 455–457, Sep. 1996.

[36] M. A. Khan, M. S. Shur, Q. C. Chen, andJ. N. Kuznia, BCurrent-voltage characteristiccollapse in AlGaN/GaN heterostructureinsulated gate field effect transistors at highdrain bias,[ Electronics Letters, vol. 30, no. 25,pp. 2175–2176, Dec. 8, 1994.

[37] S. C. Binari, K. Ikossi, J. A. Roussos,W. Kruppa, D. Park, H. B. Dietrich,D. D. Koleske, A. E. Wickenden,and R. L. Henry, BTrapping effects andmicrowave power performance inAlGaN/GaN HEMTs,[ IEEE Trans. ElectronDevices, vol. 48, pp. 465–471, Mar. 2001.

[38] B. M. Green, K. K. Chu, E. M. Chumbes,J. A. Smart, J. R. Shealy, and L. F. Eastman,BThe effect of surface passivation on themicrowave characteristics of undopedAlGaN/GaN HEMTs,[ IEEE Electron DeviceLett., vol. 21, no. 6, pp. 268–270, Jun. 2000.

[39] Y.-F. Wu, N. Zhang, J. Xu, M. L. Jian, andM. L. Carthy, BGroup III nitride based FETsand HEMTs with reduced trapping andmethod for producing the same,[ U.S. Patent6 586 781, Jul. 1, 2003, filed inJanuary 29, 2001, grated in.

[40] Y.-F. Wu, D. Kapolnek, Ibbetson,N.-Q. Zhang, P. Parikh, B. Keller, and

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 303

Page 18: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

U. K. Mishra, BHigh Al-content AlGaN/GaNHEMTs on SiC substrates with very highpower performance,[ in 1999 InternationalElectron Devices Meeting, IEDM TechnicalDigest, Dec. 1999, pp. 925–927.

[41] J. R. Shealy, V. Kaper, V. Tilak, T. Prunty,J. A. Smart, B. Green, and L. F. Eastman,BAn AlGaN/GaN high-electron-mobilitytransistor with an AlN sub-buffer layer,[ J.Phys.: Condens. Matter, vol. 14, p. 3499, 2002.

[42] Y. Ando et al., B10 W/mm AlGaNGaN HFETwith a field modulating plate,[ IEEE ElectronDevice Lett., vol. 24, no. 5, pp. 289–291, 2003.

[43] Y.-F. Wu, M. Moore, A. Saxler, T. Wisleder,and P. Parikh, B40-W/mm double field-platedGaN HEMTs,[ in IEEE 64th Device ResearchConference, 2006, Conference Digest,pp. 151–152.

[44] Y.-F. Wu, A. Saxler, M. Moore, R. P. Smith,S. Sheppard, P. M. Chavarkar, T. Wisleder,U. K. Mishra, and P. Parikh, B30-W/mm GaNHEMTs by field plate optimization,[ IEEEElectron Device Letters, vol. 25, no. 3,pp. 117–119, Mar. 2004.

[45] R. Coffie, D. Buttari, S. Heikman, S. Keller,A. Chini, L. Shen, and U. K. Mishra,Bp-capped GaN-AlGaN-GaN high-electronmobility transistors (HEMTs),[ IEEE ElectronDevice Letters, vol. 23, no. 10, pp. 588–590,Oct. 2002.

[46] A. Jimnez, D. Buttari, D. Jena, R. Coffie,S. Heikman, N. Q. Zhang, L. Shen,E. Calleja, E. Munoz, J. Speck, andU. K. Mishra, BEffect of p-doped overlayerthickness on RF-dispersion in GaN junctionFETs,[ IEEE Electron Device Lett., vol. 23,no. 6, pp. 306–308, Jun. 2002.

[47] L. Shen, R. Coffie, D. Buttari, S. Heikman,A. Chakraborty, A. Chini, S. Keller,S. P. DenBaars, and U. K. Mishra,BHigh-power polarization-engineeredGaN/AlGaN/GaN HEMTs without surfacepassivation,[ IEEE Electron Dev. Lett., vol. 25,no. 1, pp. 7–9, Jan. 2004.

[48] L. Shen, T. Palacios, C. Poblenz, A. Corrion,A. Chakraborty, N. Fichtenbaum, S. Keller,S. P. DenBaars, J. S. Speck, and U. K. Mishra,BUnpassivated high power deeply recessedGaN HEMTs with fluorine-plasma surfacetreatment,[ IEEE Electron Device Letters,vol. 27, no. 4, pp. 214–216, Apr. 2006.

[49] D. Buttari, A. Chini, A. Chakraborty,L. McCarthy, H. Xing, T. Palacios, L. Shen,S. Keller, and U. K. Mishra, BSelective dryetching of GaN over AlGaN in BCl3/SF6mixture,[ in Proceedings of the 2004 IEEELester Eastman Conference on High PerformanceDevices, 2004, pp. 132–137.

[50] M. Asif Khan, G. Simin, J. Yang, J. Zhang,A. Koudymov, M. S. Shur, R. Gaska, X. Hu,and A. Tarakji, BInsulating gate III-Nheterostructure field-effect transistors forhigh-power microwave and switchingapplications,[ IEEE Trans. Microwave Theoryand Techniques, vol. 51, no. 2, p. 624,Feb. 2003.

[51] V. Adivarahan, J. Yang, A. Koudymov,G. Simin, and M. Asif Khan, BStable CWoperation of field-plated GaN-AlGaNMOSHFETs at 19 W/mm,[ IEEE ElectronDevice Letters, vol. 26, no. 8, pp. 535–537,Aug. 2005.

[52] V. Adivarahan, M. Gaevski, W. H. Sun,H. Fatima, A. Koudymov, S. Saygi, G. Simin,J. Yang, M. Asif Khan, A. Tarakji, M. S. Shur,and R. Gaska, BSubmicron GateSi3N4=AlGaN=GaN-metal-insulator-semiconductor heterostructure field-effecttransistors,[ IEEE Electron Device Letters,vol. 24, no. 9, pp. 541–543, Sep. 2003.

[53] D. Qiao, Z. F. Guan, J. Carlton, S. S. Lau,and G. J. Sullivan, BLow resistance ohmiccontacts on AlGaN/GaN structures usingimplantation and the Fadvancing_ Al/Timetallization,[ Appl. Phys. Lett., vol. 74,no. 18, pp. 2652–2654, May 1999.

[54] H. Yu, L. McCarthy, S. Rajan, S. Keller,S. DenBaars, J. Speck, and U. Mishra,BIon implanted AlGaN-GaN HEMTs withnonalloyed ohmic contacts,[ IEEE ElectronDevice Lett., vol. 26, no. 5, pp. 283–285,May 2005.

[55] Y. Irokawa, O. Fujishima, T. Kachi,S. J. Pearton, and F. Ren, BActivationcharacteristics of ion-implanted Siþ inAlGaN,[ Applied Physics Letters, vol. 86,no. 19, pp. 192102-1–192102-3, May 2005.

[56] S. Matsunaga, S. Yoshida, T. Kawaji,and T. Inada, BSilicon implantation inepitaxial GaN layers: Encapsulant annnealingand electrical properties,[ J. Appl. Phys,vol. 95, no. 5, pp. 2461–2466, Mar. 2004.

[57] F. Recht, L. McCarthy, S. Rajan,A. Chakraborty, C. Poblenz, A. Corrion,J. S. Speck, and U. K. Mishra, BNonalloyedohmic contacts in AlGaN/GaN HEMTs by ionimplantation with reduced activationannealing temperature,[ IEEE Electron DeviceLett., vol. 27, no. 4, pp. 205–207, Apr. 2006.

[58] F. Recht, L. McCarthy, L. Shen, C. Poblenz,A. Corrion, J. S. Speck, and U. K. Mishra,BAlGaN/GaN HEMTs with large angleimplanted nonalloyed ohmic contacts,[ in65th Device Research Conference, ConferenceDigest, 2007, pp. 37–38.

[59] K. Boutros, M. Regan, P. Rowell, D. Gotthold,R. Birkhahn, and B. Brar, BHigh performanceGaN HEMTs at 40 GHz with power densitiesof 2.8 W/mm,[ in Proc. of the InternationalElectron Device Meeting, Dec. 2003,pp. 12.5.1–12.5.2.

[60] J. Moon, S. Wu, D. Wong, I. Milosavljevic,P. Hashimoto, M. Hu, M. Antcliffe,and M. Micovic, BDeep submicrongate-recessed and field-plated AlGaN/GaNHFETs for mm-wave applications,[ in Proc. ofMaterials Research Society Fall Meeting,Dec. 2004, vol. E6-1, p. 119.

[61] T. Palacios, A. Chakraborty, S. Rajan,C. Poblenz, S. Keller, S. P. DenBaars,J. S. Speck, and U. K. Mishra, BHigh-powerAlGaN/GaN HEMTs for Ka-bandapplications,[ IEEE Electron Device Letters,vol. 26, no. 11, pp. 781–783, Nov. 2005.

[62] M. Higashiwaki, T. Matsui, and T. Mimura,BCat-CVD SiN insulated-gate AlGaN/GaNHFETs with 163 GHz fT and 184 GHz fmax,[in Proceedings 63rd Device ResearchConference, Santa Barbara, CA, 2005.

[63] M. Higashiwaki, T. Matsui, and T. Mimura,B30-nm-gate AlGaN/GaN MIS-HFETs with180 GHz ft,[ in IEEE 64th Device ResearchConference, Conference Digest, 2006,pp. 149–150.

[64] M. Micovic, P. Hashimoto, M. Hu,I. Milosavljevic, J. Duvall, P. J. Willadsen,W.-S. Wong, A. M. Conway, A. Kurdoghlian,P. W. Deelman, J.-S. Moon, A. Schmitz, andM. J. Delaney, BGaN double heterojunctionfield effect transistor for microwave andmillimeterwave power applications,[ in IEEEIEDM Technical Digest, Dec. 2004,pp. 807–810.

[65] T. Palacios, A. Chakraborty, S. Heikman,S. Keller, S. P. DenBaars, and U. K. Mishra,BAlGaN/GaN high electron mobilitytransistors with InGaN back-barriers,[ IEEEElectron Device Letters, vol. 27, no. 1,pp. 13–15, Jan. 2006.

[66] Y.-F. Wu, A. Saxler, T. Wisleder, M. Moore,R. P. Smith, S. Sheppard, P. M. Chavarkar,and P. Parikh, BLinearity performance of GaNHEMTs with field plates,[ in Device ResearchConference, 2004. 62nd DRC. Conference Digest,Jun. 21–23, 2004, vol. 1, pp. 35–36.

[67] K. Joshin, T. Kikkawa, H. Hayashi,T. Maniwa, S. Yokokawa, M. Yokoyama,N. Adachi, and M. Takikawa, BA 174 Whigh-efficiency GaN HEMT power amplifierfor W-CDMA base station applications,[ inElectron Devices Meeting, 2003. IEDM ’03Technical Digest. IEEE International on,Dec. 8–10, 2003, pp. 12.6.1–12.6.3,Publication Date.

[68] D. Kimball, P. Draxler, J. Jeong, C. Hsia,S. Lanfranco, W. Nagy, K. Linthicum,L. Larson, and P. Asbeck, B50% PAE WCDMAbase-station amplifier implemented with GaNHFETs,[ in Compound SemiconductorIntegrated Circuit Symposium, 2005. CSIC ’05,Oct. 30–Nov. 2, 2005, IEEE Publication Date.

[69] S. C. Binari, P. B. Klein, and T. E. Kazior,BTrapping effects in GaN and SiC MicrowaveFETs,[ Proc. IEEE, vol. 90, no. 6,pp. 1048–1058, Jun. 2002.

[70] A. P. Edwards, J. A. Mittereder, S. C. Binari,D. S. Katzer, D. F. Storm, and J. A. Roussos,BImproved reliability of AlGaN-GaN HEMTsusing an NH3 plasma treatment prior to SiNpassivation,[ IEEE Electron Device Letters,vol. 26, no. 4, pp. 225–227, Apr. 2005.

[71] C. Lee, L. Witkowski, H.-Q. Tserng,P. Saunier, R. Birkhahn, D. Olson, G. Munns,S. Guo, and B. Albert, BEffects of AlGaN/GaNHEMT structure on RF reliability,[ ElectronicsLetters, vol. 41, no. 3, pp. 155–157, Feb. 2005.

[72] R. Coffie, Y. C. Chen, I. Smorchkova,M. Wojtowicz, Y. C. Chou, B. Heying,and A. Oki, BImpact of A1N interalayer onreliability of AlGaN/GaN HEMTS,[ in IEEEInternational Reliability Physics SymposiumProceedings, Mar. 2006, pp. 99–102.

[73] S. Singhal, T. Li, A. Chaudhari, A. W. Hanson,R. Therrien, J. W. Johnson, W. Nagy,J. Marquart, P. Rajagopal, E. L. Piner,and K. J. Linthicum, BReliability of largeperiphery GaN-on-Si HFETs,[ in ROCSWorkshop, 2005. [Reliability of CompoundSemiconductors], Oct. 30, 2005, pp. 135–149.

[74] Raytheon unpublished data.

[75] T. Kikkawa, T. Maniwa, H. Hayashi,M. Kanamura, S. Yokokawa, M. Nishi,N. Adachi, M. Yokoyama, Y. Tateno,and K. Joshin, BAn over 200-W output powerGaN HEMT push-pull amplifier with highreliability,[ in Microwave Symposium Digest,2004 IEEE MTT-S International, Jun. 2004,vol. 3, pp. 1347–1350.

[76] S. T. Sheppard, R. P. Smith, W. L. Pribble,Z. Ring, T. Smith, S. T. Allen, J. Milligan, andJ. W. Palmour, BHigh power hybrid andMMIC amplifiers using wide-bandgapsemiconductor devices on semi-insulating SiCsubstrates,[ in Device Research Conference,2002. 60th DRC. Conference Digest,Jun. 24–26, 2002, pp. 175–178.

[77] K. Yamanaka, K. Iyomasa, H. Ohtsuka,M. Nakayama, Y. Tsuyama, T. Kunii, Y. Kamo,and T. Takagi, BS and C band over 100 W GaNHEMT 1-chip high power amplifiers with celldivision configuration,[ in Gallium Arsenideand Other Semiconductor ApplicationSymposium, 2005. EGAAS 2005. European,Oct. 3–4, 2005, pp. 241–244.

[78] A. Kawano, N. Adachi, Y. Tateno, S. Mizuno,N. Ui, J. Nikaido, and S. Sano,BHigh-efficiency and wide-band single-ended200 W GaN HEMT power amplifier for2.1 GHz W-CDMA base station application,[

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

304 Proceedings of the IEEE | Vol. 96, No. 2, February 2008

Page 19: INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers · INVITED PAPER GaN-BasedRFPowerDevices andAmplifiers ... (from C band to Ku band, ... U. K. Mishra and L. Shen are with the Department

in APMC 2005 Asia-Pacific ConferenceProceedings, Dec. 2005, vol. 3, pp. 4–7.

[79] T. Kikkawa, BRecent progress and futureprospects of GaN HEMTs for base-stationapplications,[ in IEEE CompoundSemiconductor Integrated Circuit Symposium,Oct. 2004, pp. 17–20.

[80] M. Kanamura, T. Kikkawa, and K. Joshin,BA 100-W high-gain AlGaN/GaN HEMTpower amplifier on a conductive n-SiCsubstrate for wireless base stationapplications,[ in IEEE IEDM Technical Digest,Dec. 2004, pp. 799–802.

[81] A. Maekawa, M. Nagahara, T. Yamamoto,and S. Sano, B100 W high-efficiency GaNHEMT amplifier for S-band wireless system,[in Gallium Arsenide and Other SemiconductorApplication Symposium, Oct. 2005,pp. 497–500.

[82] Y.-F. Wu, S. M. Wood, R. P. Smith,S. Sheppard, S. T. Allen, P. Parikh, andJ. Milligan, BAn internally-matched GaNHEMT amplifier with 550-watt peak power at3.5 GHz,[ in IEEE International ElectronDevices Meeting, 2006.

[83] N. Kolias et al., Raytheon unpublished data.

[84] D. C. Streit, A. Gutierrez-Aitken,M. Wojtowicz, and R. Lai, BThe future ofcompound semiconductors for aerospace anddefense applications,[ in CompoundSemiconductor Integrated Circuit Symposium,2005. CSIC ’05, Oct. 2005, p. 4.

[85] M. Micovic, A. Kurdoghlian, H. P. Moyer,P. Hashimoto, A. Schmitz, I. Milosavjevic,P. J. Willadesn, W.-S. Wong, J. Duvall, M. Hu,M. J. Delaney, and D. H. Chow, BKa-bandMMIC power amplifier in GaN HFETtechnology,[ in 2004 IEEE MTT-S

International Microwave Symposium Digest,Jun. 2004, vol. 3, pp. 1653–1656.

[86] A. Darwish, K. Boutros, B. Luo,B. D. Huebschman, E. Viveiros, andH. A. Hung, BAlGaN/GaN Ka-band 5-WMMIC amplifier,[ IEEE Trans. MicrowaveTheory and Techniques, to be published.

[87] Y.-F. Wu, M. Moore, A. Saxler, T. Wisleder,U. K. Mishra, and P. Parikh, B8-watt GaNHEMTs at millimeter-wave frequencies,[ inIEEE International Electron Devices Meeting,2005. IEDM Technical Digest, Dec. 5–7, 2005,pp. 583–585, Publication Date.

[88] M. Micovic, A. Kurdoghlian, P. Hashimoto,M. Hu, M. Antcliffe, P. J. Willadsen,W. S. Wong, R. Bowen, I. Milosavljevic,A. Schmitz, M. Wetzel, and D. H. Chow,BGaN HFET for W-band power applications,[in IEEE International Electron DevicesMeeting, 2006.

ABOUT THE AUTHORS

Umesh K. Mishra (Fellow, IEEE) received the

B.Tech. from the Indian Institute of Technology

(IIT) Kanpur, India, in 1979, the M.S. degree from

Lehigh University, Bethlehem, PA, in 1980, and

the Ph.D. degree from Cornell University, Ithaca,

NY, in 1984, all in electrical engineering. He has

been with various laboratory and academic

institutions, including Hughes Research Labora-

tories, Malibu, CA, The University of Michigan at

Ann Arbor, and General Electric, Syracuse, NY,

where he has made major contributions to the development of

AlInAsGaInAs HEMTs and HBTs. He is currently a Professor in the

Department of Electrical and Computer Engineering and the Associate

Dean of the School of Engineering, University of California at Santa

Barbara (UCSB). He has authored or coauthored over 450 papers in

technical journals and conferences. He holds nine patents. His current

research interests are in oxide-based IIIV electronics and IIIV nitride

electronics and opto-electronics. Dr. Mishra was a recipient of the

Presidential Young Investigator Award from the National Science

Foundation, the Hyland Patent Award presented by Hughes Aircraft,

the Young Scientist Award presented at the International Symposium

on GaAs and Related Compounds and the David Sarnoff Award from

the IEEE.

Likun Shen received the B.S. and M.S. degrees in

physics and electrical engineering from Fudan

University, Shanghai, China, in 1995 and 1998,

respectively, and the Ph.D. degree in electrical

engineering from the University of California,

Santa Barbara, U.S.A., in 2004. He is currently

an Assistant Project Scientist in the Department

of Electrical Engineering in the University of

California, Santa Barbara, U.S.A.

His research interests focus on design, fabri-

cation, characterization, and development of compound semiconductor

devices, especially GaN-based high frequency high power electronic

devices and optical devices. He is also interested in semiconductor device

physics, modeling and simulation. Dr. Shens contributions to the

development of GaN HEMTs included the novel passivation method,

novel epitaxial structure and processing technologies. Dr. Shen has

authored and coauthored more than 40 papers in technical journals and

international conferences as well as several patents in pending.

Thomas E. Kazior received a BSEE from Tufts

University and the Ph.D. degree from the Depart-

ment of Material Science and Engineering at the

Massachusetts Institute of Technology specializ-

ing in electronic materials. Upon completing his

studies at MIT, Dr. Kazior joined Raytheon and is

presently a Principal Engineering Fellow and

Technical Director of Advanced MMIC Technology

at Raytheon RF Components, Raytheons MMIC

foundry. His research focuses on the development

of next generation material, process, device, component and circuit

technologies for microwave and millimeter wave applications, including

GaAs based HEMTs, metamorphic device technology, GaN HEMTs,

and heterogeneous integration of compound semiconductors and

silicon. Dr. Kazior has authored or coauthored over 70 papers,

conference presentations, invited talks, and lectures on process and

device technology and holds numerous patents on process technology

innovations. Dr. Kazior is a 2001 recipient of Raytheons Excellence in

Technology Award for his leadership role in the development of

advanced compound semiconductor device technology as well as a

participant in the National Academy of Engineerings 2002 Frontiers in

Engineering Symposium.

Yi-Feng Wu obtained his B.E. degree in Engineer-

ing Thermal Physics in 1985 from Tsinghua

University, Beijing, China. He received his M.S.

degree in Mechanical Engineering and Ph.D.

degree in Electrical Engineering from University

of California at Santa Barbara in 1995 and 1997,

respectively. He joined Witech LLC in 1997, a

successful start-ups in Nitride Semiconductors

which was merged with CREE Inc. in year 2000.

Dr. Wu has been a forefront researcher in Wide-

gap Microwave Electronics since 1996. His achievements include the first

demonstration of microwave power capability from an AlGaN/GaN

transistor and maintaining the records of the highest power densities

for solid-state FETs. His recent work has been focused on improving

device reliability as well as applying the GaN devices to the millimeter-

wave regime.

For the last 11 years, Dr. Wu has continuous presentations in either

IEEE DRC (Device Research Conference) or IEDM (International Electron

Device Meeting). He has authored many high-impact papers resulting in

greater than 1000 citations. He served in the technical committee of

the IEEE DRC from 2004 to 2006. He is a technical committee member

of ICNS-7 (International Conference on Nitride Semiconductors, 2007).

Dr. Wu holds 6 U.S. patents in GaN related devices.

Mishra et al. : GaN-Based RF Power Devices and Amplifiers

Vol. 96, No. 2, February 2008 | Proceedings of the IEEE 305


Recommended