+ All Categories
Home > Documents > Lecture 12: Efficient SRAM Circuit Design

Lecture 12: Efficient SRAM Circuit Design

Date post: 04-Nov-2021
Category:
Upload: others
View: 3 times
Download: 0 times
Share this document with a friend
67
CSCE 6933/5933 Advanced Topics in VLSI Systems Instructor: Saraju P. Mohanty, Ph. D. 1 Lecture 12: Efficient SRAM Circuit Design NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages, and other sources for academic purpose only. The instructor does not claim any originality. Advanced Topics in VLSI Systems
Transcript
Page 1: Lecture 12: Efficient SRAM Circuit Design

CSCE 6933/5933 Advanced Topics in VLSI Systems

Instructor: Saraju P. Mohanty, Ph. D.

1

Lecture 12: Efficient SRAM Circuit Design

NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages, and other sources for academic purpose only. The instructor does not claim any originality.

Advanced Topics in VLSI Systems

Page 2: Lecture 12: Efficient SRAM Circuit Design

Outline

Introduction Different SRAM topology Different SRAM Figures of Merits Proposed Optimal SRAM Design Flows Optimal Design of SRAMs

2 Advanced Topics in VLSI Systems

Page 3: Lecture 12: Efficient SRAM Circuit Design

Issues in Nano CMOS

3

Nano CMOS

Power

Leakage

Delay

Thermal Yield

Parasitic

Performance

Advanced Topics in VLSI Systems

Page 4: Lecture 12: Efficient SRAM Circuit Design

Process variations affect:

L: Channel Length

Tox: Gate Oxide Thickness

Vth: Threshold Voltage

# Dopant Atoms

Technology Scaling: Nano-Regime

4 Advanced Topics in VLSI Systems

Page 5: Lecture 12: Efficient SRAM Circuit Design

Why Efficient SRAM Design? • Amount of on-die caches increases • Up to 60% of the die area is devoted for caches in

typical processor and embedded application. • Largely contributes for leakage and power density.

5

L3 Cache 1.5-25 MB

L2 Cache 256KB-I & D

L1 Cache 16KB-I and 16KB-D

Itanium 2* (L3-9MB) 130nm Technology

*Intel

2002 2003 2004

On-d

ie Ca

che (

MB)

2

0

4

6

8

10Itanium 2Xeon

180nm

130nm

130nm

90nm

130nm180nm

2005

90nm

Montecito, Dual Core

26

65nm

P4

Pentium

Pro PII PII

P3 P4 0 5 10 15 20 25 30 35 40

% o

f the

Tot

al P

ower

SRAM Leakage Power Percentage

90nm 250nm 180nm 130nm 1

10

100

Pow

er D

ensi

ty (W

/cm

2 )

SRAM Power Density

On

Die

Cac

he (M

B)

2002

2003

2004

2005

Advanced Topics in VLSI Systems

Page 6: Lecture 12: Efficient SRAM Circuit Design

SRAM Challenges … Transistor density trends with scaling: 6T SRAM cell vs. 4T logic gate

Area trends with scaling: 6T SRAM cell area vs. a 4T logic gate

Source: Process-Aware SRAM Design & Test. Authors: Andrei Pavlov & Manoj Sachdev

6 Advanced Topics in VLSI Systems

Page 7: Lecture 12: Efficient SRAM Circuit Design

7

Nano-CMOS SRAM Design Challenges ...

In nano-CMOS regime following are the major issues: Data stability and functionality

• Non-destructive read • Successful write • Noise sensitivity

Proper sizing of the transistors • To improve the write ability • To improve the read stability • To improve the data retention

Minimum size of transistors to maximize the memory density. Minimum leakage for low-power design. Minimum read access time to improve the performance.

VDDVDD

BL BLB

P2P1

N2N1

N4N3

WL WLP2P1

6transistor-SRAM

Advanced Topics in VLSI Systems

Page 8: Lecture 12: Efficient SRAM Circuit Design

Nano-CMOS SRAM Design Challenges VDD VDD

BL BLB

N2 N1

N4 N3

WL WL

0 VDD

P1 P2 VDD VDD

For proper read stability: N1 and N2 are sized wider than N3 and N4. For successful write: N3 and N4 are sized wider than P1 and P2. Minimum sized transistors do not provide good stability and functionality. SRAM cell ratio (): ratio of driver transistor’s W/L to access transistor’s W/L.

N1 >> N3 N2 >> N4

P2 << N4 P1 << N3

8

Access

Load

Driver Driver

Access

Load

Advanced Topics in VLSI Systems

Page 9: Lecture 12: Efficient SRAM Circuit Design

Prior Research on SRAM

9 Advanced Topics in VLSI Systems

Page 10: Lecture 12: Efficient SRAM Circuit Design

Reference

Kulkarni et al (2001)

Amelifard et al (2006)

Liu et al (2007)

Lin et al (2008)

Bollapalli et al (2009)

Optimization Technique

Schmitt Trigger

Dual-VTh and dual-

Tox

Separate data access mechanism

Write bitline balancing circuitry

Separate word line

groups

Power

0.11 μW (leakage)

53.5 % decrease

31.9 nW (leakage

only)

4.95 nW (standby)

10 mW (Total)

Performance (SNM)

78 mV

43.8% increase

300 mV

310 mV

--

Technology Node

130 nm

65 nm

65 nm

32 nm

45 nm

Related Prior Research in SRAM

10 Advanced Topics in VLSI Systems

Page 11: Lecture 12: Efficient SRAM Circuit Design

Reference

Azam et al (2010)

Tavva et al (2010)

Our Research

Our Research

Our Research

Optimization Technique

Separate read/write assist

circuitry

Novel 9T SRAM cell topology

DOE-ILP Assisted

Conjugate-Gradient

Combined DOE-ILP

Statistical DOE-ILP

Power

63.9 μW vs 44.4 μW

(total)

--

314.5 nW; 86% decrease; (Total Power)

100.5nW; 50.6%

decrease (Total Power)

113.6nW; 44.2%

decrease (Total Power)

Performance (SNM)

299 mV

400 mV

295 mV; 8% increase

303.3mV; 43.9%

increase

303.3mV; 43.9%

increase

Technology Node

45 nm

65 nm

32nm high-k/metal

gate

45 nm

45 nm

Related Prior Research in SRAM …

11 Advanced Topics in VLSI Systems

Page 12: Lecture 12: Efficient SRAM Circuit Design

SRAM Circuit Topologies

12 Advanced Topics in VLSI Systems

Page 13: Lecture 12: Efficient SRAM Circuit Design

SRAM Circuit Topologies 13 Advanced Topics in VLSI Systems

Page 14: Lecture 12: Efficient SRAM Circuit Design

SRAM Circuit Topologies 14 Advanced Topics in VLSI Systems

Page 15: Lecture 12: Efficient SRAM Circuit Design

Single-Ended 7-Transistor SRAM

Highlights of this SRAM: •Single-ended I/O latch style 7-transistor SRAM.

•Functions in ultra-low voltage regime allowing subthreshold operation.

•Better read stability, better write-ability compared to standard SRAM.

•Improved nanoscale process variation tolerance compared to the standard 6-transistor SRAM.

15

Source: Our publication in SOCC 2008

Load transistors – 2, 4 Driver transistors – 3, 5 Access transistors – 1, 6, 7

Advanced Topics in VLSI Systems

Page 16: Lecture 12: Efficient SRAM Circuit Design

SRAM Circuit Topologies 16 Advanced Topics in VLSI Systems

Page 17: Lecture 12: Efficient SRAM Circuit Design

Figures of Merit: Total Power (including leakage) and Static

Noise Margin (SNM)

17 Advanced Topics in VLSI Systems

Page 18: Lecture 12: Efficient SRAM Circuit Design

Stability Analysis of SRAM: Performance Metric

• Static Noise Margin (SNM): Minimum DC voltage which is required to flip the state of the SRAM cell during the read/write operation.

Butterfly Curve SNM Set-up 18 Advanced Topics in VLSI Systems

Page 19: Lecture 12: Efficient SRAM Circuit Design

Stability Analysis of SRAM ...

M3 M4INV 1

INV 2BL BLB

+ Vn -

- Vn +

Q QB

Noise model for stability analysis

Voltage Transfer Characteristics (VTCs)

19 Advanced Topics in VLSI Systems

Static Noise Margin (SNM): The maximum DC noise voltage Vn that can be tolerated by SRAM.

Page 20: Lecture 12: Efficient SRAM Circuit Design

Stability Analysis of SRAM

Vn < 198mV: Successful Read

Vn > 198mV: Destructive Read

20 Advanced Topics in VLSI Systems

Page 21: Lecture 12: Efficient SRAM Circuit Design

Stability Analysis of SRAM (SNM)

• Static Noise Margin (SNM): It is the amount of maximum DC voltage (Vn) in this case, that SRAM can tolerate.

Advanced Topics in VLSI Systems

21

Page 22: Lecture 12: Efficient SRAM Circuit Design

Total Power

Dynamic Power

Capacitive switching

Gate-oxide leakage

Short circuit current

Static Power

Sub-threshold current

Gate-oxide leakage

Reverse biased diode leakage

current

Power Dissipation in CMOS

Total Power Measurement 22

circuitshortswitchcaptunntransdynamic PPPP

biasedeverseldsubthreshotunnsteadystatic PPP rP

Both dynamic and static power are significant fractions of total power dissipation in a nano-scale CMOS circuit.

Advanced Topics in VLSI Systems

Page 23: Lecture 12: Efficient SRAM Circuit Design

Accurate Power Analysis: Problem Statement

Both dynamic and static power are significant fractions of total power dissipation in a nanoscale CMOS circuit.

circuitshortswitchcaptunntransdynamic PPPP biasedeverseldsubthreshotunnsteadystatic PPP rP

totalP

dynamicP staticP

Advanced Topics in VLSI Systems

23

Page 24: Lecture 12: Efficient SRAM Circuit Design

Average Power in Operation: Write/Read/Hold Mode:

operation

dsgate

operation

operation

DD

operation

operation

T

dtII

T

T

Vdttp

TP

0)(

0)(1

DDVWhere, = supply voltage =is the current associated with tran-tunn or steady-tunn i.e., gate leakage =contributes to the capacitive switching in some devices and subthreshold leakage in others.

gateI

dsI

Advanced Topics in VLSI Systems

24

Page 25: Lecture 12: Efficient SRAM Circuit Design

Significant Leakages in NMOS and PMOS devices

Advanced Topics in VLSI Systems

25

Page 26: Lecture 12: Efficient SRAM Circuit Design

Gate Leakage Current Analysis

Gate tunneling current flow in the regions for NMOS

Gate tunneling current flow in the regions for PMOS

Advanced Topics in VLSI Systems

26

Page 27: Lecture 12: Efficient SRAM Circuit Design

Example Circuits: Six and Seven transistor SRAM Cell

6 Transistor SRAM 7 Transistor SRAM

Advanced Topics in VLSI Systems

27

Page 28: Lecture 12: Efficient SRAM Circuit Design

Current paths for the 6T SRAM: Write

Advanced Topics in VLSI Systems

28

Page 29: Lecture 12: Efficient SRAM Circuit Design

Current paths for the 6T SRAM: Read

Advanced Topics in VLSI Systems

29

Page 30: Lecture 12: Efficient SRAM Circuit Design

Current paths for the 6T SRAM: Hold

Advanced Topics in VLSI Systems

30

Page 31: Lecture 12: Efficient SRAM Circuit Design

Gate Leakage Current

Drain Current

Advanced Topics in VLSI Systems

31

Current paths for the 6T SRAM: Hold

Page 32: Lecture 12: Efficient SRAM Circuit Design

Currents in 7-Transistor SRAM: Write

32

Current Path for Write ‘1’

Current Path for Write ‘0’

Advanced Topics in VLSI Systems

Page 33: Lecture 12: Efficient SRAM Circuit Design

Currents in 7-Transistor SRAM: Read

33

Current Path for Read ‘1’

Current Path for Read ‘0’

Parameters Value 203.6 nW

170 mV sramP

sramSNM

Advanced Topics in VLSI Systems

Page 34: Lecture 12: Efficient SRAM Circuit Design

Current path for Write ‘1’

Current path for Read ‘0’

Current Paths for 10-Transistor SRAM

34 Advanced Topics in VLSI Systems

Page 35: Lecture 12: Efficient SRAM Circuit Design

Single Ended I/O 8T-SRAM

Advanced Topics in VLSI Systems

35

Page 36: Lecture 12: Efficient SRAM Circuit Design

VDD VDDBL

W

W0R

P1 P1

N3

N1 N2

N5N4N6

VDD

VDD

0

0

VDD

The Proposed SE-SRAM

VDD

0

Proposed single ended I/O 8T-SRAM cell design.

In word oriented design it becomes 6T- SRAM design.

Minimum size of transistors are used.

Read Stability: For 0 and Vdd. No ratio contention. 3 signals: W, W0, R; W0 = W.

Read operation: R, Write operation: W and W0.

Reduction in dynamic power and leakage because of single ended input/output line and stacking of transistors, respectively.

36 Advanced Topics in VLSI Systems

MRA

MWA

Page 37: Lecture 12: Efficient SRAM Circuit Design

32-Bit Word Organization Using SE-SRAM

Word oriented design to reduce area and power overhead. 6T-SRAM cell with 2T shared among the word cells. Read/Write assist transistors are shared by all bits of a word as all 32 bits are

accesses simultaneously. Wider word will provide better area saving.

37 Advanced Topics in VLSI Systems

6T SRAM

2T Shared

Page 38: Lecture 12: Efficient SRAM Circuit Design

Physical Design of a Proposed 32-bit Word

Advanced Topics in VLSI Systems 38

Bitcell Area: 0.68m2 (0.55m x 1.22m). 8% higher than standard 6T SRAM. Read/write assist transistors half roughly half of a bitcell area per a memory

word. A 32-bit layout was designed and parasitics were extracted.

4-bit array shown for clarity

Page 39: Lecture 12: Efficient SRAM Circuit Design

• The amount of current flowing through the read assist transistor: • Voltage at the node VRA is Where, and when voltage at node VRA is 0.36 Vdd

• Hence, size of the read assist MRA • Size of the write assist MWA: A single equivalent minimum size transistor

per word for minimum leakage and data retention.

Read/Write Assist Transistors Sizing

RAthdd

RA

oxnRA VVVL

WCI

tVV ddRA exp

BLRAd CR

)(1

thddoxnRARA VVCRL

W

d

39 Advanced Topics in VLSI Systems

Page 40: Lecture 12: Efficient SRAM Circuit Design

Stability Analysis of SE-SRAM ... • SNM of traditional SRAM

and proposed SE-SRAM. • Under normal read

operation. • For traditional SRAM cell

ratio = 2. • For proposed SE-SRAM

minimum size transistors.

• Proposed cell has 2X higher SNM than the standard cell at beta = 2 and Vdd =1.0V.

• For subthreshold operation proposed cell SNM is equal to stdard cell at beta=4 and Vdd =0.5V.

40 Advanced Topics in VLSI Systems

Page 41: Lecture 12: Efficient SRAM Circuit Design

Stability Analysis of SE-SRAM • SNM of standard SRAM and

the proposed SE-SRAM. • Read operation under

process variations in Vth. • For traditional SRAM, cell

ratio =2. • For prop. 6T minimum size

transistors

• For the worst case prop. cell has 2.65X higher SNM than the standard cell at beta=2 and Vdd =1.0V.

• The worst case standard deviation in the SNM for proposed cell is 11% higher than the standard cell at beta=2 and Vdd =1.0V.

41 Advanced Topics in VLSI Systems

Page 42: Lecture 12: Efficient SRAM Circuit Design

Active Power Dissipation of SE-SRAM • Active power of standard

and proposed SRAMs. • For all possible read and

write operations at Vdd=1.0V.

• Power pattern is asymmetrical for proposed SE-SRAM, because of asymmetric r/w operation or its structure.

• If the upcoming datum is same either for read of write operation (W1_1 or R1_1) the proposed SRAM has low power consumptions compared standard.

• If the upcoming datum is zero during read operation (R1_0 or R0_0) proposed design has 21% and 29% higher power than the standard SRAM.

• Average active power in the proposed design is 28% lower than the standard.

42 Advanced Topics in VLSI Systems

Page 43: Lecture 12: Efficient SRAM Circuit Design

Significance of the 8T SRAM

• The proposed SE-SRAM design achieves 2.65X better static noise margin compared to a standard 6T-SRAM.

• Improved write-ability of logic ‘1’. • Minimum feature size devices. • No radioed contention or tuning of cell ratio • Saving of active and leakage power. • One disadvantage: A marginally high standard

deviation in the SNM and active and leakage power due to minimum sized device.

Advanced Topics in VLSI Systems 43

Page 44: Lecture 12: Efficient SRAM Circuit Design

SRAM Optimization

Methodology 1: Combined DOE-ILP Approach

44 Advanced Topics in VLSI Systems

Page 45: Lecture 12: Efficient SRAM Circuit Design

Design Flow 1 Algorithm 1

.Son based rs transisto toVhigh Assign :11.SS = S Form:10

.S :setSolution ILP. using f Solve :9

.S :setSolution ILP. using f Solve:8

SNM.for f power,for f :equations predictive Form 7

for end:6.SNM and P record and ssimulation Perform :5

doarray 8-L Taguchi Level-2 of sexperiment 8:1Each for :4.SNM read and P average are

responses theand rs transisto theare factors the where array, 8-L Taguchi Level-2 using cell SRAM of storsfor transit experimen Setup :3

.assignment Vhigh for identified sistors with tran]f ,[f = Sset Objective:Output :2

models. V-ghNominal/Hi ,/SNMP Baseline :Input :1

OBJTh

SNM PWROBJ

SNMSNM

PWRPWR

SNMPWR

sramsram

sramsram

Th

SNMPWROBJ

Thsramsram

Combined DOE-ILP Approach: Solution 1

45 Advanced Topics in VLSI Systems

Page 46: Lecture 12: Efficient SRAM Circuit Design

Design Flow 2 Algorithm 2

.*Son based rs transisto toVhigh Assign :10.*S :setSolution ILP. using *f olve:9

.*f*f *f Form:8

.*f and * f :equations predictive normalized Form:7

for end:6.SNM and P record and ssimulationPerform :5

doarray 8-L Taguchi Level-2 of sexperiment 8:1Each for :4.SNM read and P average are

responses theand rs transisto theare factors the where array, 8-L Taguchi Level-2 using cell SRAM of storsfor transi experiment Setup:3

.assignment Vhigh for identified sistors with tran]f ,[f = Sset Objective:Output:2

models. V-ghNominal/Hi ,/SNMP Baseline :Input:1

OBJTh

OBJOBJ

SNM

PWROBJ

SNMPWR

sramsram

sramsram

Th

SNM*PWR**OBJ

Thsramsram

S

Combined DOE-ILP Approach: Solution 2

46 Advanced Topics in VLSI Systems

Page 47: Lecture 12: Efficient SRAM Circuit Design

Predictive Equation:

,2

)(ˆ7

1

n

nxn

ff

nx

f

2)(n

is the -state of transistor n ; is the response of cell ; (e.g. Power, SNM, etc) is the average of response in the cell;

ThV

is the half effect of the nth transistor ; it is calculated by:

2)0()1(

2)( avgavgn

Combined DOE-ILP Approach

47 Advanced Topics in VLSI Systems

Page 48: Lecture 12: Efficient SRAM Circuit Design

Selection of Appropriate Transistors

Configuration for Flow 1 Configuration for Flow 2 48

Advanced Topics in VLSI Systems

Page 49: Lecture 12: Efficient SRAM Circuit Design

Design Alternative

Parameter Value Change

203.6 nW - 170mV - 26.34 nW 87.1% decrease 231.9 mV 26.7% increase 113.6 nW 44.2% decrease 303.3 mV 43.9% increase 113.6 nW 44.2% decrease 303.3 mV 43.9% increase 100.5 nW 50.6% decrease 303.3 mV 43.9% increase

sramP

sramSNM

SNMS

PWRS

OBJS

*OBJS

Baseline

sramP

sramP

sramP

sramP

sramSNM

sramSNM

sramSNM

sramSNM

Approach 1

Approach 2

Experimental Results: 4 Alternatives

49 Advanced Topics in VLSI Systems

Page 50: Lecture 12: Efficient SRAM Circuit Design

Experimental Results: SNM

Butterfly Curve for reduced power SNM

Butterfly Curve for the optimal

SRAM

50 Advanced Topics in VLSI Systems

Page 51: Lecture 12: Efficient SRAM Circuit Design

Experimental Results: Power/SNM

51 Advanced Topics in VLSI Systems

Page 52: Lecture 12: Efficient SRAM Circuit Design

Monte Carlo Distribution Results …

Butterfly Curve for

Flow 1

Butterfly Curve for

Flow 2

SNM Distribution for Flow 1

Power Distribution for Flow 1

SNM Distribution for Flow 2

Power Distribution for Flow 2

52 Advanced Topics in VLSI Systems

Page 53: Lecture 12: Efficient SRAM Circuit Design

Monte Carlo Simulation Results

Optimization Parameter Mean Standard Deviation

SPWR Psram 28.91 nW 8.26 nW

SNMsram 180mV 30mV

SSNM Psram 147.73nW 101.4nW

SNMsram 295mV 28mV

SOBJ: Approach 1 Psram 147.73nW 101.4nW

SNMsram 295mV 28mV

SOBJ: Approach 2 Psram 135.24nW 101.85nW

SNMsram 295mV 28mV

53 Advanced Topics in VLSI Systems

Page 54: Lecture 12: Efficient SRAM Circuit Design

Array Organization for 7T and 10T SRAM

54 Advanced Topics in VLSI Systems

Page 55: Lecture 12: Efficient SRAM Circuit Design

SRAM Optimization Methodology 2:

Statistical DOE-ILP

55 Advanced Topics in VLSI Systems

Page 56: Lecture 12: Efficient SRAM Circuit Design

Statistical DOE-ILP Approach for Nano-CMOS SRAM

cell. SRAM optimal theofy feasibilit theobserve toarray 8 8 e.g.for on organizatiarray Construct :8

cell. SRAM optimal P3Obtain :7. (12) paramters device using cell SRAM

ofzation characteri variationprocess :6cell. SRAM on)maximizati eperformanc andon minimizati

(power P2obtain tocell SRAM :5SRAM baseline optimizingfor 2 Algorithm :4

cell. SRAM baseline of SNM andpower Measure:3SRAM. tolerant variationprocess andon maximizati

e performanc on,minimizatipower :P3 Optimized::2SRAM. Baseline ::1

Perform

simulateReToGo

OutputInput

Algorithm for P3 optimal SRAM 56 Advanced Topics in VLSI Systems

Design flow for P3 optimal SRAM

Page 57: Lecture 12: Efficient SRAM Circuit Design

Algorithm for P2 optimal SRAM cell • Input: Baseline PWR, SNM of SRAM cell, Baseline model file, High- threshold model file. • Output: Optimized objective set fobj = [fPWR, fSNM] optimal SRAM cell with transistors identified

for High VTh assignment. • Set-up experiment for transistors of SRAM cell using 2-Level Taguchi L-8 array, where the factors

are the VTh states of transistors of SRAM cell, the response for average power consumption is , and the response for read SNM is , .

• For Each 1:8 experiments of 2-Level Taguchi L-8 array do • Run 100 Monte Carlo runs • Record , and ,

• end for • Form linear predictive equations , for power , for SNM. • Solve using ILP: Solution set: SμPWR • Solve using ILP: Solution set: SσPWR • Solve using ILP: Solution set: SμSNM • Solve using ILP: Solution set: SσSNM • Form Sobj = SμPWR ∩ SσPWR ∩ SμSNM ∩ SσSNM • Assign high VTh transistors based on Sobj . • Re-simulate SRAM cell to obtain optimized objective set.

PWR PWR SNM SNM

PWR PWR SNM SNM

PWR

PWR

PWR

PWR

SNMSNM

SNM

SNM

57 Advanced Topics in VLSI Systems

Page 58: Lecture 12: Efficient SRAM Circuit Design

P3 SRAM Optimal Results Optimization Parameter Value Change

Sobj Average Power: PSRAM 113.6 nW 44.2%

Sobj SNM 303.3 mV 43.9%

Chosen transistors for High-VTh assignment

58

8-Iterations

4 minutes approx. each iteration

Read access time is 7 ns

Advanced Topics in VLSI Systems

Page 59: Lecture 12: Efficient SRAM Circuit Design

SRAM Optimization Methodology 3:

PVT Optimization of SRAM

59 Advanced Topics in VLSI Systems

Page 60: Lecture 12: Efficient SRAM Circuit Design

SNM

PowerPSR

Figures of Merit:

Target Optimization

60 Advanced Topics in VLSI Systems

Page 61: Lecture 12: Efficient SRAM Circuit Design

Ambient Temperature Analysis

61 Advanced Topics in VLSI Systems

Page 62: Lecture 12: Efficient SRAM Circuit Design

Algorithm for PVT-tolerant SRAM • Input: Baseline power and SNM of the SRAM cell, baseline model file. • Output: Optimized FOM: , with transistors identified for optimized Wn and Wp

assignment. • Identify worst case ambient temperature (measure at 27°C, 50°C, 75°C, 100°C, 125°C) for defined

FOMs (Power, SNM and PSR) of SRAM design. • Generate power dissipation profile of SRAM design by measuring average (total) power

consumption and total leakages. • for Each range of Wn and Wp of transistors in SRAM do

Run simulations, Record power, SNM and PSR.

• end for • Generate surface plots using Polynomial Regression, for all three FOMs. • Form polynomial equations: for power, for SNM and for PSR. • Minimize using second order differential equation. • Maximize using second order differential equation. • Minimize using second order differential equation.

• Optimize: • Assign optimized values of Wn and Wp for the NMOS and PMOS transistors. • Re-simulate SRAM cell to obtain optimized objective

SNM

PWRPSR

f

ff

PSRfSNMfPWRf

SNM

PWRPSR

f

ff

PWRfSNMf

PSRf

PSRf

62 Advanced Topics in VLSI Systems

Page 63: Lecture 12: Efficient SRAM Circuit Design

Surface Plots and Fit Matrix

01054.81007.41033.1103.11081.71076.11002.51013.1

129

11109

986

015.021.007.007.067.106.073.09.150

001.0001.000005.094.0

Pow

er

SN

M

PS

R

63 Advanced Topics in VLSI Systems

Page 64: Lecture 12: Efficient SRAM Circuit Design

Optimal Simulation Results

Parameter Baseline Power

Power optimality

SNM optimality

PSR optimality

Average Power 1.03 μW 1.03 μW

1.23 μW

1.03 μW

SNM 150.1 mV 150.1 mV 154 mV 154 mV

PSR 18.94 18.94 20.84 18.94

64 Advanced Topics in VLSI Systems

Page 65: Lecture 12: Efficient SRAM Circuit Design

SN

M w

orst

cas

e SN

M best case

Pow

er best case PVT Tolerant SRAM Optimal Results

Pow

er w

orst

cas

e

65 Advanced Topics in VLSI Systems

Page 66: Lecture 12: Efficient SRAM Circuit Design

Significance of the Methodology Design of Experiments-Integer Linear Programming (DOE-ILP)

approach. Design of Experiments (DOE) assisted conjugate gradient

approach. Statistical Design of Experiments-Integer Linear Programming

(DOE-ILP) approach. Polynomial regression based technique. The following circuits have been subjected to these optimization

methodologies: 45 nm 6-Transistor SRAM 45 nm 7-Transistor SRAM High-κ/Metal-Gate 32 nm 10-Transistor SRAM

66 Advanced Topics in VLSI Systems

Page 67: Lecture 12: Efficient SRAM Circuit Design

Approach Power (nW/ μW)

Performance (SNM) (mV)

Temp. (°C)

No. of Transistors

Technology

Combined DOE-ILP

100.5 nW 303.3 mV 27 7T 45nm nano CMOS node

DOE-ILP Assisted Conjugate Gradient

314.5 nW 295 mV 27 10T High-Κ/Metal-Gate 32nm node

Statistical DOE-ILP

113.6 nW 303.3 mV 27 7T 45nm nano CMOS node

Polynomial Regression

1.03 μW 154 mV 125 7T 45nm nano CMOS node

Comparative Perspective

67 Advanced Topics in VLSI Systems


Recommended