+ All Categories
Home > Documents > LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of...

LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of...

Date post: 06-Mar-2021
Category:
Upload: others
View: 1 times
Download: 0 times
Share this document with a friend
136
LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY Jui-Yen Jason Lin June 2013
Transcript
Page 1: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM

A DISSERTATION

SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING

AND THE COMMITTEE ON GRADUATE STUDIES

OF STANFORD UNIVERSITY

IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

FOR THE DEGREE OF

DOCTOR OF PHILOSOPHY

Jui-Yen Jason Lin

June 2013

Page 2: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

http://creativecommons.org/licenses/by-nc/3.0/us/

This dissertation is online at: http://purl.stanford.edu/qr469kh6783

© 2013 by Jui-Yen Lin. All Rights Reserved.

Re-distributed by Stanford University under license with the author.

This work is licensed under a Creative Commons Attribution-Noncommercial 3.0 United States License.

ii

Page 3: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Krishna Saraswat, Primary Adviser

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

James Harris

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Yoshio Nishi

Approved for the Stanford University Committee on Graduate Studies.

Patricia J. Gumport, Vice Provost Graduate Education

This signature page was generated electronically upon submission of this dissertation in electronic format. An original signed hard copy of the signature page is on file inUniversity Archives.

iii

Page 4: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

iv

Abstract

The scaling of conventional silicon transistors faces several obstacles including the

need to introduce materials such as germanium which have higher carrier mobilities.

Several challenges need to be addressed in these new materials systems. In the case of

germanium, contact resistance to n-type Ge is particularly problematic. This thesis

presents two approaches to address this issue. The first is the use of metal-insulator-

semiconductor contacts, whereby inserting a thin insulator between the metal and

semiconductor can reduce the barrier height and reduce contact resistance. Tunneling

resistance and series resistance effects are studied experimentally and theoretically. The

second approach is the use of germanide contacts in conjunction with high doping

techniques. Low contact resistances are obtained due to the high dopant activation level

and dopant segregation effects.

Page 5: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

v

Acknowledgements

I would like to thank my research advisor, Professor Krishna Saraswat, for all his

support and guidance over the last several years. His door was always open, and I have

received a tremendous amount of advice from him. I am especially grateful for his

encouragement when I changed research directions half way through my time at Stanford.

This thesis would not have been possible without his vast knowledge, patient mentoring,

and gentle encouragement.

I have been very fortunate to interact with several faculty members. Professor Yoshio

Nishi, Professor James Harris, and Professor Philip Wong not only served on my

committee but gave me very helpful suggestions during my research. In addition to

serving as my committee chair, Professor Paul McIntyre’s expertise in TiO2 was a

tremendous resource and I am very fortunate to have received his help.

This work was very much a collaborative effort. I wish to thank Dr. Arunanshu Roy,

not only for his simulation expertise, but also for being a fantastic colleague. I will

always cherish our debates regarding our simulated and experimental results. Also, Dr.

Bin Yang’s wealth of industrial experience was eye-opening, and I am still amazed at

how he was able to point me to very useful papers after every discussion. I am also very

fortunate to have collaborated with Suyog Gupta, whose energy and passion is as

impressive as his technical expertise.

A large portion of this work was done at the Stanford Nanofabrication Facility (SNF)

and the Stanford Nanocharacterization Laboratory (SNL), and it would not have been

possible without the staff’s support. I am especially grateful to J Provine for help with

ALD and Dr. James McVittie for help with anything plasma related.

Page 6: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

vi

I would like to thank Gail Chun-Creech for very efficient administrative help ranging

from POs and reimbursements to room reservations and scheduling.

The Saraswat group members are definitely among the smartest and most dedicated

individuals I know, and I am truly honored to call them my colleagues and friends. Our

impromptu late night meetings in SNF are a prized memory, and I appreciate our mutual

encouragement to persevere after failures.

Finally, I am very thankful to have a loving family, encouraging and supporting me

every step of the way. With their unyielding integrity and hardworking spirit, my parents

and brother have always been my role models. I dedicate this thesis to them.

Page 7: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

vii

Table of Contents

Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . iv

Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . v

Table of Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . vii

List of Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . x

List of Figures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xi

1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

1.2 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2 Methods to Reduce Ge N-Type Contact Resistance . . . . . . . . . . . . . . . . . . . . . . . 5

2.1 Properties of the Ge Material System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

2.2 Dopant Activation Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

2.2.1 Laser Annealing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

2.2.2 Phosphorus & Antimony Coimplantation . . . . . . . . . . . . . . . . . . . . . . 12

2.2.3 Fluorine Vacancy Passivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

2.3 MIS Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

2.3.1 Fermi Level Pinning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

2.3.2 Fermi Level Depinning using Ultrathin Dielectrics . . . . . . . . . . . . . . 19

2.3.3 Resistance Due to Tunneling Through the Dielectric . . . . . . . . . . . . . 22

2.4 Chalcogen Passivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.5 Dopant Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

2.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Page 8: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

viii

3 TiO2 MIS Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

3.1 ALD Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

3.2 Band Offsets to Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

3.3 MIS Contacts on Epitaxial Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

3.3.1 Barrier Height Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

3.3.2 Effect of Metal Workfunction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

3.3.3 Comparing MIS Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

3.4 TiO2 MIS Contacts on n+ Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

3.4.1 Measurement Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

3.4.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

3.4.3 Series Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

3.5 Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

3.5.1 Ge N-Channel MOSFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

3.5.2 Metal Source/Drain Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.5.3 Asymmetric Metal-Semiconductor-Metal Photodetectors . . . . . . . . . . 55

3.5.4 Spin Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

4 Physics of MIS Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

4.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

4.2 Theory of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

4.2.1 Effect of Dipoles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

4.3 Effect of Annealing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

4.4 Effect of Series Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

4.5 Effect of High Semiconductor Doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

4.6 MIS Contact Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

4.6.1 Oxygen-Deficient TiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

4.6.2 Indium Tin Oxide (ITO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

4.6.3 Oxygen-Deficient ZnO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

4.7 Scalability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

4.8 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

Page 9: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

ix

5 Germanide Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

5.1 Nickel Germanide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

5.2 Formation of NiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

5.3 P and Sb Coimplantation with NiGe Contacts . . . . . . . . . . . . . . . . . . . . . . . . . 95

5.3.1 Contact Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

5.3.2 Effect of Dopant Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

5.4 Chalcogen Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

5.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

6 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

6.1 Benchmarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

6.2 Contributions and Suggestions for Future Work . . . . . . . . . . . . . . . . . . . . . . 106

List of References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

Page 10: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

x

List of Tables

1.1 Carrier mobilities for several semiconductors. . . . . . . . . . . . . . . . . . . . . . . . . . 1

2.1 Summary of MIS contact schemes in literature. . . . . . . . . . . . . . . . . . . . . . . . . 21

5.1 Summary of Electrical Measurements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

6.1 Summary of Selected Contact Schemes on N-Type Ge. . . . . . . . . . . . . . . . . . . 105

Page 11: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xi

List of Figures

1.1 (From [5]) For planar MOSFETs, parasitic resistance increases with scaling,

becoming a larger portion of the total device resistance. Beyond 20nm, the

parasitic resistance may even begin to dominate device characteristics. . . . . . . 2

1.2 The parasitic series resistance in a MOSFET can be divided into two main

components: contact resistance (Rc) and junction resistance (Rj). . . . . . . . . . . . 3

2.1 (From [6]) SIMS and SRP profiles show chemical and electrically active

dopant concentrations, respectively. P-type Ge doped with boron show

electrically active concentration above 1020

cm-3

. However, n-type Ge doped

with phosphorus show electrically active concentration of 2×1019

cm-3

, even

though the chemical concentration is higher. Similar results are obtained for

As and Sb n-type dopants in Ge. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

2.2 (From [9]) Specific contact resistivity as a function of doping density and

electron barrier heights for n-type Si. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

2.3 (From [10]) At metal/semiconductor interfaces, the metal Fermi level lies

within a narrow range of energies within the semiconductor band gap due to

Fermi level pinning. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

2.4 (From [11]) The measured electron barrier height is not significantly

modulated by changing metal workfunction. Line (a) is the ideal (Schottky)

limit, showing considerable deviation from experimental results. . . . . . . . . . . . 9

2.5 (From [16]) The electrically active Sb profile shown by the SRP line indicates

n-type dopant activation beyond 1020

cm-3

in germanium using laser

annealing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

2.6 (From [16]) Specific contact resistivity of 7×10-7

Ωcm2 was reported using

laser annealing of Sb-implanted germanium. . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

2.7 (From [17]) High dopant activation using coimplantation of P and Sb shown

by the SRP line. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

2.8 (From [21]) Enhanced phosphorus dopant activation due to coimplantation of

fluorine (blue line). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

Page 12: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xii

2.9 (From [22]) Fluorine can reduce the defects caused by Ge self-implantation

(red line to blue line). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

2.10 Typical distribution of acceptor-like and donor-like states within the

semiconductor band gap, with the charge neutrality level indicated. . . . . . . . . . 17

2.11 (From [27]) Charge transfer between semiconductor band gap states and metal

causes the metal EF to align with the charge neutrality level. . . . . . . . . . . . . . . 17

2.12 Strong metal Fermi level EFM pinning near the Ge valence band results in a

large specific contact resistivity to n-type Ge. . . . . . . . . . . . . . . . . . . . . . . . . . . 18

2.13 (From [30]) By inserting silicon nitride between metal and n-type Si, contact

resistance can be reduced. There is an optimal thickness before contact

resistance increases again due to tunneling resistance. . . . . . . . . . . . . . . . . . . . . 19

2.14 (From [30]) The inserted insulator reduces MIGS, allowing the metal Fermi

level to rise closer to the conduction band and reducing the effective barrier

height. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

2.15 (From [33]) Si3N4 MIS contacts on germanium. . . . . . . . . . . . . . . . . . . . . . . . . 21

2.16 Tradeoff between lower ΦBN and tunneling resistance results in an optimum

dielectric thickness. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

2.17 (From [50]) Tunneling transport simulations on Al2O3 (left) and Si3N4 (right)

MIS contacts on n-type Ge. It is very difficult to achieve low contact

resistivity using these materials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

2.18 Conduction band offset is an essential material selection criterion since

tunneling resistance in MIS contacts must be minimized. TiO2 is identified as

a promising material in this aspect. CBO data from [27]. . . . . . . . . . . . . . . . . . 24

2.19 (From [50]) TiO2 breaks the tradeoff between lower ΦBN and tunneling

resistance due to the low CBO to germanium. Even relatively thick TiO2 can

be used. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

2.20 (From [55]) On n-type Ge, rectifying behavior changes to ohmic behavior

after sulfur passivation, while the opposite happens on p-type Ge, indicating a

decrease in ΦBN and increase in ΦBP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.21 (From [58]) Increasing As dose decreases effective ΦBN, causing the n-type

Ge contact to become more ohmic. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Page 13: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xiii

3.1 SRPES spectra for (a) TiO2 and Ge valence bands, (b) Al2O3 and Ge valence

bands, and (c) Al 2p peak. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

3.2 Band offsets of the Al2O3/Ge and TiO2/Ge systems. . . . . . . . . . . . . . . . . . . . . . 30

3.3 Schematic cross-section of MIS contacts fabricated on heteroepitaxially

deposited Ge on Si. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

3.4 SRP profile showing electrically active n-type doping concentration of in situ

doped epitaxially-grown Ge on Si. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

3.5 High-resolution TEM images of the TiO2 MIS contact. . . . . . . . . . . . . . . . . . . . 33

3.6 TiO2 MIS contacts on ~1018

cm-3

moderately-doped n- and p-type Ge. . . . . . . . 34

3.7 Al2O3 MIS contacts on ~1018

cm-3

moderately-doped n- and p-type Ge. . . . . . . 34

3.8 A decrease in ΦBN is accompanied by an increase in ΦBP. . . . . . . . . . . . . . . . . . 35

3.9 Richardson plot of select TiO2 MIS devices, showing a significantly reduced

extracted effective ΦBN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

3.10 Band diagrams of Al2O3 and TiO2 MIS contacts. While both can reduce the

effect electron barrier height, the lower tunnel resistance of TiO2 allows TiO2

MIS contacts to outperform those using Al2O3. . . . . . . . . . . . . . . . . . . . . . . . . . 37

3.11 TiO2 MIS contacts using (a) Al and (b) Pt as the metal display similar I-V

characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

3.12 Relative specific contact resistivity of various MIS contacts. TiO2 MIS

contacts achieve about 1000× improvement while Al2O3 MIS contacts only

achieve roughly 10× improvement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

3.13 Schematic effect of CBO and ΦBN on specific contact resistivity. . . . . . . . . . . . 40

3.14 Schematic of a circular transmission line method test structure for measuring

ρC. The equivalent circuit is shown in (b). The use of 4 probes negates the

effect of the probe resistance RPROBE on the measurement. . . . . . . . . . . . . . . . . 42

3.15 Higher dose of 1.8×1015

cm-2

does not result in higher active dopant

concentration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

3.16 Schematic cross-section of the circular TLM structure used for ρC

measurement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

Page 14: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xiv

3.17 Measured ρC of Al2O3 and TiO2 MIS contacts on n+ Ge. Al2O3 MIS contacts

are immediately limited by tunneling resistance. TiO2 MIS contacts achieve

roughly 70× improvement in ρC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

3.18 The semiconductor doping affects the MIS contact characteristics. While

TiO2 does not add tunneling resistance, it can still limit the contact if the

resistance of TiO2 becomes similar to the resistance of the Schottky barrier for

highly doped substrates. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

3.19 Schematic diagram of ρC versus interfacial layer thickness for different doping

levels, showing the effects of different resistances. The red double lines are

for Al2O3, while the blue single lines are for TiO2. The dotted lines are for the

Al2O3 tunneling resistance and the TiO2 series resistance, which represents a

lower bound on ρC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

3.20 TiO2 MIS contact ρC compared to TiO2 series resistance. . . . . . . . . . . . . . . . . . 50

3.21 Gate last process flow for Ge NMOSFET with TiO2 integrated on n+

source/drain. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

3.22 ID-VD characteristics of gate last Ge NMOSFET with TiO2 MIS contacts. . . . . 52

3.23 Process flow and schematic cross section of gate first Ge NMOSFET

incorporating TiO2 MIS contacts and its ID-VD characteristics. . . . . . . . . . . . . . 53

3.24 (From [67]) Operating principle of a metal source/drain MOSFET. The p-

channel device is drawn here and compared with a conventional doped

source/drain MOSFET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.25 (From [72]) Asymmetric barriers at the source and drain junctions of a MSM

photodetector can reduce dark current. However, the improvement is limited

due to metal Fermi level pinning. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

3.26 Schematic of asymmetric MSM photodetector incorporating TiO2 MIS contact

and its accompanying dark current reduction. . . . . . . . . . . . . . . . . . . . . . . . . . . 57

3.27 (From [75]) (a) Schematic of spin MOSFET. (b) Simulated ID-VD

characteristics for parallel and antiparallel source/drain ferromagnet

orientations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

Page 15: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xv

4.1 TiO2 MIS contacts also show a reduction in ΦBN on Si, GeSn, GaAs (from

[47]), and GaSb (from [48]), suggesting similar mechanisms as the TiO2/Ge

system. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

4.2 Normalized C-V characteristics of SiO2 and TiO2/SiO2 capacitors with

different metals. There is a strong indication of metal Fermi level pinning at

the metal/TiO2 interface due to the lack of flatband voltage modulation. . . . . . 64

4.3 Flatband condition of the TiO2/SiO2 capacitor, showing the location of

possible dipoles. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

4.4 Schematic band diagrams at flatband conditions showing band alignments of

TiO2 MIS contacts and conventional contacts, both with Fermi level pinning. . 68

4.5 Calculated ρC as a function of metal effective workfunction, indicating the

presence of a dipole at the metal/TiO2 interface. . . . . . . . . . . . . . . . . . . . . . . . . 69

4.6 (a) A difference in oxygen areal density can result in an interfacial dipole,

which shifts band alignments due to a rapid change in the vacuum level (E0).

(b) In the metal/TiO2 case, relaxation of oxygen atoms at the interface causes

a positive dipole and shifts the metal Fermi level (EFM) towards E0. Solid

lines indicate band alignments before oxygen transfer and dotted lines indicate

band alignments after oxygen transfer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

4.7 (From [85]) Reduction in specific contact resistivity can be caused by fixed

charge in the oxide. In this case, the effect of bulk (left) and interface (right)

fixed charge in Al2O3 MIS contacts is simulated. . . . . . . . . . . . . . . . . . . . . . . . . 72

4.8 TiO2 MIS contacts with Pt or Ti metal behave differently after 300°C FGA. . . 73

4.9 Simulated TiO2 MIS contact resistivity (a) with and (b) without series

resistance on 1019

cm-3

n-type Ge. Stars are effective metal workfunctions

inferred from experiments, with the dotted line as a guide. The specific

contact resistivity contours are labeled in units of Ωcm2. . . . . . . . . . . . . . . . . . . 75

4.10 Metal effective workfunction at the metal/TiO2 interface for various oxide

thicknesses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

4.11 Simulated ρC with and without series resistance for TiO2 MIS contacts on

1019

cm-3

n-type Ge. Effective metal workfunctions of Fig. 4.10 are assumed

for this calculation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

Page 16: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xvi

4.12 (a) Simulated ρC for TiO2 MIS contacts with different effective workfunctions

(EWF) with and without series resistance (RS). (b) The improvement factor of

these MIS contacts by eliminating series resistance. . . . . . . . . . . . . . . . . . . . . . 78

4.13 Simulated TiO2 MIS contact resistivity (a) with and (b) without series

resistance on 1020

cm-3

n-type Ge. Stars are effective metal workfunctions

inferred from experiments, with the dotted line as a guide. The specific

contact resistivity contours are labeled in units of Ωcm2. . . . . . . . . . . . . . . . . . . 80

4.14 Simulated ρC with and without series resistance for TiO2 MIS contacts on

1020

cm-3

n-type Ge. Effective metal workfunctions of Fig. 4.10 are used. . . . . 81

4.15 (a) Simulated ρC for TiO2 MIS contacts with different semiconductor doping

with and without series resistance (RS). Effective metal workfunction was

taken to be 4.1eV. With series resistance, the 1019

/cm3 and 10

20/cm

3 lines are

nearly indistinguishable, implying that series resistance is dominating in this

case. (b) The improvement factor of these MIS contacts by eliminating series

resistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

4.16 TiO2 resistivity can be reduced by annealing in FGA for 5 minutes, from

37.5Ωcm (as deposited) down over three orders of magnitude to 0.021Ωcm

(500°C). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

4.17 Simulated TiO2 MIS contact resistivity on (a) 1019

cm-3

and (b) 1020

cm-3

n+ Ge.

The specific contact resistivity contours are labeled in units of Ωcm2.

Different colors correspond to different TiO2 resistivity: blue (2.3Ωcm), green

(0.077Ωcm), and red (0.021Ωcm). The black line is the ideal ρC without

series resistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

4.18 Electrical characteristics of ITO MIS contacts on ~1018

cm-3

n-type Ge.

Significant increase in current density is observed indicating a reduction in

ΦBN without introducing tunneling or series resistance. . . . . . . . . . . . . . . . . . . . 88

4.19 (From [94]) I-V characteristics of ZnO MIS contacts on n-type Ge. . . . . . . . . . 89

4.20 Simulated ρC as a function of n-type Ge doping level for a variety of effective

metal workfunctions using 1nm TiO2 MIS contacts. The dotted gray line is

for a metal/Ge contact, where the effective metal workfunction is pinned at

4.58eV. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

Page 17: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

xvii

5.1 Temperature window for the low resistance phase of NiGe is between 300°C

and 450°C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

5.2 Schematic cross-section of TLM structures used to extract ρC. Germanide

contacts are shown on the left and conventional metal contacts are shown on

the right. NiGe formation consumes some germanium, resulting in a slightly

recessed contact. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

5.3 (From [86]) Electrically active n-type dopant profile as measured by SRP for

P only (blue) and P+Sb coimplant (red) samples. . . . . . . . . . . . . . . . . . . . . . . . 97

5.4 (From [86]) SIMS profile for NiGe contacts on P+Sb coimplanted samples. P

and Sb segregation at the NiGe/Ge interface can be seen, where the interface

was determined from the Ni and Ge concentrations. . . . . . . . . . . . . . . . . . . . . . 99

5.5 (From [86]) Simulated ρC versus n-type Ge doping concentration for various

barrier heights. NiGe contacts show an apparent 0.1eV reduction in ΦBN

compared to the pinned Al/Ti contacts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

5.6 (From [86]) Schematic diagram of (a) metal/Ge and (b) NiGe/Ge contacts.

NiGe contacts with dopant segregation introduce a small dipole which shifts

the germanide Fermi level towards the germanium conduction band. . . . . . . . 101

5.7 Effect of sulfur segregation to the NiGe/Ge interface causes a reduction in

effective ΦBN. With increased levels of sulfur, the Schottky diode reverse

current increases and becomes more ohmic. . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

Page 18: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

1

Chapter 1

Introduction

1.1 Motivation

The silicon metal-oxide-semiconductor field-effect transistor (MOSFET) has been the

building block of integrated circuits (IC) for several decades. Historically, transistor

performance was improved simply by scaling the transistor dimensions by 0.7× in every

technology node, in what has become known as Moore’s Law [1]. However, the

performance gains from classical scaling have diminished for two main reasons. First,

simply decreasing the channel length no longer improved MOSFET drive current, and

second, the effect of parasitics became proportionally greater. To address the first issue,

it has become necessary to introduce other performance boosters such as strain [2-4]

since the 90nm node. Alternative channel materials have also generated significant

interest due to the higher carrier mobilities compared to silicon. Table 1.1 lists electron

and hole mobilities for several semiconductors in bulk material.

Table 1.1: Carrier mobilities for several semiconductors

Mobility

(cm2V

-1s

-1)

Si Ge GaAs In0.53Ga0.47As InAs GaSb

Electron 1400 3900 8500 12,000 40,000 3000

Hole 450 1900 400 300 500 1000

Germanium is particularly attractive because its electron and hole mobilities are both

higher than silicon. Unlike As-based III-V semiconductors with its high electron

mobility but low hole mobility, the use of Ge allows for complementary metal-oxide-

Page 19: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

2

semiconductor (CMOS) transistors in one material system, greatly simplifying the

manufacturing process. Furthermore, since Ge is a group IV element like Si, it is

completely compatible with current manufacturing facilities as it does not act as a dopant

impurity. It is therefore critical to study all aspects of germanium transistor processes

and device characteristics.

As mentioned earlier, parasitic series resistance forms an increasingly larger portion

of the total device resistance, leading to lower MOSFET drive current. Channel

resistance decreases due to shorter channel lengths, but parasitic resistance actually

increases (Fig. 1.1). As a result, in highly scaled devices parasitic resistance may begin

to dominate, leading to significant reduction in transistor drive current.

Figure 1.1: (From [5]) For planar MOSFETs, parasitic resistance increases with scaling,

becoming a larger portion of the total device resistance. Beyond 20nm, the parasitic

resistance may even begin to dominate device characteristics.

While Ge p-channel MOSFETs (PMOSFETs) have been demonstrated with superior

performance, n-channel MOSFETs (NMOSFETs) have suffered from poor drive current.

One reason for this is the particularly high parasitic resistances in Ge NMOSFETs. In

Page 20: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

3

general, parasitic resistance can be divided into the contact resistance between the metal

and semiconductor and the junction resistance of the doped semiconductor source/drain

regions (Fig. 1.2). The metal Fermi level (EF) at metal/Ge interfaces lies close to the Ge

valence band (EV), leading to a large barrier to n-type Ge and therefore a high contact

resistance. Furthermore, n-type dopants in Ge generally have electrically active

concentrations in the low 1019

cm-3

range, which results in a high junction resistance.

These parasitics must be reduced in order to improve Ge NMOSFET performance. This

thesis focuses on n-type Ge contact resistance reduction.

Rc

Rj

GateMetal

Rparasitic = Rc + Rj

Figure 1.2: The parasitic series resistance in a MOSFET can be divided into two main

components: contact resistance (Rc) and junction resistance (Rj).

1.2 Thesis Organization

This thesis addresses the critical issue of high contact resistance to n-type germanium,

especially in the context of germanium high-speed logic MOSFETs. In Chapter 2, the

current state-of-the-art in Ge n-type contacts are reviewed. Methods include high dopant

activation techniques, metal-insulator-semiconductor (MIS) contacts, chalcogen

passivation, and dopant segregation. Chapter 3 introduces the use of the TiO2 dielectric

in MIS contacts. The use of TiO2 resulted in a significant reduction in tunneling

Page 21: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

4

resistance compared to traditional MIS contacts. Chapter 4 explores the physics of

contact resistance reduction in MIS contacts. Dielectric series resistance was shown to

affect MIS contact performance. Chapter 5 discusses nickel germanide contacts,

including the use of co-doping and chalcogen/dopant segregation. Finally, Chapter 6

summarizes the main contributions of this work and proposes some future work.

Page 22: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

5

Chapter 2

Methods to Reduce Ge N-Type Contact Resistance

As mentioned in Section 1.1, germanium has the potential to replace silicon as the

channel material due to its high electron and hole mobilities. However, one of the most

pressing issues is the need to reduce the Ge parasitic resistances.

2.1 Properties of the Ge Material System

In order to reduce junction resistance it is necessary to dope the source and drain

regions as heavily as possible. In general, the junction resistance will be related to the

sheet resistance Rsh of the doped region; for a uniform n-type region, it can be expressed

as:

jDn

shxNq

R

1 (2.1)

where q is the electronic charge, μn is the electron mobility, ND is the doping

concentration, and xj is the junction depth. Since the junction depth xj is typically subject

to electrostatic constraints for controlling short-channel effects, the only process

parameter is the doping concentration, ND. For Si, doping levels in excess of 1020

cm-3

are

easily achieved. In germanium, however, electrically active n-type dopant concentrations

are limited to the low 1019

cm-3

range [6]. Fig. 2.1 shows the difficulty in completely

activating n-type dopants in Ge. Chemical concentration was measured using secondary

ion mass spectroscopy (SIMS) while the electrically active concentration was measured

Page 23: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

6

using the spreading resistance profiling (SRP) technique. Although the solid solubility of

phosphorus is above 1020

cm-3

, the electrically active concentration after rapid thermal

annealing (RTA) is only about 2×1019

cm-3

. Similarly low levels of n-type doping are

achieved using arsenic and antimony.

Figure 2.1: (From [6]) SIMS and SRP profiles show chemical and electrically active

dopant concentrations, respectively. P-type Ge doped with boron show electrically active

concentration above 1020

cm-3

. However, n-type Ge doped with phosphorus show

electrically active concentration of 2×1019

cm-3

, even though the chemical concentration is

higher. Similar results are obtained for As and Sb n-type dopants in Ge.

This problem is further exacerbated by the fact that n-type dopants diffuse quite

rapidly in germanium. The fundamental reason behind the low dopant activation and fast

diffusion is the fact that defects in germanium are dominated by vacancies and behave

electrically as p-type dopants. It has been determined that donor diffusion was greatly

enhanced by vacancies [7]. Furthermore, these vacancies tend to form donor-vacancy

complexes which are more energetically favorable compared to unbound vacancies [8].

The n-type dopants are effectively compensated by the p-type vacancies by the formation

of these complexes.

Page 24: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

7

Figure 2.2: (From [9]) Specific contact resistivity as a function of doping density and

electron barrier heights for n-type Si.

In addition to the high sheet resistance at the source/drain junctions, the low

electrically active n-type dopant concentration also leads to a contact resistance between

the metal and n-type Ge. Contact resistance Rc can be related to process parameters:

AR cc / (2.2)

N

mSBc

*2exp

(2.3)

Here, A is the contact area, ρc is the specific contact resistivity, ΦB is the barrier height

from the metal to the semiconductor majority band, ℏ is the reduced Planck’s constant, εs

is the semiconductor permittivity, m* is the carrier effective mass, and N is the

semiconductor majority carrier density at the surface. The inability to achieve high n-

type doping in germanium has a deleterious effect on contact resistance. In order to get

Page 25: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

8

appreciable current flow at the metal-semiconductor contact, the barrier must be

sufficiently thin to allow for carrier tunneling, which is achieved by increasing doping.

To get a specific contact resistivity below 10-7

Ωcm2 which is required for scaled devices,

Fig. 2.2 predicts that a doping density greater than 1020

cm-3

is needed [9]. This is a

significant challenge since germanium n-type doping is typically limited to low 1019

cm-3

as previously discussed.

The barrier height ΦB can also be reduced in order to reduce contact resistance, as

shown in Fig. 2.2. Ideally, for an n-type contact, the electron barrier height ΦBN can be

modulated by changing the metal:

SMBN (2.4)

where ϕM and χS are the metal workfunction and semiconductor electron affinity,

respectively. Unfortunately, the metal Fermi level (EF) is typically pinned within the

semiconductor band gap, a phenomenon known as Fermi level pinning. For Si, the

pinning location is about one-third of the band gap above EV, while in Ge it is pinned

about 0.08eV above EV [10-11] as shown in Fig. 2.3.

Figure 2.3: (From [10]) At metal/semiconductor interfaces, the metal Fermi level lies

within a narrow range of energies within the semiconductor band gap due to Fermi level

pinning.

Page 26: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

9

This leaves a large electron barrier of about 0.58eV for n-type Ge. Fermi level

pinning is much stronger in the Ge case due to the smaller band gap and higher dielectric

constant compared to Si. Fig. 2.4 compares the measured electron barrier heights at

metal/n-Ge contacts for a variety of metals compared to the ideal case (i.e. the Schottky

limit) given by Equation 2.4.

Figure 2.4: (From [11]) The measured electron barrier height is not significantly

modulated by changing metal workfunction. Line (a) is the ideal (Schottky) limit,

showing considerable deviation from experimental results.

With conventional ion implantation and RTP, contact resistance to n-type Ge is very

high at around 10-4

Ωcm2 [12, 13]. It is worth mentioning at this time that contact

resistance is expected to be the least scalable among the components of parasitic

resistance. By the 32nm node, contact resistance may contribute close to 70% of the total

parasitic series resistance [14]. The Fermi level pinning problem will be further

discussed in Section 2.3. Nevertheless, it is clear that the Schottky barrier must be

reduced in order to achieve low contact resistance.

Page 27: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

10

2.2 Dopant Activation Techniques

This section reviews some methods that have been used to increase the n-type dopant

concentration beyond the conventional low 1019

cm-3

levels.

2.2.1 Laser Annealing

Laser annealing for dopant activation is a natural evolution of the annealing

techniques. In order to reduce dopant diffusion, a shorter anneal time is desirable.

Furnace anneal times were in the minutes regime, which gave way to rapid thermal

processing (RTP) in the seconds regime. More advanced techniques such as flash and

spike annealing could achieve annealing times in the millisecond regime. However, for

scaled technologies, this would still result in significantly diffused source/drain regions.

Recently, there has been considerable effort to use laser annealing to achieve very intense,

microsecond-length optical pulses. Because of the very short pulse duration, dopants

may be supersaturated in substitutional sites [15], leading to very high electrically active

doping concentrations. However, it is still unclear whether these metastable conditions

can be maintained during subsequent device fabrication thermal steps and product

reliability.

The ability to achieve high doping is a great incentive to use laser annealing on

germanium as in the work of Thareja et al. [16] and Martens et al. [12]. In the work by

Thareja et al. [16], laser annealing was used on Sb-implanted germanium. Antimony was

chosen because it is a heavy atom and could have a shallow implant at reasonable

energies. Over 1020

cm-3

dopant activation was achieved using a laser fluence of 0.7Jcm-2

as shown in Fig. 2.5. The laser fluence must be chosen carefully. With a lower laser

Page 28: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

11

fluence there is incomplete melting of the surface germanium layer, resulting in

recrystallization with defects. Because of the high doping, this also resulted in a low

specific contact resistivity of 7×10-7

Ωcm2 as shown in Fig. 2.6, which was the lowest

reported value at the time.

0 500 1000 1500 200010

18

1019

1020

1021

1022

Co

nc

en

tra

tio

n (

cm

-3)

Depth (A)

SIMS - as implanted

SIMS - 0.4 J/cm2

SIMS - 0.2 J/cm2

SIMS - 0.7 J/cm2

SRP - 0.7 J/cm2

Sb - 10keV, 5 x 1015 cm-2

Figure 2.5: (From [16]) The electrically active Sb profile shown by the SRP line

indicates n-type dopant activation beyond 1020

cm-3

in germanium using laser annealing.

Figure 2.6: (From [16]) Specific contact resistivity of 7×10-7

Ωcm2 was reported using

laser annealing of Sb-implanted germanium.

Page 29: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

12

In the work of Martens et al. [12], laser annealing was also used on arsenic-implanted

germanium. After ion implant and laser activation, Ni was deposited and annealed to

form NiGe. The achieved dopant activation levels was not shown, however the contact

resistance was reduced to 2.5×10-6

Ωcm2. This reduction in the contact resistance was

partially attributed to the dopant snowplow effect by NiGe formation. Since the

formation of NiGe consumes the top layer of Ge, the arsenic dopant atoms pile up at the

NiGe/Ge interface. This causes the effective dopant concentration at the contact to be

higher. Also, there is recent work that suggests dopant segregation at these interfaces

may reduce the Schottky barrier height. This effect will be discussed in more detail in

Section 2.5.

2.2.2 Phosphorus & Antimony Coimplantation

With a single dopant species the doping concentration is limited to the low 1019

cm-3

range using RTA. However, Kim et al. [17] from IBM were able to achieve 1020

cm-3

doping concentration using both phosphorus and antimony together in conjunction with

standard RTA at 500°C. A phosphorus implant is followed by a shallow antimony

implant, and if the energies and doses are chosen carefully, high activation can be

achieved, as shown in Fig. 2.7.

Page 30: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

13

Figure 2.7: (From [17]) High dopant activation using coimplantation of P and Sb shown

by the SRP line.

While it is not yet clear why this approach works, Kim et al. [17] speculates that the

small substitutional phosphorus atoms induce a local tensile strain on the germanium

lattice. To compensate, the larger antimony atom is used to apply local compressive

strain. As a result the germanium lattice is less disrupted by the presence of dopant

atoms. This theory is given credence by the fact that coimplantation using phosphorus

and arsenic was not able to enhance dopant activation [18] suggesting that a large donor

atom such as Sb is required. Using Al (95nm)/Ti (5nm) contacts, specific contact

resistivity of 8×10-7

Ωcm2 was obtained [19], which is comparable to the values obtained

using laser annealing of Sb. This method is particularly attractive since this approach is

quite simple to implement and does not require the expensive laser anneal step, using a

simple RTP system for the annealing. Furthermore, the semiconductor system is in

thermal equilibrium, which avoids the issues of metastability and its associated process

integration and reliability challenges. This method will be further exploited in Chapter 5.

Page 31: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

14

2.2.3 Fluorine Vacancy Passivation

In Section 2.1, it was pointed out that the electrically p-type vacancy defects in

germanium were responsible for the deactivation of n-type dopants. It was recently

theorized [20] using density functional theory (DFT) that fluorine can form fluorine-

vacancy clusters. These clusters are more energetically favorable than both unbound

vacancies as well as donor-vacancy clusters, thereby decreasing the amount of dopant

deactivation. Experimental work by Jung et al. [21] showed that co-implantation of

phosphorus and fluorine indeed enhanced dopant activation slightly to around 1020

cm-3

,

although the surface was still limited to about 3×1019

cm-3

, as shown in Fig. 2.8. This

may be due to the fact that there are more defects closer to the surface due to the

disruption in the crystal lattice.

Figure 2.8: (From [21]) Enhanced phosphorus dopant activation due to coimplantation

of fluorine (blue line).

In order to further study the effect of fluorine, Jung et al. [22] also self-implanted Ge

in order to artificially introduce defects. Since these defects are electrically active, they

Page 32: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

15

can be measured using SRP as p-type conductivity. Fig. 2.9 is the SRP plot showing a

large number of defects with the Ge self-implant (red line). Upon an appropriate fluorine

implant and annealing the defects are significantly reduced (light blue line), almost to the

level of the sample without the self-implant (yellow line). This experiment shows the

efficacy of fluorine in passivating the bulk defects in germanium; when phosphorus and

fluorine are coimplanted, the resulting dopant levels are higher than with phosphorus

alone.

Figure 2.9: (From [22]) Fluorine can reduce the defects caused by Ge self-implantation

(red line to blue line).

This method is attractive since fluorine can also be incorporated in high-k/Ge gate

stacks to improve capacitance-voltage (C-V) frequency dispersion, interface state density

(Dit), and gate leakage [23, 24].

2.3 MIS Contacts

Section 2.2 discussed various methods to increase the n-type doping in Ge. In this

section, the focus is on metal-insulator-semiconductor (MIS) contacts, which aim to

Page 33: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

16

reduce the electron barrier height from the metal to the semiconductor. The main idea is

to unpin the metal Fermi level by inserting an ultrathin dielectric between the metal and

semiconductor.

2.3.1 Fermi Level Pinning

When a metal is placed in contact with a semiconductor, a Schottky barrier is formed.

In the ideal theory by Schottky and Mott, the n-type barrier height is predicted by

Equation 2.4. Using a metal with a large workfunction would generate a large barrier,

and the metal-semiconductor contact would be rectifying. Conversely, using a metal with

a small workfunction would create a small or even negative barrier, and the contact

would be ohmic. However, at any semiconductor surface, as in a metal-semiconductor

contact, the crystal lattice is severely disrupted, giving rise to surface states that occupy

energies within the semiconductor band gap. Furthermore, the presence of the metal also

creates metal-induced gap states (MIGS) within the semiconductor band gap [25, 26, 10].

MIGS is the result of metal electron wave functions that penetrate into the semiconductor,

resulting in gap states. These interface states give rise to an apparent pinning of the metal

Fermi level at metal-semiconductor contacts.

These states are characterized as donor-like or acceptor-like depending on their

charge. Donor-like states typically occupy the lower portion of the band gap whereas

acceptor-like states typically occupy the upper portion as depicted in Fig. 2.10. The

energy where there is an equal density of acceptor-like and donor-like states is called the

charge neutrality level (ECNL). Donor-like states above the Fermi level are empty and

therefore positively charged; acceptor-like states below the Fermi level are filled and

Page 34: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

17

therefore negatively charged. If the metal EF is aligned with the semiconductor ECNL, the

positive charges from empty donor-like states is canceled out by negative charges from

filled acceptor-like states. The interface is therefore charge neutral.

Acceptor-like

Donor-like

EC

EV

Ele

ctro

n E

ner

gy

ECNL

Figure 2.10: Typical distribution of acceptor-like and donor-like states within the

semiconductor band gap, with the charge neutrality level indicated.

In the ideal Schottky model, the metal EF may lie above or below the ECNL at

equilibrium. In the presence of gap states, however, the metal EF tends to line up with

ECNL. Suppose the metal EF lies below ECNL, as in Fig. 2.11, electrons would be

transferred from the semiconductor to the metal, which has the effect of raising the metal

EF towards ECNL [27]. The opposite happens when the metal EF lies above ECNL.

Figure 2.11: (From [27]) Charge transfer between semiconductor band gap states and

metal causes the metal EF to align with the charge neutrality level.

Page 35: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

18

The degree to which the metal EF is pinned is known as the pinning factor S [28]. In

the presence of these interface states, the effective metal workfunction ϕM,eff can be

written as:

CNLMCNLeffM S , (2.5)

where ϕM is the metal workfunction and ϕCNL is the charge neutrality level referenced to

the vacuum level. If S = 0 (Bardeen limit), the contact is completely pinned; if S = 1

(Schottky limit), the contact is ideal with no pinning. For Ge, pinning factor S ranges

from 0.02 to 0.05 [10, 11] indicating very strong pinning. The charge neutrality level lies

about 0.03eV to 0.09eV above the valence band [11, 29]. These two facts combined give

rise to the very large electron Schottky barrier at metal contacts to n-type Ge, as depicted

in Fig. 2.12. Because of this, there is a strong motivation to use techniques for depinning

the Fermi level on n-type Ge.

EFM pinned near Ge Ev

Large ΦBN

ρc > 10-4 Ωcm2

Metal N-Type Ge

Figure 2.12: Strong metal Fermi level EFM pinning near the Ge valence band results in

a large specific contact resistivity to n-type Ge.

Page 36: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

19

2.3.2 Fermi Level Depinning using Ultrathin Dielectrics

The method of depinning the Fermi level by inserting an ultrathin dielectric between

the metal and semiconductor to form an MIS contact was first demonstrated by Connelly

et al. [30] in 2004. In that work, Si3N4 was used to depin the Fermi level on silicon

substrates to reduce specific contact resistivity, as shown in Fig. 2.13. By inserting a

large band gap material (in this case, Si3N4) between the metal and semiconductor, the

metal electron wave function penetration is reduced, leading to a reduction in MIGS.

Fermi level pinning is then partially mitigated, as depicted schematically in Fig. 2.14.

Figure 2.13: (From [30]) By inserting silicon nitride between metal and n-type Si,

contact resistance can be reduced. There is an optimal thickness before contact resistance

increases again due to tunneling resistance.

Figure 2.14: (From [30]) The inserted insulator reduces MIGS, allowing the metal

Fermi level to rise closer to the conduction band and reducing the effective barrier height.

Page 37: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

20

Another explanation for these results involves electronic dipoles, which can be

formed at any interface. In a MIS contact, there are metal-insulator and insulator-

semiconductor interfaces. Additionally, there may be an insulator-insulator dipole if two

different dielectrics are used or if there is a native oxide. According to the bond

polarization theory, the metal-semiconductor interface will have an electronic dipole

created by interfacial energy relaxation [31, 32]. This interfacial dipole alters the

effective metal workfunction and manifests as Fermi level pinning. By inserting a

dielectric as in a MIS contact, this dipole is replaced by other dipoles caused by the new

interfaces. If these dipoles have the correct polarity, they will effectively shift the metal

workfunction towards EC, thereby causing a decrease in contact resistance with the

insertion of an insulator.

An ultrathin layer of silicon nitride can also be used in Ge MIS contacts for Fermi

level depinning [33]. In Fig. 2.15, as the thickness of Si3N4 is increased for n-Ge, the

current-voltage (I-V) characteristics change from rectifying to ohmic, indicating a

decrease in the effective electron barrier height. Beyond the optimum thickness of 2nm,

the current drops due to the added resistance due to tunneling through the dielectric. For

p-Ge, the current simply drops due to both a higher hole Schottky barrier (ΦBP) as well as

added tunneling resistance. These results are typical of depinning experiments using MIS

contacts. Table 2.1 summarizes the experimental work on MIS contacts in the literature,

including our own work and the subject of this thesis. A wide variety of dielectrics can

depin the Fermi level on both group-IV and III-V semiconductors, making this a

Page 38: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

21

powerful technique. For bilayer dielectric stacks, the dielectric closest to the metal is

written first.

Figure 2.15: (From [33]) Si3N4 MIS contacts on germanium.

Table 2.1: Summary of MIS Contact Schemes in Literature

Semiconductor Dielectric References

Si Si3N4

AlOx

LaOx/SiO2, AlOx/SiO2

TiO2

Connelly, 2004 [30]

Coss, 2011 [34]

Coss, 2009 [35]

This work (Section 4.1)

Ge Si3N4

Ge3N4

GeOx

GeOx, AlxOy

Al2O3

MgO

Y2O3

TiO2

Kobayashi, 2009 [33]

Lieten, 2008 [36]

Takahashi, 2007 [37]

Nishimura, 2008 [38]

Zhou, 2008 [39]

Lee, 2010 [40]; Zhou, 2010 [41]

Li, 2012 [42]

This work [43-45]

Ge1-xSnx TiO2 This work (Section 4.1)

GaAs Si3N4, Al2O3

HfO2, ZrO2, TiO2

Al2O3/TiO2

Hu, 2010 [46]

Hu, 2011 [47]

Hu, 2011 [47]

In1-xGaxAs Al2O3 Hu, 2010 [46]

GaSb TiO2 Yuan, 2011 [48]

Page 39: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

22

2.3.3 Resistance Due to Tunneling Through the Dielectric

Although MIS contacts can reduce the effective barrier height, the inserted dielectric

adds a tunneling resistance to the overall contact resistance. This results in a tradeoff as

depicted schematically in Fig. 2.16.

Con

tact

Res

ista

nce

Dielectric Thickness

Figure 2.16: Tradeoff between lower ΦBN and tunneling resistance results in an

optimum dielectric thickness.

We studied this tradeoff in more detail using tunneling transport simulations.

Assuming parabolic bands and Fermi-Dirac statistics, the Tsu-Esaki tunneling model [49]

gives the current density through a tunneling contact as:

Max

Min

E

EZZZTOT dN

h

qmJ

3

*4 (2.6)

kT

kTkTN

ZFS

ZFM

Z

exp1

exp1

ln (2.7)

Page 40: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

23

where m* is the density of states effective mass, q is the electronic charge, h is Planck’s

constant, η is the tunneling probability, εZ is the longitudinal electron energy, k is the

Boltzmann constant, T is the absolute temperature, and εFM and εFS are the metal

and semiconductor Fermi levels respectively. The tunneling probability η is calculated

by discretizing the insulator and semiconductor depletion region and using the transfer

matrix formalism. More details can be found in Roy et al. [50] and his thesis [51]. The

specific contact resistivity can then be calculated based on its definition:

0

V

CJ

V (2.8)

where V is the small applied voltage, and J is the calculated tunneling current. Fig. 2.17

shows the simulation results for Al2O3 and Si3N4 MIS contacts on 1019

cm-3

doped n-Ge.

Figure 2.17: (From [50]) Tunneling transport simulations on Al2O3 (left) and Si3N4

(right) MIS contacts on n-type Ge. It is very difficult to achieve low contact resistivity

using these materials.

The implication of these simulated results is that it is very difficult to achieve low

specific contact resistivity (<10-7

Ωcm2). MIS contacts using these materials would need

to fully depin the metal Fermi level (S about 1) with only 0.5nm of dielectric or thinner.

Beyond this thickness, the tunneling resistance dominates and the advantages of a lower

ΦBN are lost. One way to break this tradeoff is to use a dielectric with a lower conduction

Page 41: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

24

band offset (CBO). Fig. 2.18 shows the CBO of common dielectrics, and TiO2 emerges

as a strong contender due to its roughly zero CBO. The simulation results shown in Fig.

2.19 confirm this intuition. As long as sufficient depinning is achieved (S > 0.8), it

should be possible to achieve less than 10-7

Ωcm2 specific contact resistivity. Even up to

2nm of TiO2 could theoretically be used since there is no tunnel barrier. We study TiO2

MIS contacts experimentally in Chapters 3 and 4.

SiO2 Al2O3 Si3N4 La2O3 Y2O3 HfO2 ZrO2 Ta2O5 TiO2

TiO2 promising material due to low CBO

Figure 2.18: Conduction band offset is an essential material selection criterion since

tunneling resistance in MIS contacts must be minimized. TiO2 is identified as a

promising material in this aspect. CBO data from [27].

Figure 2.19: (From [50]) TiO2 breaks the tradeoff between lower ΦBN and tunneling

resistance due to the low CBO to germanium. Even relatively thick TiO2 can be used.

Page 42: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

25

2.4 Chalcogen Passivation

Chalcogens are the elements in column 16 of the periodic table and include oxygen,

sulfur, selenium, and tellurium. Semiconductor surfaces will normally oxidize in ambient

conditions in order to minimize surface energy. The surface may also relax by

reconstructing the surface, although this likely results in bonds that differ significantly

from the bulk. Furthermore, reconstructed surfaces may still have dangling bonds.

Sulfur and selenium passivation of semiconductor surfaces was proposed by E. Kaxiras

[52] to prevent surface reconstruction and restore the ideal bulk lattice geometry. Using a

monolayer of Se, for example, it is possible to reduce the electron Schottky barrier from

the pinned value of 0.4eV to 0.08eV [53]. It is likely that the pinning effect is decreased

due to the decrease in surface states as a result of this surface passivation. A similar

effect is seen on Ge [54, 55], as seen in Fig. 2.20.

Figure 2.20: (From [55]) On n-type Ge, rectifying behavior changes to ohmic behavior

after sulfur passivation, while the opposite happens on p-type Ge, indicating a decrease in

ΦBN and increase in ΦBP.

Page 43: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

26

Chalcogen passivation can also be accomplished by using germanides. A shallow

implant of the chalcogen species is first done, followed by the reactive metal (e.g. Ni)

deposition and annealing to form germanide. During the growth of the germanide, the

top layer of the germanium substrate is consumed. The chalcogen species will

preferentially segregate at the germanide/germanium interface. This method has been

demonstrated using sulfur and nickel germanide to partially depin the Fermi level [56].

2.5 Dopant Segregation

In the previous section, it was noted that during germanidation, chalcogen atoms can

segregate to the germanide/germanium interface. If dopants are present in the

germanium, they will also segregate at the interface due to the snowplow effect. In this

technique, care must be taken to ensure that the dopant depth is shallow (i.e. less than the

Schottky contact depletion region) in order to retain the Schottky junction characteristics;

otherwise pn-junction characteristics would show. There is still considerable controversy

over the mechanism responsible for the apparent reduction in barrier height using dopant

segregation. One theory is the charged substitutional dopants at the interface form an

interfacial dipole with the charged interface states [57]. The result is an apparent shift in

metal Fermi level by an amount equal to the interfacial dipole. Both phosphorus and

arsenic have been used [58] with NiGe in order to reduce the effective electron barrier

height, as shown in Fig. 2.21. Increasing the As dose in this case causes the NiGe/n-Ge

contact to become more ohmic, indicating a decrease in the effective electron barrier

height.

Page 44: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

27

Figure 2.21: (From [58]) Increasing As dose decreases effective ΦBN, causing the n-

type Ge contact to become more ohmic.

2.6 Summary

High semiconductor doping and low barrier height between the metal and

semiconductor are required to achieve good contacts. In the case of n-type Ge, however,

the semiconductor doping is limited to the low 1019

cm-3

regime. Furthermore, because of

strong Fermi level pinning, the metal Fermi level is pinned close to the Ge valence band

at metal/Ge contacts. These two issues result in a high n-type Ge contact resistance.

Several methods to increase the n-type doping concentration above 1020

cm-3

were

reviewed, including laser annealing, phosphorus and antimony coimplantation, and

fluorine vacancy passivation. MIS contacts, chalcogen passivation, and dopant

segregation were reviewed as possible techniques for reducing metal/n-Ge barrier heights.

Chapters 3 and 4 discuss low resistance MIS contacts on n-type Ge in detail. Chapter 5

discusses the use of germanides with phosphorus and antimony coimplantation for low

contact resistance.

Page 45: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

28

Chapter 3

TiO2 MIS Contacts

In Section 2.3.3, we predicted that TiO2 may be a good material for MIS contacts

based on its low conduction band offset (CBO) to germanium. This chapter will discuss

our experimental work on TiO2 MIS contacts as well as some applications of this

technique.

3.1 ALD Deposition

TiO2 can be deposited by a variety of methods, including reactive sputtering and

atomic layer deposition (ALD). The work described herein is based on the ALD method.

In ALD, two precursors are sequentially pulsed repeatedly, with a purge step in between,

resulting in highly conformal and uniform films. For TiO2,

tetrakis(dimethylamido)titanium (TDMA-Ti), [(CH3)2N]4Ti, and water, H2O, were the

two precursors. One pulse of TDMA-Ti and one pulse of water comprise one cycle. In

our experiments TDMA-Ti was always pulsed first to minimize interfacial layer

formation. The deposition rate was about 0.4Å/cycle, and was uniform over the

temperature range of 150°C to 250°C, which was the temperature limit of the system (a

Cambridge NanoTech Savannah ALD system). Again, in order to minimize interfacial

layer growth, the lowest temperature of 150°C was used unless otherwise stated. Atomic

force microscopy (AFM) of the films revealed very uniform deposition, with a root-

Page 46: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

29

mean-square (RMS) roughness about 0.36nm, which is only slightly higher than a

pristine Si prime wafer.

Al2O3 MIS contacts were also fabricated as control samples. Al2O3 was deposited at

200°C using the precursors trimethylaluminum (TMA) and water, again with TMA first.

The deposition rate was about 1Å/cycle. This allows us to compare a low CBO material

(i.e. TiO2) with a high CBO material (i.e. Al2O3) in the context of MIS contacts.

3.2 Band Offsets to Germanium

Valence band offsets (VBO) were first measured on ALD-deposited TiO2 and Al2O3

on Ge using synchrotron radiation photoelectron spectroscopy (SRPES). Low energy (hν

= 120eV - 160eV) photons from the Stanford Synchrotron Radiation Lightsource beam

line 8-1 allows for precise measurement of the VBO by taking the difference between the

valence band spectrum of TiO2 or Al2O3 and comparing it to the valence band spectrum

of bulk Ge. The Ge 3d peak was used for alignment. Fig. 3.1(a) shows the valence band

spectra of TiO2 on Ge and bulk Ge, indicating a VBO of 2.9eV. The bandgap of

amorphous TiO2 has been reported in the 3.3eV – 3.5eV range [59], while the crystalline

phases of TiO2 tend to have smaller band gaps [60]. We expect our ALD-deposited TiO2

films to be amorphous; since we used the band gap data only to calculate CBO, the larger

band gap was used since it represents the worst case and leads to a larger CBO. This

gives a CBO of TiO2/Ge between -0.26eV and -0.06eV. This barrier is essentially zero,

confirming the possibility of using TiO2 MIS contacts for minimizing tunneling

resistance. Fig. 3.1(b) shows the valence band spectra of Al2O3 on Ge and bulk Ge,

indicating a VBO of 3.7eV. The ALD Al2O3 band gap was found to be 6.1eV as

Page 47: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

30

determined from the energy loss spectrum of the Al 2p peak as shown in Fig. 3.1(c),

which is consistent with published results for ALD Al2O3 [61]. This gives an Al2O3/Ge

CBO of about 1.7eV. Note that we could not use this technique to determine the band

gap of our ALD-deposited TiO2 because the Ti 3p peak was too wide and therefore

masked the energy loss onset. The VBO and CBO data for these two MIS systems is

summarized in Fig. 3.2.

Figure 3.1: SRPES spectra for (a) TiO2 and Ge valence bands, (b) Al2O3 and Ge valence

bands, and (c) Al 2p peak.

Al2O3

TiO2

Ge Ge6.1eV 0.66eV 0.66eV

3.7eV

1.7eV

2.9eV3.3eV - 3.5eV

0.06eV - 0.26eV

Figure 3.2: Band offsets of the Al2O3/Ge and TiO2/Ge systems.

Page 48: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

31

Based on the band diagrams in Fig. 3.2, it is clear that TiO2 MIS contacts should be

able to outperform MIS contacts using higher CBO materials such as Al2O3 because of

significantly lower tunneling resistance. However, because TiO2 is a narrower band gap

material, it may not be as effective as wider band gap materials in blocking metal electron

wave function penetration and the formation of MIGS. Therefore, the next section looks

into whether the insertion of TiO2 can actually reduce ΦBN.

3.3 MIS Contacts on Epitaxial Germanium

TiO2 MIS contacts were fabricated on epitaxially-grown germanium on silicon

substrate, as depicted in Fig. 3.3. Ge doped with an electrically active concentration

about 1018

cm-3

was heteroepitaxially deposited on Si wafers. SRP profile of the n-type

doping is shown in Fig. 3.4. This level of doping was chosen to make the changes in

barrier heights more apparent. Results from higher doping levels that mimic n+

source/drain regions are described in Section 3.4.

SiO2 SiO2

Si (500μm)

400nm TiO2 or Al2O3

Al (300nm)

Epitaxial moderately-doped Ge (1.5μm)

Al (100nm)/Ti (10nm) or Al (100nm)

or Pt (50nm)

Figure 3.3: Schematic cross-section of MIS contacts fabricated on heteroepitaxially

deposited Ge on Si.

Page 49: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

32

Figure 3.4: SRP profile showing electrically active n-type doping concentration of in

situ doped epitaxially-grown Ge on Si.

N-type Ge was deposited on n-type Si and p-type Ge was deposited on p-type Si to

prevent the formation of a pn-junction. 400nm SiO2 was then deposited by chemical

vapor deposition (CVD) at 400°C for device isolation. Standard lithography techniques

were used to pattern contact holes in the SiO2. ALD TiO2 (at 150°C) or Al2O3 (at 200°C)

films were deposited; different insulator thicknesses were achieved by using a different

number of ALD cycles. Some samples were fabricated without a dielectric layer in order

to observe the contact characteristics of metal directly on Ge. Before ALD, three cycles

of dilute HF (2%) and H2O cleaning followed by a dilute HCl dip were done to remove

native germanium oxides. Metal was then deposited by e-beam evaporation onto the

sample service. As shown in Fig. 3.3, Al/Ti, Ti, and Pt metallization were used to

examine the effect of different metal workfunctions. Standard lithography techniques

were again used to pattern the metal pads. An argon sputter etch was performed using

the metal as the hard mask to completely etch the exposed TiO2. Due to the low band

gap of TiO2, electrical conduction can sometimes be observed in TiO2 films. The as-

Page 50: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

33

deposited TiO2 exhibited a very high resistivity of about 0.4Ωm. Nevertheless, this argon

sputter etch ensures complete isolation between adjacent devices. Finally, 300nm of

aluminum was deposited on the sample backside to get a good backside contact. High-

resolution transmission electron microscopy (TEM) images of the metal-insulator-

semiconductor interfaces are shown in Fig. 3.5. Good uniformity of the TiO2 was

observed.

Ti

TiO2

Ge

Ti

TiO2

Ge

12nm

(a) (b)

Figure 3.5: High-resolution TEM images of the TiO2 MIS contact.

The current-voltage (I-V) characteristics of TiO2 and Al2O3 MIS contacts are shown

in Fig. 3.6 and Fig. 3.7, respectively. Measurements were carried out with the sample

backside as the second contact. For TiO2 MIS contacts on n-Ge (Fig. 3.6(a)), the I-V

characteristics start out Schottky-like (0nm TiO2 case), indicating a high ΦBN. With

4.6nm and 5.8nm TiO2 inserted, however, the reverse bias current increases, and the I-V

becomes more ohmic-like. By 8.8nm of TiO2, the I-V is essentially symmetric and the

current levels are also more than three orders of magnitude higher, indicating a very low

ΦBN, which we later verified using temperature-dependent I-V measurements. The fact

that the 5.8nm and 8.8nm samples look similar is an indication that thicker TiO2 does not

Page 51: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

34

increase tunneling resistance significantly, as was predicted by the CBO estimation using

SRPES. For p-type Ge (Fig. 3.6(b)), the opposite behavior is seen. In the 0nm TiO2 case,

the I-V appears ohmic even at this moderate doping since ΦBP is very close to zero due to

Fermi level pinning. With the insertion of 8.8nm of TiO2, the metal Fermi level moves

towards the conduction band and the current drops significantly as a result of a large ΦBP

(Fig. 3.8). As expected, the I-V characteristics becomes rectifying due to the large hole

barrier.

(b) Al/Ti/TiO2/p-Ge(a) Al/Ti/TiO2/n-Ge

Figure 3.6: TiO2 MIS contacts on ~1018

cm-3

moderately-doped n- and p-type Ge.

(b) Al/Al2O3/p-Ge(a) Al/Al2O3/n-Ge

Figure 3.7: Al2O3 MIS contacts on ~1018

cm-3

moderately-doped n- and p-type Ge.

Page 52: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

35

Higher ΦBP

Lower ΦBN

Figure 3.8: A decrease in ΦBN is accompanied by an increase in ΦBP.

TiO2 MIS contacts behave quite differently from Al2O3 MIS contacts, which are

shown in Fig. 3.7. In Fig. 3.7(a) on n-Ge using Al2O3, there is a slight increase in current

with 1nm Al2O3 at low biases, which can be attributed to a reduction in ΦBN. However,

with 2nm Al2O3 or more, the resistance due to tunneling through Al2O3 starts to become

dominant and the current drops markedly. For p-type Ge, Fig. 3.7(b) shows a significant

decrease in current levels as a result of increasing Al2O3 thickness. This is due to both

the added tunneling resistance as well as an increase in ΦBP. These results are in line

with results in the literature using high CBO materials.

3.3.1 Barrier Height Measurements

Temperature-dependent I-V measurements were made between 78K and 260K for the

5.8nm and 8.8nm TiO2 devices on n-type Ge in order to extract the effective Schottky

barrier height. Note that the barrier height extracted using the following method is the

electrically equivalent barrier height of a metal-semiconductor junction, but it may or

may not be the physical barrier height in the metal-insulator-semiconductor junction.

Page 53: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

36

Starting with the Schottky contact equation:

1expexp2*

kT

qV

kT

qTAJ BN (3.1)

where A* is the Richardson constant, T is temperature in Kelvins, q is the electronic

charge, k is the Boltzmann constant, and V is the applied voltage, we can approximate the

reverse saturation current J0 as:

kT

qTAJ BNexp2*

0 (3.2)

By rearranging terms and taking the natural logarithm, we obtain:

kT

qA

T

J BN

*

2

0 lnln (3.3)

Therefore, in a plot of ln(J0/T2) versus 1/T, the slope will be equal to –qΦBN/k, from

which ΦBN can be extracted. This type of plot is known as a Richardson plot and is

shown in Fig. 3.9 for select TiO2 MIS contacts.

5.8nm TiO2

ΦBN = 104meV

8.8nm TiO2

ΦBN = 65meV

Figure 3.9: Richardson plot of select TiO2 MIS devices, showing a significantly reduced

extracted effective ΦBN.

Page 54: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

37

The extracted ΦBN was 0.104eV for the 5.8nm TiO2 device and 0.065eV for the

8.8nm TiO2 device. This is a substantial reduction in ΦBN from the 0nm case, where ΦBN

≈ 0.58eV [10].

With this information along with the band offset measurements through SRPES (Fig.

3.2), band diagrams of Al2O3 and TiO2 MIS contacts can be drawn, as in Fig. 3.10. Both

Al2O3 and TiO2 MIS contacts can reduce the effective barrier height for electrons.

However, the large CBO of Al2O3 presents a large tunnel barrier; the added tunneling

resistance therefore limits the performance of the contact. In the case of TiO2 MIS

contacts, however, there is much lower tunneling resistance, which allows TiO2 MIS

contacts to significantly outperform those using Al2O3.

Al/Al2O3/n-Ge Al/Ti/TiO2/n-Ge

Figure 3.10: Band diagrams of Al2O3 and TiO2 MIS contacts. While both can reduce

the effect electron barrier height, the lower tunnel resistance of TiO2 allows TiO2 MIS

contacts to outperform those using Al2O3.

Page 55: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

38

3.3.2 Effect of Metal Workfunction

The effect of metal workfunction was also studied by fabricating TiO2 MIS contacts

with aluminum (small workfunction) and platinum (large workfunction) metals, in

addition to the devices presented earlier, which used titanium. The fabrication process

was identical, except for the metal used. The I-V characteristics of these devices on n-

type Ge are shown in Fig. 3.11. In general, the characteristics are quite similar. The

pinned contact without TiO2 shows low current levels; increasing the thickness of the

TiO2 increases the current densities by about 1000× at low applied biases. Again, there is

minimal added tunneling resistance, which allows for thick (up to 8.8nm) of TiO2 to be

used.

(b) Pt/TiO2/n-Ge(a) Al/TiO2/n-Ge

Figure 3.11: TiO2 MIS contacts using (a) Al and (b) Pt as the metal display similar I-V

characteristics.

It would appear that a similar reduction in ΦBN can be achieved, irrespective of the

metal used. However, this is actually an unexpected result. In the case of platinum metal,

with its large workfunction (~5.5eV), if complete unpinning was achieved by inserting

TiO2, the electron barrier height should further increase leading to an increase in contact

Page 56: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

39

resistance. However, the opposite was observed. By inserting TiO2 between Pt and n-Ge,

the contact resistance actually decreased as witnessed by the increase in current densities

in Fig. 3.11(b). Based on this, we conclude that, rather than Fermi level unpinning, what

actually occurs is the metal Fermi level shifting towards the conduction band. This

strongly favors some sort of dipole mechanism, with the amount of Fermi level shifting

corresponding to the magnitude of that dipole. This idea will be explored further in

Chapter 4.

3.3.3 Comparing MIS Contacts

In order to compare Al2O3 and TiO2 MIS contacts, Fig. 3.12 plots the relative specific

contact resistivity as a function of oxide thickness. The TiO2 devices show a reduction in

ρC of about 1000× till about 5.8nm TiO2. With thicker TiO2, the contact resistivity

remains roughly constant with no significant tunneling resistance. In contrast, the Al2O3

devices show only a small initial decrease in ρC up to 1nm. Tunneling resistance

dominates with thicker Al2O3 and ρC increases very rapidly. This analysis clearly

illustrates the advantage of using a low CBO material such as TiO2 in MIS contacts.

These results are summarized schematically in Fig. 3.13, showing the tradeoff

between lower ΦBN and added resistance. Many dielectrics can be used in MIS contacts

to decrease ΦBN. However, the ρC of a MIS contact with a high CBO material would

increase exponentially after the optimum point due to tunneling. A low CBO material

would not have the exponential degradation of tunneling-limited contacts. In a very large

scale integration (VLSI) application, it would be difficult to achieve the optimum Al2O3

thickness uniformly since the current depends exponentially on thickness. The use of

Page 57: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

40

TiO2, for example, relaxes the uniformity requirements since a wide range of thicknesses

still yielded a lower ρC, potentially giving a wider oxide thickness process window for

easier integration on a 300mm wafer.

1000x reduction

Figure 3.12: Relative specific contact resistivity of various MIS contacts. TiO2 MIS

contacts achieve about 1000× improvement while Al2O3 MIS contacts only achieve

roughly 10× improvement.

Spec

ific

Co

nta

ct R

esis

tivi

ty

Thickness of Interfacial Layer

Low CBO material adds less resistance

High CBO material adds tunneling resistance

Reduction in ΦBN

Figure 3.13: Schematic effect of CBO and ΦBN on specific contact resistivity.

Page 58: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

41

3.4 TiO2 MIS Contacts on n+ Germanium

In the previous section, we established the advantages of using TiO2 as the dielectric

material in MIS contacts. In this section, we use TiO2 MIS contacts on heavily doped n+

Ge to assess the applicability of this technique in reducing contact resistance to the n+

source/drain regions of Ge NMOSFETs. Furthermore, prior work on MIS contacts has

typically focused on ΦBN reduction on lightly doped substrates, as we did in the previous

section. The minimum ρC that can be achieved at higher substrate doping has not been

investigated.

3.4.1 Measurement Structure

Measurements of specific contact resistivity (ρC) were made using the circular

transfer length method (cTLM) and a 4-point probe setup, as shown in Fig. 3.14. This

method uses small circular contacts of radius L and a large outer contact with variable

gap spacing d between the two. In our structures, the circular contacts had a 50μm radius,

and were separated by 4, 6, 8, 10, 14, 20, 24, and 30μm from the outer contact. The

change in total resistance RTOTAL as a function of gap spacing can be used to extract ρC

[62]. If L >> d, then

CLdL

RR T

shTOTAL 2

2

(3.4)

L

d

d

LC 1ln (3.5)

where Rsh is the sheet resistance of the underlying doped layer (i.e. n+ Ge), LT is the

transfer length, and C is a correction factor due to the circular geometry. When this

correction factor is used, RTOTAL will be a linear function of gap spacing d. The transfer

Page 59: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

42

length is an important parameter for a metal-semiconductor contact; it represents the

distance over which most (i.e. about 63%) of the current transfers from the

semiconductor into the metal and vice versa. When RTOTAL is plotted versus gap spacing

d, the slope yields Rsh and the y-intercept yields LT. From here, specific contact

resistivity can be estimated by:

2

TshC LR (3.6)

The use of circular structures as opposed to linear contacts makes these structures self-

isolating and can be fabricated in a single lithography step as described later, greatly

simplifying the process flow.

1 2 3 4

I GND

V2 V3

RPROBE RPROBE

RGe

RCRC

V2 V3

I GND

(a) (b)

dL

Figure 3.14: Schematic of a circular transmission line method test structure for

measuring ρC. The equivalent circuit is shown in (b). The use of 4 probes negates the

effect of the probe resistance RPROBE on the measurement.

Because very small contact resistances are measured, the probe resistance can alter

the measurement considerably. The measured total resistance was on the order of 1Ω for

the smallest gap spacing. The probe resistance of the Cascade measurement station at

Stanford University was measured to be about 2Ω, so it is necessary to use a 4-probe

measurement as shown in Fig. 3.14. Current is forced through the contact between

Page 60: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

43

probes 1 and 4, while the voltage was measured at probes 2 and 3. Total resistance is

then:

I

VVRTOTAL

32 (3.7)

Care must be taken when using this method in order to ensure that each metal contact is

an equipotential surface.

Fabrication of these cTLM structures started with an epitaxial germanium wafer.

Undoped Ge heteroepitaxially deposited on Si served as the starting material. Although

no p-type dopants were used during the Ge growth, these undoped Ge samples were

lightly p-type due to the electrically p-type defects. SRP analysis of these samples gave

about 1014

cm-3

p-type carrier concentration. To prepare the samples for ion implantation,

20nm of plasma enhanced chemical vapor deposition (PECVD) SiO2 was deposited.

Phosphorus implantation at 90keV with 6×1014

cm-2

dose was used, followed by rapid

thermal annealing at 500ºC for 10 seconds. The resulting substrate had a surface

concentration of approximately 3×1019

cm-3

as measured by SRP. As discussed in

Chapter 2, this represents the highest achievable electrically active n-type dopant

concentration in Ge using a single implant and RTA. To confirm this, SRP analysis was

also done using samples that received a higher dose (i.e. 1.8×1015

cm-2

); despite triple the

dose, the active phosphorus concentration did not increase, as shown in Fig. 3.15. The

lower dose of 6×1014

cm-2

was used for the following experiments since it would cause

less damage to the substrate.

Page 61: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

44

Figure 3.15: Higher dose of 1.8×1015

cm-2

does not result in higher active dopant

concentration.

After ion implantation and dopant activation, the PECVD SiO2 was stripped off using

dilute HF. The Ge surface was cleaned and ALD at 150ºC was used to deposit TiO2 or

Al2O3. Metal was deposited by e-beam evaporation and patterned using standard

lithography techniques. For TiO2 devices, Al (100nm)/Ti (10nm) was used, while Al

(100nm) was used for the Al2O3 devices. Ti on TiO2 and Al on Al2O3 were chosen to

eliminate the formation of another dielectric through the reaction between the metal and

the primary dielectric; for example, Al on TiO2 could form an Al2Ox/TiOx bilayer. The

finished cross-section schematic is shown in Fig. 3.16.

P-type Si (500μm)

Highly-doped n+ Ge (junction at 250nm)

Epitaxy P-type Ge (1.2μm)

ALD Oxide

Metallization(Al or Al/Ti)

Figure 3.16: Schematic cross-section of the circular TLM structure used for ρC

measurement.

Page 62: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

45

3.4.2 Results and Discussion

70x reduction

Figure 3.17: Measured ρC of Al2O3 and TiO2 MIS contacts on n+ Ge. Al2O3 MIS

contacts are immediately limited by tunneling resistance. TiO2 MIS contacts achieve

roughly 70× improvement in ρC.

Measured specific contact resistivities of Al2O3 and TiO2 MIS contacts on heavily

doped n+ Ge are shown in Fig. 3.17 as a function of oxide thickness. Without any

interfacial oxide, ρC ≈ 10-4

Ωcm2, which is similar to results reported by other groups for a

single implant followed by RTA [12, 13]. With Al2O3 MIS contacts, no improvement in

ρC was observed despite the reduction in ΦBN since the contacts are immediately limited

by tunneling resistance even for very thin Al2O3. On the other hand, MIS contacts with

1nm TiO2 show a 70× reduction in ρC, down to about 1.3×10-6

Ωcm2. We believe that this

represented the lowest reported ρC for a MIS contact on n+ Ge at the time this work was

done [44, 45]. This significant reduction in ρC is achieved because the TiO2 interfacial

layer can reduce ΦBN without introducing tunneling resistance. With thicker TiO2,

however, ρC begins to increase again, which was not observed with TiO2 MIS contacts on

lighter doped Ge substrates. This increase is attributed to the series resistance of TiO2

Page 63: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

46

due to the TiO2 material resistivity. It is distinct from the tunneling resistance that is

typically observed since TiO2 and Ge have a roughly zero CBO. Furthermore, the

increase in ρC for the TiO2 device is much gentler than that of the Al2O3 device,

suggesting a different mechanism. This is the first time that this has been experimentally

observed since the tunneling resistance from high-CBO materials used in previous reports

masks the impact of this series resistance. This newly identified effect acts as an

additional tradeoff mechanism and will be discussed further in the next subsection.

The reason why series resistance affects MIS contacts on heavily doped substrates but

not more lightly doped ones is explained with the help of Fig. 3.18. For a lower doping,

the Schottky barrier is quite thick so the material resistance of the TiO2 itself is not

significant, even if relatively thick TiO2 is used. However, at higher doping, the Schottky

barrier is thin and tunneling through the barrier is relatively easy, so its associated

resistance is smaller. In this case, the TiO2 material resistance may become comparable

and therefore significant to the overall resistance.

Large SB resistanceTiO2 resistance not significant

Smaller SB resistance

TiO2 resistance becomes significant

Lower Doping

Higher Doping

Metal

n-Ge

TiO2

Metal

n-Ge

TiO2

RTiO2 << RSB RTiO2 ≈ RSB

+ - + -

(a) (b)

Figure 3.18: The semiconductor doping affects the MIS contact characteristics. While

TiO2 does not add tunneling resistance, it can still limit the contact if the resistance of

TiO2 becomes similar to the resistance of the Schottky barrier for highly doped substrates.

Page 64: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

47

Fig. 3.19 schematically summarizes the results of Al2O3 and TiO2 MIS contacts on

moderately and heavily doped Ge. The dotted lines represent the Al2O3 tunneling

resistance and the TiO2 series resistance, which set the lower bound on achievable ρC.

Tunneling resistance has a much stronger dependence on thickness, resulting in a much

steeper line for Al2O3, which translates to a very severe tradeoff between ΦBN reduction

and added resistance. This can be seen by the fact that a ρC reduction was still possible at

light or moderate substrate doping but becomes impossible at high substrate doping since

ρC immediately becomes limited by the tunneling resistance even with very thin

interfacial layers. The TiO2 series resistance is a much gentler tradeoff. At low or

moderate doping, the interfacial layer series resistance effect is not seen since it is much

lower than the resistance associated with the Schottky barrier. At high doping, however,

the Schottky barrier width is much thinner, and its associated resistance is dramatically

reduced to the point where the interfacial layer series resistance is now comparable and

can limit the contact. Therefore ρC reduction is only possible with thin and low band

offset interfacial layers. It should be noted that, while this analysis was done for the case

of Al2O3 and TiO2 on Ge, these tradeoffs should hold for a wide variety of interfacial

layers and substrates. This provides an opportunity to improve MIS contacts by using

less resistive interfacial layers, which will be discussed in Chapter 4. It is possible that,

with only 1nm of TiO2 available to us in light of this series resistance tradeoff, it may not

be sufficient to achieve adequate reduction in ΦBN. The use of a thicker, but more

conductive interfacial layer, may result in a lower ΦBN and consequently a lower ρC.

Page 65: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

48

Interfacial Layer Thickness

Al2O3 tunneling resistance

TiO2 series resistance

Spec

ific

Co

nta

ct R

esis

tivi

ty

MIS on moderately doped Ge

MIS on heavilydoped n+ Ge

Figure 3.19: Schematic diagram of ρC versus interfacial layer thickness for different

doping levels, showing the effects of different resistances. The red double lines are for

Al2O3, while the blue single lines are for TiO2. The dotted lines are for the Al2O3

tunneling resistance and the TiO2 series resistance, which represents a lower bound on ρC.

The minimum achieved ρC (1.3×10-6

Ωcm2) is in the same range as those in recently

demonstrated contact schemes including laser dopant annealing (7×10-7

Ωcm2 [16] and

2.5×10-6

Ωcm2 [12]), P and Sb coimplantation (8×10

-7Ωcm

2 [19]), and Si passivation

(1.4×10-6

Ωcm2 [12]). Most of these approaches aim to increase semiconductor doping (>

1020

cm-3

) in order to thin the Schottky barrier and increase the tunneling current.

However, ΦBN remains high in these approaches. MIS contacts are unique in that they

aim to reduce ΦBN and actually achieve a similar ρC despite a doping level about ten

times lower. It is of course desirable to combine high doping techniques with a reduced

ΦBN to meet the needs of VLSI contacts.

Page 66: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

49

3.4.3 Series Resistance

In the previous section, a new tradeoff mechanism was identified, namely the

interfacial layer series resistance. Since this kind of resistance results from carrier

scattering (electron scattering in TiO2), we first calculate the mean scattering length.

Using the following relations:

q

kTD (3.8)

*m

q (3.9)

DL (3.10)

where D is the diffusion coefficient, k is the Boltzmann’s constant, T is the temperature, q

is the electronic charge, μ is the carrier mobility, m* is the carrier effective mass, τ is the

mean scattering time, and L is the mean scattering length. From literature [63, 64], TiO2

has an electron mobility on the order of 2cm2/Vs. Its effective mass ranges from (2 –

4)m0 to (10 – 16)m0 [63], where m0 is the electron rest mass, depending on crystal axis.

Since our deposited TiO2 was not annealed, we believe it to be amorphous, so we assume

m* ≈ 8m0. Using these values, we obtain a mean scattering length of approximately

0.2nm. Since we are only interested in the order of magnitude, the exact value is not

important. This length scale is approximately the lattice constant of anatase and rutile

TiO2, indicating scattering at essentially every atom. This short mean scattering length

implies that electrons do indeed get scattered even in thin TiO2 layers, so it is meaningful

to assign a series resistance to TiO2 for these structures.

Fig. 3.20 shows the specific contact resistivity as a function of oxide thickness. The

green line is the resistance of TiO2 itself based on our measured bulk material resistivity

Page 67: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

50

of about 37.5Ωcm. The use of TiO2 MIS contacts allows us to approach the TiO2 series

resistance lower limit. With the exception of the Ti/1nm TiO2/n+ Ge case, all data points

lie close to, but above, the TiO2 series resistance line. In the 1nm TiO2 case, the Ti metal

pad likely reacted with the very thin deposited TiO2, and may have converted a

significant amount to a reduced TiO2-x form, which is known to be more conductive than

stoichiometric TiO2 [65]. With thicker TiO2, the Ti metal pad cannot significantly alter

the entire thickness of the ALD-deposited TiO2, so its resistivity remains unchanged.

Figure 3.20: TiO2 MIS contact ρC compared to TiO2 series resistance.

This is strong evidence that these TiO2 MIS contacts eventually get limited by the

TiO2 series resistance and it is the reason why ρC increases after 1nm TiO2. In MIS

contacts, it is advantageous to choose, not only a material with low band offsets, but also

a conductive material. It is therefore a bit of a misnomer to call these metal-insulator-

semiconductor contacts, since the “insulator” portion needs to be as conductive as

possible. We propose to use conductive oxides such as indium tin oxide (ITO) to get

around this tradeoff mechanism. Chapter 4 will examine some of these issues further.

Page 68: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

51

3.5 Applications

In this section we discuss some possible applications of TiO2 MIS contacts, and also

MIS contacts in general.

3.5.1 Ge N-Channel MOSFET

The need to reduce contact resistance in germanium NMOSFETs was described in

Chapter 1. TiO2 MIS contacts to the n+ source/drain regions were integrated in a gate last

process flow, described schematically in Fig. 3.21.

P-type Si (500μm)

n+ n+

Epitaxy p-type Ge (1.5μm)

SiO2 SiO2SiO2

TiO2

Al/Ti

Pt Gate

Al2O3 Gate Ox

P-type Si (500μm)

n+ n+

Epitaxy p-type Ge (1.5μm)

SiO2 SiO2

TiO2

Al/Ti

(1) Implant P for S/D through patterned SiO2, RTA at 500 C for 10s

P-type Si (500μm)

n+ n+

Epitaxy p-type Ge (1.5μm)

SiO2 SiO2SiO2

20nm SiO2 cap

(2) Remove 20nm SiO2 cap, ALD TiO2, Al/Ti deposition and patterning

(3) Remove TiO2 and SiO2 from gate region(4) ALD Al2O3 (11nm), Pt gate by liftoff

Figure 3.21: Gate last process flow for Ge NMOSFET with TiO2 integrated on n+

source/drain.

Page 69: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

52

The starting substrate was again a heteroepitaxially grown Ge on Si wafer, with no

intentional doping which resulted in a lightly p-type layer. Thick SiO2 was deposited by

PECVD, and subsequently patterned for the source/drain contact areas. In these areas,

20nm PECVD SiO2 was deposited in preparation for ion implantation, which was

phosphorus at 6×1014

cm-2

at 90keV. The sample was then annealed at 500°C for 10

seconds, after which the 20nm SiO2 cap was removed. The surface was cleaned in

preparation for ALD TiO2 and Al (100nm)/Ti (10nm) metal deposition. One sample did

not get TiO2 to provide a control. The metal was patterned into contacts. The TiO2 and

SiO2 in the gate region were selectively removed by hydrofluoric acid. Finally, the gate

oxide (11nm ALD Al2O3) and gate metal (platinum) were deposited and patterned using

photoresist liftoff.

Fig. 3.22 shows the ID-VD characteristics of a 2μm-channel device. TiO2 MIS

contacts can be successfully integrated on the source/drain regions of a Ge NMOSFET.

Well-behaved ID-VD characteristics were obtained. There was no significant difference

between the samples with and without TiO2. This is attributed to the fact that, at 2μm,

the channel lengths are still too large, so the contact resistance is much smaller than the

channel resistance. Nevertheless, at scaled CMOS dimensions, reduced contact

resistance would result in significantly higher current.

Figure 3.22: ID-VD characteristics of gate last Ge NMOSFET with TiO2 MIS contacts.

Page 70: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

53

Germanium transistors using a gate first process were also fabricated, as shown in Fig.

3.23. In these samples bulk p-type Ge was used. Al2O3 oxide with a GeO2 interfacial

layer by ozone post-oxidation was used as the gate oxide, which provided excellent

interface passivation with Dit in the low 1011

cm-2

range [66]. This is a vast improvement

over our earlier gate last process using Al2O3 only, which resulted in Dit in the 1012

cm-2

to 1013

cm-2

range. Immediately after gate oxide deposition, TiN and W was sputtered to

form the gate metal. After gate metal etch, the samples were implanted with phosphorus

(1.5×1015

cm-2

and 25keV). Annealing was done at 400°C for 5 minutes. Using the

source/drain photoresist pattern, the GeO2/Al2O3 bilayer gate oxide was etched away,

followed by ALD TiO2 deposition and Al (100nm)/Ti (10nm) deposition and liftoff.

Again, control samples without TiO2 were fabricated. Although there is significant

improvement in current compared to the gate last process flow, the total resistance of the

3μm gate length device is still too large, and therefore no difference was observed

between the device with and without TiO2 MIS contacts. In order to accurately

characterize the effect of MIS contacts, it is necessary to use short channel transistors.

W/TiN Gate

GeO2/Al2O3 Gate Ox

n+ n+

P-type Ge

TiO2

Al/Ti

Lg = 3μm

0V

1V

VGS = 2V

(1) GeO2/Al2O3 gate oxide by ALD(2) W/TiN by sputtering and patterned(3) Implant P, S/D lithography(4) Etch and clean S/D contact area(5) ALD TiO2, PVD Al/Ti and liftoff

Figure 3.23: Process flow and schematic cross section of gate first Ge NMOSFET

incorporating TiO2 MIS contacts and its ID-VD characteristics.

Page 71: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

54

3.5.2 Metal Source/Drain Transistors

One way of reducing parasitic resistance is to use metal source/drains instead of using

doped semiconductors. The key different is the use of a Schottky junction instead of a pn

junction at the source-channel and channel-drain junctions, which gives rise to its name

Schottky barrier MOSFETs (SB-MOSFET). The operating principle is described in Fig.

3.24 for a p-channel device. For a n-channel device, the electron barrier must be kept

small to allow for high currents to flow in the on-state. Furthermore, a small electron

barrier leads to a large hole barrier which reduces leakage in the off-state.

Figure 3.24: (From [67]) Operating principle of a metal source/drain MOSFET. The p-

channel device is drawn here and compared with a conventional doped source/drain

MOSFET.

From theoretical calculations, it is estimated that barrier heights need to be below

0.1eV in order for SB-MOSFETs to outperform conventional MOSFETs [68, 69]. In Ge,

Page 72: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

55

Fermi level pinning near the valence band means that this is easily accomplished for p-

channel devices [70, 71]. However, n-channel SB-MOSFETs require a significantly

lower ΦBN. There have been attempts to use GeO2 [37] and Si3N4 [33] MIS contacts to

depin the metal Fermi level and thereby reduce ΦBN. Although working MOSFETs were

successfully fabricated, performance was not good. This may be due to the large band

offsets of these materials. Although both GeO2 and Si3N4 MIS contacts demonstrate

Fermi level depinning characteristics on lightly-doped substrates, the inverted channel

region in the on-state has a very high charge density and therefore acts similar to a

highly-doped substrate. As we noted in Section 3.4, at higher doping levels, the

resistance (both tunneling resistance and series resistance) of the interfacial layers

become increasingly important. To get high current injection from the metal source into

the inversion layer in the on-state, a low band offset material needs to be used. This

means TiO2 or perhaps even ITO MIS contacts may be good choices for SB-MOSFETs,

and should be explored further.

3.5.3 Asymmetric Metal-Semiconductor-Metal Photodetectors

Metal-Semiconductor-Metal (MSM) photodetectors are made from two back-to-back

Schottky junctions. Photogenerated carriers within the depletion region can be collected

as photocurrent. Compared to PIN photodetectors, which use a p-type, intrinsic, and n-

type region, MSM photodetectors have the advantage of fast operation and ease of

integration. However, they suffer from higher dark currents compared to their PIN

counterparts. One way to decrease the dark current is to use asymmetric metal contacts

with different barrier heights, as depicted schematically in Fig. 3.25.

Page 73: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

56

Figure 3.25: (From [72]) Asymmetric barriers at the source and drain junctions of a

MSM photodetector can reduce dark current. However, the improvement is limited due

to metal Fermi level pinning.

The dark current in MSM photodetectors can be divided into two categories. The

first category is carrier injection over the Schottky barrier (I1 and I2 in Fig. 3.25), and the

second is carrier generation in the semiconductor (I3 and I4 in Fig. 3.25). The latter

component is generally low and can be minimized by using high quality, low defect

density substrates. Carrier injection over the Schottky barriers is largely responsible for

the high dark current in MSM photodetectors. If both contacts have metal Fermi levels

close to the valence band, then one side will have a high barrier but the other side will

have a low barrier. If one can use a metal at the drain side with its Fermi level close to

the conduction band, and apply a bias with the appropriate polarity, dark current can be

reduced due to the decrease in I2 (Fig. 3.25). Only about 10× reduction in dark current

was observed by using different metals in germanium MSM photodetectors [72] because

Fermi level pinning prevents such a large hole barrier height from forming, as is

necessary to reduce I2.

Page 74: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

57

Other approaches have been attempted in order to decrease the electron barrier height

selectively at one contact. Sulfur [73] and dopant [74] segregation during nickel

germanide formation have both been used for this application, and significant reduction

in dark current was observed (up to 1000×). MIS contacts can also be used to tune the

barrier height selectively at one side of the MSM photodetector, as shown in Fig. 3.26.

P-type Ge

SiO2 > 600x

Al/TiTiO2

Ni/Ti

Figure 3.26: Schematic of asymmetric MSM photodetector incorporating TiO2 MIS

contact and its accompanying dark current reduction.

In this process, a bulk p-type Ge wafer was used in order to minimize bulk defects

which may lead to dark current. PECVD SiO2 was used as isolation and one contact was

first patterned and etched. ALD TiO2 and Al/Ti was deposited and patterned as one

contact. The other contact was then opened and Ni/Ti was then deposited and patterned

using liftoff. In both contacts, titanium is the contacting metal; Ni was used only for ease

of liftoff. The asymmetry comes from one contact having TiO2 and consequently a lower

ΦBN, while the other contact is metal directly on semiconductor resulting in a pinned

contact and a high ΦBN. In this experiment, 8.8nm of TiO2 was used. Fig. 3.26 also

shows the dark I-V characteristics. With a positive voltage bias on the TiO2 MIS contact,

the dark current was reduced by over 600× compared to the symmetric MSM

Page 75: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

58

photodetector as a result of a reduction in thermionic emission current I2. This very large

reduction in dark current addresses one of the major shortcomings of MSM

photodetectors.

3.5.4 Spin Injection

One exciting application of MIS contacts is in the emerging field of spintronics. By

using electron spin in addition to its charge, spintronics offer several potential benefits,

including lower power operation and nonvolatility. One recent proposal is the spin

MOSFET [75], shown in Fig. 3.27.

Figure 3.27: (From [75]) (a) Schematic of spin MOSFET. (b) Simulated ID-VD

characteristics for parallel and antiparallel source/drain ferromagnet orientations.

These devices resemble a conventional MOSFET except that a ferromagnetic

source/drain is used. The ferromagnetic source injects electrons with one spin, i.e. spin

polarized current. The drain then detects electrons with a spin that is parallel to its own

polarization. If both the source and drain are polarized in the same orientation (parallel),

a large current can flow; conversely, if they are antiparallel, only a small current can flow.

In this way, the device can be turned into a low resistance state if not in use, thereby

reducing standby power. Also, the orientations of the source/drain ferromagnets can be

Page 76: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

59

used as a nonvolatile memory element. However, spin injection from a metallic

ferromagnet into a semiconductor is challenging because of the conductivity mismatch

problem [76]. To solve this, an insulator is inserted between the ferromagnet and

semiconductor, which is precisely a MIS contact. The insulator used should be spin-

selective, and is typically either MgO or Al2O3. Both of these materials have been well-

studied as a MIS contact [39-41].

Although the focus of this thesis is on extending the CMOS roadmap through the

reduction in contact resistance, there is considerable potential of MIS contacts in beyond

CMOS applications.

3.6 Summary

TiO2 was verified to have a low conduction band offset to germanium using SRPES.

As a result, TiO2 MIS contacts achieved a roughly 1000× reduction in ρC on ~1018

cm-3

n-

type Ge substrates. On more heavily-doped substrates (i.e. ~1019

cm-3

n-type Ge), TiO2

MIS contacts reduced ρC from ~10-4

Ωcm2 to 1.3×10

-6Ωcm

2, representing a 70× reduction.

The low conduction band offset is the primary reason why TiO2 MIS contacts

significantly outperform conventional Al2O3 MIS contacts due to the reduction in

tunneling resistance. In addition to the resistance due to tunneling through the dielectric,

the series resistance of the interfacial layer was identified as a new tradeoff mechanism.

Pinning at the metal/TiO2 interface was also observed which suggested a shift in the

metal Fermi level, as opposed to Fermi level unpinning. Both the series resistance

tradeoff and the shift in Fermi level will be discussed in more detail in Chapter 4. Finally,

several possible applications of MIS contacts were discussed, including CMOS

Page 77: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

60

transistors using conventional doped source/drain as well as metallic source/drain,

optoelectronic devices such as MSM photodetectors, and beyond CMOS devices for spin

injection.

Page 78: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

61

Chapter 4

Physics of MIS Contacts

4.1 Motivation

Given the many possible applications of MIS contacts, it is imperative that its

underlying mechanisms and operation be studied in more detail. We focus on the

TiO2/Ge system, but the physics should be applicable to many semiconductors. TiO2

MIS contacts have demonstrated a decrease in ΦBN on Si, Ge, GeSn, GaAs [47], and

GaSb [48], as shown in Fig. 4.1.

Si GeSn

GaAs GaSb

Figure 4.1: TiO2 MIS contacts also show a reduction in ΦBN on Si, GeSn, GaAs (from

[47]), and GaSb (from [48]), suggesting similar mechanisms as the TiO2/Ge system.

Page 79: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

62

As future MIS contacts will need to minimize tunneling and series resistance in order

to achieve low contact resistivity, the TiO2/Ge system is an excellent one to study due to

its low tunneling resistance and tunable series resistance via oxygen vacancies. In this

chapter we will first examine the mechanisms responsible for ΦBN reduction in TiO2 MIS

contacts. The series resistance tradeoff will be examined more closely. Finally, we will

explore the scalability of MIS contacts.

4.2 Theory of Operation

In Section 2.3 the two main theories of MIS contacts were outlined, namely the

reduction in MIGS and the interfacial dipole theory. If the effects were purely MIGS,

unpinning the metal Fermi level would cause different behavior depending on the metal

bulk workfunction. Smaller workfunction metals would result in a larger reduction in

ΦBN; metals with very large workfunctions should increase contact resistivity. However,

this was not observed, as was noted in Sections 3.3.2 and 3.3.3. As Fig. 3.12 shows, Ti,

Al, and Pt metal TiO2 MIS contacts all improved ρC. Considering only the effect of

MIGS reduction, the Pt case should not improve ρC because of its large workfucnction. It

is evident that other effects are also at work. Because the TiO2 contacts with different

metals all improve by roughly the same magnitude (roughly 1000× decrease in ρC) in

each case, this strongly suggests a shifting of the metal Fermi level, rather than unpinning.

If the metal Fermi level is shifted from its pinned location near the charge neutrality level

by a similar amount for each metal, then the resultant effective ΦBN and measured ρC

would be similar in each case.

Page 80: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

63

This shifting of the metal Fermi level strongly suggests a dipole-type mechanism. A

dipole is essentially a large change in electrical potential over a short distance. In

general, a dipole may form at any interface between two dissimilar materials. In a TiO2

MIS contact, the dipole may form at the metal-insulator or insulator-semiconductor

interface. In the presence of a native oxide, there is potentially a dipole at the insulator-

native oxide interface as well. A dipole at the metal-insulator interface will manifest as

an apparent change in the metal workfunction, by an amount equal to the magnitude of

the dipole. A dipole at the insulator-semiconductor interface will show as a change in the

height of the insulator tunnel barrier. A dipole at the insulator-native oxide interface

would again alter the effective height of the insulator tunnel barrier; furthermore, the

overall shape of the tunnel barrier may change which would impact the tunneling

probability. As long as the dipole polarity is oriented correctly, any of these dipoles may

give rise to a lower effective ΦBN and hence a lower ρC.

To explore the idea further, two types of metal-oxide-semiconductor (MOS)

capacitors were fabricated. The results of the capacitance-voltage (C-V) measurements

are shown in Fig. 4.2. The solid symbols show metal/SiO2/Si capacitors and the open

symbols show metal/TiO2/SiO2/Si capacitors. Lightly-doped p-type Si was used in all

cases. SiO2 was grown by thermal oxidation to ensure a good Si/SiO2 interface with

minimal defects. TiO2 was deposited on top of the SiO2 by ALD. In the SiO2 capacitors,

the flatband voltage (VFB) can be modulated by the metal, indicating no Fermi level

pinning. In the TiO2/SiO2 capacitors, however, there is very little VFB modulation with

large differences in these metal’s workfunctions, indicating significant Fermi level

pinning in these device stacks.

Page 81: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

64

Indication of EF pinning at metal/TiO2

interface

Figure 4.2: Normalized C-V characteristics of SiO2 and TiO2/SiO2 capacitors with

different metals. There is a strong indication of metal Fermi level pinning at the

metal/TiO2 interface due to the lack of flatband voltage modulation.

Al, Ti, PtMetal

TiO2 SiO2 Si

+ - + - + -VD1 VD2 VD3

(b)

Figure 4.3: Flatband condition of the TiO2/SiO2 capacitor, showing the location of

possible dipoles.

Page 82: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

65

To examine this TiO2/SiO2 stack in more detail, the band diagram in Fig. 4.3 is used.

In general each interface may have a dipole, whose magnitude is denoted by VD1, VD2,

and VD3. We can write the flatband voltage VFB as:

MDSMDDDFB VKVVVV 1321 (4.1)

where ϕM and ϕS are the metal and semiconductor workfunctions, respectively, and K is a

constant. This is essentially the standard flatband voltage equation modified by the VDx

terms, which represent the potential dropped by the three possible dipoles. We make the

reasonable assumption that the interface dipoles and its magnitude are determined only

by the two materials at that interface. For the three TiO2/SiO2 capacitors corresponding

to the three different gate metals, VD2, VD3, and ϕS remain unchanged and can be lumped

into the constant K. Based on the C-V data in Fig. 4.2, the VFB’s are very similar for all

three TiO2/SiO2 capacitors, meaning that VD1 depends mostly on ϕM. This implies the

existence of a dipole at the metal/TiO2 interface, whose magnitude VD1 depends on the

metal workfunction. To state this in another way, the metal workfunction influences the

dipole magnitude to exhibit a similar VFB for the overall system. The metal Fermi level is,

in effect, pinned at the same energy, independent of the metal workfunction. This

pinning at the metal/TiO2 interface is likely a result of MIGS [96], and will be further

discussed later in this section. The reduction in ΦBN, which was both experimentally

measured through temperature-dependent I-V measurements and inferred from a

reduction in ρC, indicates that the pinning dipoles at the metal/TiO2 interface shift the

metal Fermi level from near the Ge valence band edge toward the conduction band.

Essentially we have traded one pinned contact for another one, with the fortunate

difference that the TiO2 MIS contact pins at an energy level favorable for n-type contacts.

Page 83: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

66

This is not to say that MIGS does not play a role. Separating the metal from the

semiconductor may indeed reduce MIGS at the interface, since the electron wave

functions from the metal decays exponentially within the insulator. Any reduction in the

interfacial states has the effect of reducing the pinning effect, as noted by Cowley and

Sze [77]:

S

SDit

1101.1 13 (4.2)

where Dit is the interface state density in states/(cm2-eV) and S is the pinning factor. The

pinning factor S is defined as:

M

BNS

(4.3)

where ΦBN is the barrier height and ϕM is the metal workfunction. A pinning factor of 1

indicates ideal, Schottky behavior since the barrier height exactly tracks the metal

workfunction, as follows from Equation 2.4. A pinning factor of 0 indicates complete

pinning, meaning the barrier height does not change at all with different metal

workfunctions.

Going back to Equation 4.2, it is clear that a large Dit leads to a small S, or in other

words, a strongly pinned contact. Conversely, a reduction in MIGS leading to a lower Dit

would suggest a larger pinning factor S, indicating a more ideal contact. From these

arguments, the reduction in MIGS would alleviate some symptoms of metal Fermi level

pinning. However, by itself, it cannot explain all the experimental data presented here in

this thesis and in the literature. A dipole at the metal/TiO2 interface is needed to fully

account for the reduction in ΦBN as well as the observed behavior with different metals in

TiO2 MIS contacts. This dipole may be formed by a combination of surface

Page 84: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

67

reconstruction/relaxation and charge transfer at the interface, and will be further

discussed in Section 4.2.1.

The observation of pinning at the metal/TiO2 interface is actually not an unexpected

one. Although we have referred to TiO2 as an insulator in keeping with the metal-

insulator-semiconductor terminology established in the literature, TiO2 is typically

classified as a semiconductor, albeit one with a relatively large band gap (3.3eV – 3.5eV).

Its conductivity can change by orders of magnitude by intentional doping of oxygen

vacancies, as alluded to earlier in Chapter 3 and will be further explored in Section 4.6.

These oxygen vacancies acts as electron donors and result in n-type TiO2 with carrier

concentrations that can reach 1019

cm-3

or more. It is not strange, then, to expect Fermi

level pinning at the metal/TiO2 interface. In fact, we may expect very strong pinning at

this interface, based on the formula for pinning factor S, as proposed by Mönch [78]:

211.01

1

S (4.4)

where ε∞ is the electronic part of the dielectric constant of the semiconductor. The

relative dielectric constant of TiO2 can be very high, especially the crystalline phases

which can be up to 80 [79]. For TiO2, ε∞ was determined to be 7.8 [27], which is

significantly higher than other dielectrics. Using that value of ε∞, the pinning factor S for

TiO2 would be a very low 0.18 based on Equation 4.4. This supports our earlier

speculation of MIGS at the metal/TiO2 interface. Because of the low pinning factor S, we

should therefore expect strong pinning at the metal/TiO2 interface. It is simply fortunate

that the pinning location is a favorable one for n-type Ge contacts, as depicted

schematically in Fig. 4.4.

Page 85: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

68

TiO2

Ge GeEFM EFM

TiO2 MIS Contact Metal/n-Ge Contact

Figure 4.4: Schematic band diagrams at flatband conditions showing band alignments of

TiO2 MIS contacts and conventional contacts, both with Fermi level pinning.

4.2.1 Effect of Dipoles

The presence of dipoles at the metal/TiO2 interface causes an apparent shift in the

metal Fermi level. Fig. 4.5 shows calculated ρC as a function of the metal effective

workfunction (EWF), assuming 1nm of TiO2 and 1019

cm-3

n-type Ge. It was calculated

using the same theoretical framework discussed in Section 2.3.3. Referring back to Fig.

3.20, the Al/TiO2/n+ Ge line shows a specific contact resistivity of about 10

-5Ωcm

2 at

1nm TiO2, and based on this we expect an effective metal workfunction of about 4.32eV

at the metal/TiO2 interface with 1nm of TiO2. This represents a shift of about 0.26eV

from the pinned case where the metal effective workfunction is about 4.58eV. This shift

can be attributed partially to a dipole at the metal/TiO2 interface. The Al/TiO2/n+ Ge line

was used instead of the one with Ti metal in order to avoid complications due to the

increased TiO2 conductivity.

Page 86: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

69

1nm TiO2

Figure 4.5: Calculated ρC as a function of metal effective workfunction, indicating the

presence of a dipole at the metal/TiO2 interface.

It can be seen that even a relatively small dipole can significantly reduce ρC due to the

exponential dependence between them as seen in Fig. 4.5. A larger dipole at the

metal/TiO2 interface causes a greater apparent shift in the metal Fermi level towards the

conduction band (assuming a positive dipole), and this results in a greater reduction in

ΦBN and ρC.

The exact origin of these dipoles is still controversial. It was proposed by Kita and

Toriumi [80] that a difference in the areal density of oxygen atoms in the two materials

determines the magnitude and direction of the interfacial dipole. Oxygen ions would

move from the higher density material to the opposite side of the interface, creating a

dipole as depicted in Fig. 4.6(a). This causes an apparent shift in band alignments since

the vacuum level changes very rapidly. It has been noted that the two dipoles at the

metal-insulator and insulator-semiconductor interfaces need to be different in order to

result in a net dipole in the MIS structure [81], which is then responsible for shifting the

Page 87: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

70

metal Fermi level. It was suggested [81] that this could be accomplished by using an

insulator that acts as a diffusion barrier to oxygen. In this way, a different oxygen areal

density could exist at the two sides of the insulator, allowing a net dipole to form. In

reality, oxygen ions do not move, but rather the exact interfacial bonding structure

between the metal and the oxide results in a relaxed position of the metal and the oxygen

atoms. Their position likely determines the dipole magnitude and direction [82, 83].

Although the exact interfacial bonding structure is beyond the scope of this thesis, the

estimated dipole of up to 0.26eV is a reasonable one, as it is certainly true that there

exists a large difference in oxygen areal density between the metal contact and TiO2.

Furthermore, the magnitude falls within the range of reported VFB shifts thought to be

caused by dipoles [80]. Fig. 4.6(b) draws the dipole schematically at the metal/TiO2

interface, showing the formation of a positive dipole. The oxygen areal density theory

therefore correctly predicts the polarity of the dipole needed for ΦBN reduction, and is a

possible origin of the dipole at the metal/TiO2 interface.

TiO2

EFM

Lower Oxygen Areal Density

Higher Oxygen Areal Density

- +O-

E0

O-

- +

E0(a) (b)

Figure 4.6: (a) A difference in oxygen areal density can result in an interfacial dipole,

which shifts band alignments due to a rapid change in the vacuum level (E0). (b) In the

metal/TiO2 case, relaxation of oxygen atoms at the interface causes a positive dipole and

shifts the metal Fermi level (EFM) towards E0. Solid lines indicate band alignments

before oxygen transfer and dotted lines indicate band alignments after oxygen transfer.

Page 88: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

71

4.3 Effect of Annealing

It has been observed that forming gas annealing (FGA) of certain MIS contacts

resulted in a significantly increased ρC, it was suggested that fixed charge or interface

charge in the oxide may be responsible for the correct operation of MIS contacts [84].

The MIS contacts used in that work were Al/Al2O3/n-GaAs devices. By annealing these

contacts, the fixed and interface charges were reduced, which rendered the MIS contacts

ineffective. The resulting ρC was worse than the control case of metal directly on

semiconductor.

Bulk or interface charge in the insulator causes a shift in the bands. If the overall net

charge is positive, the potential drop across the insulator would result in a lower ΦBN.

We studied this idea theoretically using the framework established in Section 2.3.3.

MIGS and interfacial dipoles were assumed to be zero in order to isolate the effect of

positive fixed charge. More details can be found in the publication by Roy et al. [85].

Fig. 4.7 shows the simulation result using Al2O3 on 1019

cm-3

n-type Ge MIS contacts

assuming different bulk and interface fixed charge. In general, the fixed charge required

is quite large. For bulk fixed charge, 3×1020

cm-3

is required for noticeable reduction in

ρC. At 1nm, this translates to 3×1013

cm-2

areal charge density. Similarly, an interface

fixed charge of 3×1013

cm-2

is required for ρC reduction. Such values of fixed charge are

about one to two orders of magnitude larger than typical fixed charge densities in high-k

gate stacks. Furthermore, the effect of fixed charge on ρC appears to be quite sensitive to

the charge densities. Even a slight 3× reduction in fixed charge densities (i.e. 3×1020

cm-3

to 1×1020

cm-3

for bulk charge or 3×1013

cm-2

to 1×1013

cm-2

for interface charge) negates

Page 89: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

72

the effectiveness of MIS contacts, resulting in no ρC reduction as seen in Fig. 4.7. The

unreasonably large charge densities required point to the fact that fixed charge likely does

not play a major role in MIS contacts.

Figure 4.7: (From [85]) Reduction in specific contact resistivity can be caused by fixed

charge in the oxide. In this case, the effect of bulk (left) and interface (right) fixed charge

in Al2O3 MIS contacts is simulated.

However, the fact that FGA renders some MIS contacts ineffective is an important

observation. Fig. 4.8 shows the relative ρC for TiO2 MIS contacts before and after FGA

at 300°C. The closed symbols denote Ti/TiO2/n-Ge contacts, showing a reduction in ρC

with the introduction of as deposited TiO2. However, with FGA, these contacts revert

back to the original, pinned value for ρC. In contrast, the open symbols show Pt/TiO2/n-

Ge contacts, again showing a reduction in ρC with the introduction of as deposited TiO2.

In this case, however, forming gas annealing does not seem to significantly alter ρC.

Indeed, the MIS contact continues to perform well and a reduced value of ρC is

maintained. The only difference between the two sets of data is the metal used (either Ti

or Pt). The vastly different behavior can be explained by considering the metal/TiO2

interface, which is critical to the correct operation of TiO2 MIS contacts. Because of the

high reactivity of titanium, the Ti metal reacts with TiO2 to form a significant interfacial

Page 90: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

73

layer of TiO2-x at such a high temperature. The interface is significantly altered and the

interfacial dipole is not maintained during this thermal treatment. For the case with Pt

metal, because of the thermal stability of platinum, the interfacial dipole at the Pt/TiO2

interface is maintained.

Figure 4.8: TiO2 MIS contacts with Pt or Ti metal behave differently after 300°C FGA.

The fact that some MIS contacts are rendered ineffective after FGA is not due to a

reduction in fixed charge, but rather a response of the interfacial dipoles to the applied

temperature. It is therefore critical to preserve the interface properties in order to retain

the dipole and the associated benefits to ρC.

4.4 Effect of Series Resistance

Series resistance was identified as one of the limiters to MIS contact performance. In

this section we examine this more closely using the simulation framework established

earlier. However, since the tunneling model we used does not take into account

Page 91: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

74

scattering events, which is the origin of the series resistance, we cannot directly include

this in our calculations. Instead, we simply add the contact resistance calculated using

the Tsu-Esaki model described in Chapter 2 (from here on denoted by ρC,Tsu-Esaki to

distinguish it from the total specific contact resistivity, ρC) to the resistance calculated

from the bulk material resistivity values:

tIEsakiTsuCC , (4.5)

where ρI is the insulator resistivity and t is its thickness. While this method of estimation

may not give the exact values, the overall trend should hold. Furthermore, this method is

significantly faster than more accurate methods, such as those using the non-equilibrium

Green’s function (NEGF) formalism. Also, in Section 3.4.3 we calculated the mean

scattering length for carriers in TiO2 to be less than the typical thicknesses used,

suggesting that it is indeed appropriate to estimate its resistivity using its bulk values. In

particular, we believe ballistic transport through the TiO2 layer is unlikely given the short

scattering length. Since we are mainly interested in drawing conclusions from the overall

trend, this simple model should suffice.

Using Equation (4.5) we simulate TiO2 MIS contacts on 1019

cm-3

n-type Ge with and

without series resistance as shown in Fig. 4.9. For the plot with series resistance, TiO2

resistivity ρI was taken to be about 37.5Ωcm which was experimentally measured. In

both parts of Fig. 4.9, the vertical axis is the effective metal workfunction in eV at the

metal/TiO2 interface. A larger dipole results in a lower effective workfunction because of

an apparent shift in the metal Fermi level towards the conduction band, resulting in a

smaller electron barrier. Contours of constant ρC are plotted against TiO2 thickness. Red

stars are experimental data points for Al/TiO2/n+ Ge contacts, with the dotted line as a

Page 92: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

75

guide for the eye. Green stars have the same position as red stars, but they are used in the

plot without series resistance since those points are not directly based on experimental

data.

10-6

10-1

10-2

10-3

10-4

10-5(a)

10-1

10-2

10-3

10-4

10-5

10-6

10-7

10-8

(b)

Figure 4.9: Simulated TiO2 MIS contact resistivity (a) with and (b) without series

resistance on 1019

cm-3

n-type Ge. Stars are effective metal workfunctions inferred from

experiments, with the dotted line as a guide. The specific contact resistivity contours are

labeled in units of Ωcm2.

Page 93: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

76

Note that the results correctly predict a ρC approximately 10-4

to 10-3

Ωcm2 for a

pinned metal/n-Ge contact. From Fig. 4.9(a), it can be seen that the effective metal

workfunction quickly drops at small TiO2 thicknesses but saturates at around 4.28eV

value corresponding to a roughly 0.3eV shift from the pinned energy of 4.58eV.

The effective metal workfunction versus oxide thickness is plotted in Fig. 4.10. At

0nm, the metal Fermi level is still at the pinned energy. The effective workfunction

drops quickly going to 1nm of TiO2, and then saturates at that value. From this, we can

establish that about 1–2nm of TiO2 is needed to create the dipole at the metal/TiO2

interface.

Figure 4.10: Metal effective workfunction at the metal/TiO2 interface for various oxide

thicknesses.

From Fig. 4.9 we can make some important observations. There is a large qualitative

difference between the results with and without series resistance. The biggest difference

occurs at low ρC (or low effective workfunctions), suggesting that series resistance will

be extremely important in highly scaled, low resistance contacts. With series resistance

Page 94: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

77

included, it is essentially impossible to obtain a ρC below 10-6

Ωcm2 even with very low

values of ΦBN. This is because the carriers must still drift through the oxide and may be

scattered. However, if series resistance can be controlled, we see that it is possible to

reach very small ρC as long as ΦBN is sufficiently low. This provides a great incentive to

reduce the TiO2 resistivity, as will be discussed in Section 4.6. Even with the effective

workfunctions that we have achieved using TiO2 MIS contacts (i.e. saturating at about

4.28eV as shown in Fig. 4.10), there is an improvement if series resistance can be

minimized, as shown in Fig. 4.11.

Figure 4.11: Simulated ρC with and without series resistance for TiO2 MIS contacts on

1019

cm-3

n-type Ge. Effective metal workfunctions of Fig. 4.10 are assumed for this

calculation.

Although the improvement is slight for this case, the potential improvement is much

greater if higher dipole magnitudes can be achieved. Fig. 4.12(a) plots the simulated ρC

of two MIS contacts, with effective workfunctions of 4.28eV (smaller dipole) and 4.1eV

(larger dipole). Note that the plot only starts at 0.5nm thickness since some oxide is

Page 95: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

78

needed to set up the interfacial dipole. There are two important observations. With high

series resistance, there is very little difference between the 4.28eV and 4.1eV MIS

contact. This implies that the contact is series resistance limited, rather than barrier

height limited. Since we believe we have achieved a 4.28eV effective workfunction, we

conclude that we are beginning to enter the series resistance limited regime. Therefore,

even if greater depinning can somehow be achieved, there will not be an accompanying

decrease in ρC if highly resistive TiO2 continues to be used.

Figure 4.12: (a) Simulated ρC for TiO2 MIS contacts with different effective

workfunctions (EWF) with and without series resistance (RS). (b) The improvement

factor of these MIS contacts by eliminating series resistance.

The second observation is the possibility of significant enhancement at lower

effective metal workfunctions. With a 4.28eV EWF, series resistance only slightly limits

the contact. With a 4.1eV EWF, however, the contact is severely series resistance limited.

Eliminating this series resistance results in a large reduction in ρC. This improvement

factor is plotted in Fig. 4.12(b). For a contact with a 4.1eV EWF, the potential gains can

be over two orders of magnitude. Furthermore, if such a low ΦBN can be achieved

Page 96: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

79

without significant series resistance, it appears that ρC in the low to mid 10-8

Ωcm2 range

can be achieved.

Series resistance is potentially a very serious performance limiter in MIS contacts,

especially for ones that have achieved very low effective barrier heights. Some ideas for

possible solutions are presented in Section 4.6.

4.5 Effect of High Semiconductor Doping

As mentioned in Chapter 2, some methods of dopant activation can increase the

electrically active n-type concentration to 1020

cm-3

and above. This section examines

theoretically the effect of higher semiconductor doping on MIS contacts.

Fig. 4.13 shows the simulated ρC of TiO2 MIS contacts on 1020

cm-3

n-type Ge with

and without series resistance. TiO2 resistivity was taken to be 37.5Ωcm. Again, the

expected dipole magnitudes are denoted by green stars with the dotted line as a guide.

Note that the model correctly predicts the ρC of metal directly on 1020

cm-3

n+ Ge to be

about 3.3×10-7

Ωcm2. This is similar to reported results at this high level of doping, such

as those using laser annealing (7×10-7

Ωcm2 [16] and 2.5×10

-6Ωcm

2 [12]) or

phosphorus/antimony coimplantation (8×10-7

Ωcm2 [19] and 2.1×10

-6Ωcm

2 [86]). The

slightly lower ρC is likely due to the idealities of the simulation and the lack of process

integration issues.

Page 97: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

80

10-3

10-4

10-510-6(a)

10-3

10-4

10-5

10-6

10-7

10-8

(b)

Figure 4.13: Simulated TiO2 MIS contact resistivity (a) with and (b) without series

resistance on 1020

cm-3

n-type Ge. Stars are effective metal workfunctions inferred from

experiments, with the dotted line as a guide. The specific contact resistivity contours are

labeled in units of Ωcm2.

In comparing the two parts of Fig. 4.13, the effect of series resistance becomes very

apparent at high dipole magnitudes (low effective metal workfunctions). In comparing

Page 98: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

81

Fig 4.9(a) to Fig. 4.13(a) (i.e. 1019

cm-3

and 1020

cm-3

doping), series resistance begins to

limit the contact at relatively higher ΦBN for higher doping concentrations. This is

expected because the resistance associated with the Schottky barrier is smaller for the

higher doping, so it is more sensitive to the resistance added by the insulator. This

implies that series resistance will become a greater issue if MIS contacts are applied on

more highly doped substrates. It will be essentially impossible to achieve ρC below mid

10-7

Ωcm2 because the series resistance effect would require a large dipole with less than

0.3nm of TiO2 to achieve lower ρC. In contrast, if series resistance is removed,

significantly lower ρC becomes possible even with the thicker TiO2 needed to set up the

interfacial dipole.

We can use these simulated ρC values to estimate how TiO2 MIS contacts would

perform on 1020

cm-3

n+ Ge by extracting the ρC at the estimated dipole magnitudes, which

is shown in Fig. 4.14. Without TiO2, the contact is in the mid 10-7

Ωcm2 range as

expected. With series resistance factored in, it is not possible to get an improvement

because series resistance immediately limits the MIS contact. If we were able to

eliminate the series resistance of TiO2, it would be possible to achieve a reduction in ρC.

Figure 4.14: Simulated ρC with and without series resistance for TiO2 MIS contacts on

1020

cm-3

n-type Ge. Effective metal workfunctions of Fig. 4.10 are used.

Page 99: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

82

It should be noted that the performance gained by eliminating series resistance is

greater for 1020

cm-3

doping (Fig. 4.14), when compared to lower doping (Fig. 4.11). This

is examined in Fig. 4.15, which compares the effect of series resistance on 1019

cm-3

and

1020

cm-3

n-type Ge. In both cases, a 4.1eV EWF is assumed. With high series resistance,

both doping levels actually yield similar ρC because the MIS contact is limited by the

insulator series resistance which is unaffected by semiconductor doping, rather than the

Schottky barrier which can be modulated by semiconductor doping. However, by taking

away series resistance, the ρC drops to a lower value for the higher doping.

Figure 4.15: (a) Simulated ρC for TiO2 MIS contacts with different semiconductor

doping with and without series resistance (RS). Effective metal workfunction was taken

to be 4.1eV. With series resistance, the 1019

/cm3 and 10

20/cm

3 lines are nearly

indistinguishable, implying that series resistance is dominating in this case. (b) The

improvement factor of these MIS contacts by eliminating series resistance.

It is predicted that series resistance is a greater problem at higher doping, and can

limit MIS contacts even at moderate dipole magnitudes. However, this presents an

opportunity; the improvement by reducing series resistance is greater for higher doped

Page 100: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

83

substrates, as seen in Fig. 4.15(b). This potentially allows for low ρC to be achieved. In

fact, assuming a 4.1eV EWF on 1020

cm-3

n-type Ge without insulator series resistance, a

ρC below 10-8

Ωcm2 is possible. This provides a great incentive to reduce the resistivity of

the insulator layer in MIS contacts.

4.6 MIS Contact Design

We briefly summarize the key tradeoffs that occur in MIS contacts. The first is the

tunneling resistance tradeoff which occurs when electrons must tunnel through the

insulator layer. As we have shown theoretically and experimentally, this tradeoff

mechanism can be seen even at relatively lighter substrate doping levels. It can be

partially mitigated by using low CBO materials such as TiO2. These kinds of MIS

contacts perform significantly better than those with high CBO materials. Furthermore,

they are more scalable to higher substrate doping levels; however, at higher substrate

doping and its accompanying lower ρC, an additional tradeoff mechanism appears in the

form of insulator series resistance. Since electrons must drift through the insulator

through the MIS contact, it is advantageous to use insulators that have a low resistivity.

Therefore, in order to achieve low ρC MIS contacts, it is necessary to use materials with

low resistivity and a low CBO. In the next few sections, we discuss some ideas for

materials that might satisfy these requirements.

4.6.1 Oxygen-Deficient TiO2

The as-deposited resistivity of the ALD TiO2 used in this work was measured to be

about 37.5Ωcm. One way to lower the TiO2 resistivity is to reduce it chemically to form

Page 101: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

84

oxygen-deficient TiO2-x [65]. We achieved this through the use of forming gas annealing

(FGA) for 5 minutes at various temperatures. To measure TiO2 resistivity, 9nm of ALD

TiO2 was first deposited on oxidized Si wafers with very thick SiO2 to confine electrical

conductivity to the TiO2 layer. The optional FGA is done at this point. Metal contacts

were then deposited and patterned into circular TLM structures. Since the total resistance

as a function of gap spacing is measured, the sheet resistance can be extracted. The

resulting TiO2 resistivity is plotted in Fig. 4.16.

Figure 4.16: TiO2 resistivity can be reduced by annealing in FGA for 5 minutes, from

37.5Ωcm (as deposited) down over three orders of magnitude to 0.021Ωcm (500°C).

Without any annealing, TiO2 has a high resistivity of about 37.5Ωcm. However, even

a low temperature 200°C 5-minute FGA reduces the resistivity by over ten times, down

to 2.3Ωcm. With a 500°C 5-minute FGA, TiO2 resistivity is reduced by almost 2000×

down to 0.021Ωcm. Because forming gas is a reducing agent, oxygen is stripped from

TiO2. The resulting oxygen vacancies act as electrical donors [65]. As the temperature

Page 102: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

85

of the FGA is increased, the TiO2 becomes increasingly oxygen-deficient leading to

higher doping levels. The higher carrier density then leads to a reduction in resistivity.

Such a large reduction in insulator resistivity could significantly reduce the

deleterious effects of insulator series resistance. We first study the effect of different

insulator resistivity theoretically, using the same methodology described in Section 4.4.

The results are plotted in Fig. 4.17 for both 1019

cm-3

and 1020

cm-3

n+ Ge.

In Fig. 4.17, the solid black line represents TiO2 MIS contacts without series

resistance, while each of the colored dotted lines represent TiO2 MIS contacts with

different TiO2 resistivities. The blue, green and red dotted lines correspond to a 200°C

anneal (2.3Ωcm), 350°C anneal (0.077Ωcm), and 500°C anneal (0.021Ωcm) respectively.

At relatively high ρC, all the lines lay on top of each other, indicating little effect of series

resistance. At low ρC, however, the lines start to diverge once the contact resistance

becomes dominated by insulator resistivity. Lowering the TiO2 resistivity causes the

contours to more closely resemble those without series resistance. The red dotted line,

corresponding to a 500°C FGA TiO2, shows little divergence from the black line

representing no series resistance, even for a ρC as low as 10-8

Ωcm2. This suggests that a

TiO2 resistivity below 0.021Ωcm may be sufficient, and this can be achieved by

annealing in forming gas at 500°C.

Page 103: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

86

10-6

10-8

(a)

10-4

10-7

10-6

10-8

(b)

10-4

10-7

Figure 4.17: Simulated TiO2 MIS contact resistivity on (a) 1019

cm-3

and (b) 1020

cm-3

n+

Ge. The specific contact resistivity contours are labeled in units of Ωcm2. Different

colors correspond to different TiO2 resistivity: blue (2.3Ωcm), green (0.077Ωcm), and red

(0.021Ωcm). The black line is the ideal ρC without series resistance.

We attempted to fabricate oxygen-deficient TiO2-x MIS contacts on n+ Ge by inserting

an extra RTA step immediately after ALD TiO2 and before the metal contact deposition.

Page 104: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

87

However, the limitations of the RTA system at the Stanford Nanofabrication Facility

(SNF) prevented a controlled experiment. The RTA system did not have a load lock, and

consequently, there was oxygen present in the chamber during the anneal, which we

believe resulted in the growth of an interfacial layer of GeOx. Because of this, a ρC

reduction could not be observed due to the relatively large CBO of GeOx. Nevertheless,

the simulations show that if sufficiently oxygen-deficient TiO2-x can be used, the

insulator series resistance problem can be largely mitigated.

4.6.2 Indium Tin Oxide (ITO)

Indium tin oxide (ITO) is a commonly used transparent conductive oxide (TCO) for

solar cell applications. In this application, they are used in place of metal wiring and

contacts since metal would block sunlight from reaching the active regions of the solar

cell. ITO is a mixture of In2O3 and SnO2, with typical SnO2 concentrations up to 10%.

Like TiO2, ITO is a wide band gap semiconductor which can be doped n-type by oxygen

vacancies. SnO2 is the source of these oxygen vacancies in ITO and thus increasing SnO2

increases the doping level; however, too much SnO2 causes the electron mobility to drop.

In this way, its resistivity can be controlled and can be in the low 10-4

Ωcm range [92],

well below what is needed to mitigate series resistance effects in MIS contacts. ITO can

be deposited by physical vapor deposition techniques including sputtering, as well as

ALD [93] for better thickness control.

Because of their high conductivity, we explored the use of ITO in MIS contacts. ITO

MIS contacts were fabricated in the same way as TiO2 MIS contacts, except the ALD

Page 105: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

88

TiO2 step is replaced by sputtered ITO. Fig. 4.18 plots the I-V characteristics of ITO MIS

contacts on moderately doped (~1018

cm-3

) n-type Ge.

Figure 4.18: Electrical characteristics of ITO MIS contacts on ~1018

cm-3

n-type Ge.

Significant increase in current density is observed indicating a reduction in ΦBN without

introducing tunneling or series resistance.

As with the case of TiO2 MIS contacts, there is a significant increase in current levels

with the use of ITO MIS contacts, indicating that ITO can also effectively reduce the

electron barrier height. Furthermore, very thick ITO can be used (up to 13nm), indicating

the minimization of tunneling resistance. Because of the high conductivity of ITO, these

MIS contacts should not suffer from series resistance effects. Future work is needed to

apply ITO MIS contacts on heavily doped semiconductors; with its low tunneling

resistance and material resistivity, it has the potential to achieve low ρC values.

4.6.3 Oxygen-Deficient ZnO

Recently, P. P. Manik et al. [94] fabricated ZnO MIS contacts on n-type Ge. As seen

in Fig. 4.19, the use of ZnO can reduce ΦBN, causing the current levels to increase as

Page 106: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

89

expected. However, with an anneal, the current level increases further. This can be

explained by the doping behavior of ZnO, which is again a wide band gap semiconductor

doped n-type by oxygen vacancies. Because titanium was used as the metal contact, a

thermal treatment causes oxygen from the ZnO to migrate towards titanium to minimize

energy, resulting in oxygen vacancies in the ZnO layer. This oxygen-deficient ZnO is

now more conductive and also further minimizes tunneling resistance, resulting in a

higher current level.

Figure 4.19: (From [94]) I-V characteristics of ZnO MIS contacts on n-type Ge.

Using this technique on n+ Ge with a doping level of 2.5×10

19cm

-3, a very low ρC of

about 1.4×10-7

Ωcm2 was achieved. To our knowledge, this is the lowest reported ρC on

n-type Ge to date.

4.7 Scalability

In this section we discuss the scalability of MIS contacts, using the TiO2 system as an

example. Fig. 4.20 plots the simulated ρC as a function of germanium substrate n-type

doping density for a variety of effective metal workfunctions using 1nm TiO2 MIS

Page 107: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

90

contacts. The thickness of 1nm was chosen since a certain thickness is required to

properly set up the interfacial dipole. Different thicknesses will only change the results

slightly. Series resistance was neglected since it is assumed this issue can be properly

mitigated through the use of more conductive materials. The simulated ρC of pinned

metal/n-Ge contacts is also shown, and agrees well with experimental data.

Figure 4.20: Simulated ρC as a function of n-type Ge doping level for a variety of

effective metal workfunctions using 1nm TiO2 MIS contacts. The dotted gray line is for

a metal/Ge contact, where the effective metal workfunction is pinned at 4.58eV.

At lower doping levels even a slight decrease in metal effective workfunction from

4.58eV to 4.5eV is enough for this MIS contact to outperform conventional contacts. At

a doping level of 1020

cm-3

, a reduction from 4.58eV to about 4.35eV is required. These

effective workfunctions have already been achieved using TiO2 MIS contacts, which is a

positive sign. Furthermore, the model predicts that at 1020

cm-3

doping, an effective

workfunction of 4.3eV would achieve a low ρC of about 10-7

Ωcm2. To achieve ρC below

10-8

Ωcm2 an effective workfunction of about 4.1eV would be required. These EWF

values can be relaxed somewhat if even higher doping can be achieved. It appears that

Page 108: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

91

MIS contacts are very scalable and capable of achieving very low ρC at high doping

levels.

It is interesting that the lowest ρC on n-type Ge to date was achieved using MIS

contacts (i.e. ZnO MIS contacts [94]). Specific contact resistivities may improve further

when MIS contacts are applied together with high doping techniques. To successfully

integrate these types of contacts on more heavily doped substrates, the physical

mechanisms behind the operation of MIS contacts should be well studied in order to

create interfacial dipoles with larger magnitudes. Also, the fundamental tradeoffs should

be well understood, including the effects of tunneling resistance and insulator series

resistance in order to achieve low values of ρC.

4.8 Summary

Both MIGS and dipoles appear to play important roles in the operation of MIS

contacts. MIGS at the metal/TiO2 interface result in strong pinning due to the high

dielectric constant of TiO2. A dipole at that interface, likely resulting from surface

reconstruction or charge transfer, shifts the metal Fermi level towards the conduction

band, making it favorable for n-type contacts. Our experiments with annealing TiO2 MIS

contacts suggest that the metal/TiO2 interface is critical for the correct operation of the

MIS structure, rather than charges in the oxide.

The series resistance effect was also studied theoretically, and it was found to be a

potential performance limiter, especially in the case of the low barrier height, high doping

regime. In order to achieve low ρC values, it will be necessary to reduce the interfacial

layer resistivity, which can be achieved using oxygen-deficient TiO2-x, ITO, or oxygen-

Page 109: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

92

deficient ZnO. If series resistance can be controlled, MIS contacts appear to be scalable

to very low ρC values.

Page 110: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

93

Chapter 5

Germanide Contacts

5.1 Nickel Germanide

Germanium forms a germanide with many metals, including nickel, cobalt, titanium,

platinum, and platinum [87]. While other metals also form germanides, their formation

temperatures are very high or they did not yield a low resistivity phase. This renders

them unsuitable for integration in source/drain contacts since a high temperature anneal

may affect the shallow junction dopant profiles; high resistivity is also deleterious since

they would increase the transistor access resistance. Among the candidate metals, nickel

is a good choice because it forms nickel monogermanide (NiGe) at a relatively low

temperature and the resulting NiGe exhibits low resistivities, in the range of 22μΩcm

[87].

Because of its advantages, nickel germanide has been widely used in n+ Ge contacts.

Using phosphorus implant and 500°C anneal to achieve 3–6×1019

cm-3

doping

concentration, NiGe contacts achieved 3.46×10-6

Ωcm2 in the work by Shayesteh et al.

[88]. Arsenic implant was also used in that work but the resulting ρC was not as good.

The work by Gallacher et al. [89] achieved a very low ρC of (2.3±1.8)×10-7

Ωcm2 using

NiGe contacts. This very low ρC was attributed to annealing temperature optimization to

obtain the nickel monogermanide phase. Another explanation could be the fact that the

phosphorus doping was achieved via in situ doping during the Ge epitaxial growth rather

than by ion implantation; this results in lower defects (i.e. p-type vacancies) in the

Page 111: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

94

germanium layer. Furthermore, as discussed in Chapter 2, nickel germanides have been

used to segregate dopants or chalcogens at the germanide/germanium interface, resulting

in a lower effective barrier height.

In the next few sections, we discuss the formation of NiGe and apply it to n+ Ge

contacts to yield very low specific contact resistivities.

5.2 Formation of NiGe

Nickel germanide can be formed by depositing a thin (typically 10nm – 30nm) nickel

on germanium, and annealing. During the annealing process, germanium is consumed to

form nickel germanide. The amount of nickel consumed depends on the annealing

temperature since the nickel germanide between the nickel and germanium slows down

the reaction rate. To a large extent, the annealing temperature also controls the

germanide phase. Fig. 5.1 plots the measured sheet resistance of a thin nickel germanide

film, which was grown from 20nm of deposited Ni and annealed at various temperatures

for 30 seconds. Sheet resistance was measured using a standard automated 4-point probe

setup. Although the underlying germanium may also conduct some current, because

highly-resistive undoped germanium wafers were used, its contribution to the sheet

resistance would be low. The measured sheet resistance is dominated by the nickel

germanide layer. The lowest resistance was found to be from films grown between

300°C and 450°C, indicating a wide and relatively low temperature window. Although

we did not confirm this, we believe this temperature window to give nickel

monogermanide (i.e. NiGe) since it is the low resistance phase. Furthermore, this

temperature window is similar to the temperatures used in literature [87-90].

Page 112: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

95

Temperature Window: 300°C - 450°C

Figure 5.1: Temperature window for the low resistance phase of NiGe is between 300°C

and 450°C.

5.3 P and Sb Coimplantation with NiGe Contacts

In Chapter 2 it was mentioned that phosphorus and antimony coimplantation could

achieve higher n-type dopant concentration (over 1020

cm-3

) compared to implantation

with a single dopant species. In this section, we combine this technique with NiGe

contacts and achieve very low specific contact resistivities.

As before, circular TLM structures were used to obtain ρC. The starting material was

undoped germanium heteroepitaxially deposited on silicon. A thin (5nm – 10nm) SiO2

cap was deposited by PECVD before ion implantation. Phosphorus at 90keV energy and

6×1014

cm-2

dose and antimony at 65keV energy and 6×1014

cm-2

was used. Control

samples only received the phosphorus ion implant. Dopant activation was done at 500°C

for 10 seconds in nitrogen. Photoresist with the circular TLM structure was patterned

and hard baked for liftoff. The SiO2 was etched away using dilute HF immediately prior

to deposition of 20nm Ni, 10nm Ti, and 100nm Al. After metal liftoff and photoresist

removal, the NiGe was formed using RTA at 350°C for 30 seconds in nitrogen. Non-

Page 113: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

96

germanide contacts were also fabricated for comparison. In these samples, metal

deposition consisted of 10nm Ti followed by 100nm Al. Metal liftoff and photoresist

removal were done as in the germanide samples, and the RTA step is skipped. A

schematic of the cross-section is shown in Fig. 5.2. Note that the germanide samples

have a recessed contact because of the germanium consumption during the germanidation

process. Although we assumed that 20nm of Ni was totally consumed to form about

50nm of NiGe, this was not confirmed. If we had unreacted Ni it would simply form part

of the contact pad and should not affect the electrical results.

P-type Si (500μm)

Highly-doped n+ Ge

Epitaxy P-type Ge (2μm)

SiO2 SiO2

100nm Al10nm Ti

50nm NiGe

P-type Si (500μm)

Highly-doped n+ Ge

Epitaxy P-type Ge (2μm)

SiO2 SiO2

100nm Al10nm Ti

Figure 5.2: Schematic cross-section of TLM structures used to extract ρC. Germanide

contacts are shown on the left and conventional metal contacts are shown on the right.

NiGe formation consumes some germanium, resulting in a slightly recessed contact.

Note that it is important to cap the Ni with other metals without a vacuum break

during this process to prevent oxidation. If this was not done, the ρC was typically higher.

Also, it is important to use a thick metal pad (at least 100nm of Al); if the pad resistance

is too large, the metal is no longer an equipotential surface resulting in erroneous ρC

values extracted using the TLM technique. Also, if the pad resistance was too high, the

measured resistance would depend on the exact measurement probe tip position. Finally,

a low germanidation temperature was chosen (350°C) since it is low enough to prevent

Page 114: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

97

significant dopant diffusion, but still high enough to reside within the temperature

window for NiGe formation.

After this process, the electrically active n-type dopant concentration near the surface

is about 7×1019

cm-3

for the coimplantated samples as shown in Fig. 5.3. This is

significantly higher than the phosphorus only samples (about 2×1019

cm-3

) although not as

high as the reported activation levels in the original work [17] which reached over

1020

cm-3

. One possible reason for this lower dopant level is the fact that epitaxial

germanium substrates were used instead of bulk substrates; the slightly higher defect

density could decrease the activation levels. Secondly, this process is very sensitive to

the SiO2 cap layer thickness and doping conditions. Some further optimization in these

process parameters should push the dopant activation level to 1020

cm-3

or higher.

Figure 5.3: (From [86]) Electrically active n-type dopant profile as measured by SRP

for P only (blue) and P+Sb coimplant (red) samples.

Page 115: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

98

5.3.1 Contact Resistance

The electrical data from the circular TLM measurement is summarized in Table 5.1

for all four combinations of samples (2 metallization and 2 doping schemes). For

phosphorus only implant with conventional metallization (i.e. Al/Ti), the specific contact

resistivity was about 4.1×10-5

Ωcm2, which is around the expected value. By going to the

P+Sb coimplanted sample with conventional metallization, the specific contact resistivity

drops to 2.1×10-6

Ωcm2. This large reduction in ρC is due to the increase in dopant

activation, which can be seen by the reduction in the n+ layer sheet resistance (45.6Ω

down to 34.7Ω for the coimplanted sample). The sheet resistance was extracted using the

circular TLM measurement, and it agrees well with SRP data.

Table 5.1: Summary of Electrical Measurements

Contact Scheme Specific Contact

Resistivity (Ωcm2)

Sheet Resistance

(Ω)

Al/Ti on P only 4.1×10-5

45.6

Al/Ti on P+Sb 2.1 ×10-6

34.7

NiGe on P only 2.0×10-6

46.2

NiGe on P+Sb 5.5×10-7

36.5

The NiGe contacts behave slightly differently. With the same doping conditions,

NiGe contacts outperform conventional metal contacts. With phosphorus only, going

from Al/Ti to NiGe contacts reduced ρC from 4.1×10-5

Ωcm2 to 2.0×10

-6Ωcm

2. With

P+Sb coimplantation, going from Al/Ti to NiGe contacts reduced ρC from 2.1×10-6

Ωcm2

to 5.5×10-7

Ωcm2. It should be noted that the underlying n

+ Ge layer sheet resistances

stayed the same between the Al/Ti contacts and the NiGe contacts, indicating that the

dopant activation level is similar. Because of this, it can be inferred that the use of NiGe

contacts seems to alter the effective electron barrier height, which will be discussed in the

next subsection. The use of NiGe contacts on P+Sb coimplanted n+ Ge yielded the

Page 116: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

99

lowest ρC at the time the work was done [86], and may be suitable for integration onto

source/drain regions of Ge NMOSFETs.

5.3.2 Effect of Dopant Segregation

The fact that NiGe contacts show lower ρC than their Al/Ti counterparts can be

explained by considering the effect of dopant segregation. As discussed in Chapter 2,

dopant segregation at germanide/germanium interfaces can reduce the effective barrier

height. Fig. 5.4 shows the secondary ion mass spectroscopy (SIMS) profile for the NiGe

contacts on P+Sb coimplanted samples.

Figure 5.4: (From [86]) SIMS profile for NiGe contacts on P+Sb coimplanted samples.

P and Sb segregation at the NiGe/Ge interface can be seen, where the interface was

determined from the Ni and Ge concentrations.

The NiGe/Ge interface is approximately 50μm deep and was estimated from the Ni

and Ge profiles. The P and Sb concentrations inside the nickel germanide are

significantly reduced compared to Fig. 5.3 due to the snowplow effect. They are pushed

towards the interface during the germanidation process. As seen in Fig. 5.4, there is a

Page 117: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

100

significant peak in dopant concentrations at the NiGe/Ge interface, especially for the Sb

species due to its larger mass.

Specific contact resistivity was simulated versus doping concentration for a variety of

barrier heights as shown in Fig. 5.5. The same tunneling matrix formalism introduced in

Section 2.3.3 was used for these calculations. For conventional metallization (Al/Ti), the

data points for P only (at about 2×1019

cm-3

doping) and P+Sb (at about 7×1019

cm-3

doping) lie on the line for a 0.55eV barrier, which is close to the expected value due to

Fermi level pinning. However, for the lower ρC contacts using NiGe, the two data points

lie on the line for a roughly 0.44eV barrier, indicating an apparent ~0.1eV barrier height

reduction.

Figure 5.5: (From [86]) Simulated ρC versus n-type Ge doping concentration for various

barrier heights. NiGe contacts show an apparent 0.1eV reduction in ΦBN compared to the

pinned Al/Ti contacts.

Even though the doping concentration is similar for Al/Ti or NiGe contacts using

P+Sb coimplantation, the lower ρC achieved by the NiGe contacts can be partially

attributed to the apparent reduction in ΦBN due to a small dipole at the NiGe/Ge interface.

Page 118: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

101

The large concentration of donors on the germanium side of the interface leads to positive

ions, which sets up the dipole as shown schematically in Fig. 5.6. This shifts the vacuum

level higher on the NiGe side, which effectively shift the NiGe Fermi level towards the

conduction band.

Figure 5.6: (From [86]) Schematic diagram of (a) metal/Ge and (b) NiGe/Ge contacts.

NiGe contacts with dopant segregation introduce a small dipole which shifts the

germanide Fermi level towards the germanium conduction band.

Combined with a high active doping concentration using P+Sb coimplantation, this

reduction in ΦBN produces a very low ρC of 5.5×10-7

Ωcm2. One simple way to improve

this ρC even further is to optimize the P and Sb implantations to achieve over 1020

cm-3

active doping versus the roughly 7×1019

cm-3

used in this work. However, the ρC of

5.5×10-7

Ωcm2 is already lower than that achieved using techniques such as laser dopant

annealing (7×10-7

Ωcm2 [16] and 2.5×10

-6Ωcm

2 [12]), P and Sb coimplantation with

conventional metallization (8×10-7

Ωcm2 [19]), and Si passivation (1.4×10

-6Ωcm

2 [12]).

The low temperature requirement of the NiGe formation process also makes this contact

scheme more easily integrated into a CMOS process flow.

Page 119: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

102

5.4 Chalcogen Segregation

As discussed in Chapter 2, chalcogens can be used to depin the metal Fermi level at

metal/semiconductor interfaces. In particular, sulfur and selenium have produced good

depinning characteristics on germanium. In this section we briefly describe the use of

sulfur segregation in conjunction with NiGe.

The starting substrate was a lightly n-type doped bulk Ge wafer. After substrate

cleaning and native oxide removal, 20nm of Ni was deposited onto the sample surface.

Sulfur was then ion implanted at 10keV energy and 1×1015

cm-2

dose into the thin Ni

layer. RTA was then performed at various temperatures to both form the NiGe as well as

segregate the sulfur to the NiGe/Ge interface in one step. Additional metal was then

deposited and patterned into pads for electrical measurements. There are alternative

ways to achieve sulfur segregation using germanides. For example, it is possible to do a

shallow implant of sulfur directly into the germanium followed by Ni deposition and

germanidation. Another method is to form the NiGe first, followed by sulfur ion

implantation and a second anneal to drive the sulfur to the NiGe/Ge interface. In this

section, however, we focus on the method of implanting sulfur into Ni, followed by RTA.

The electrical I-V characteristics of these NiGe/Ge Schottky diodes with sulfur

segregation are shown in Fig. 5.7. The control sample (black line) did not receive a

sulfur implant, but the RTA was still performed at 300°C for 30 seconds to form the

NiGe. Going from the control sample to the sample with sulfur annealed at 300°C (red

line) provided no benefits, indicating that the thermal budget was not enough to segregate

the sulfur to the interface. However, at 500°C there is a significant increase in the diode

reverse current, indicating a decrease in ΦBN. Note that 500°C is approximately the

Page 120: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

103

upper limit of the NiGe formation temperature window, so it would not be advisable to

go above this temperature. Indeed, at 600°C, the current starts to drop again likely as a

result of the degradation of the NiGe layer.

Figure 5.7: Effect of sulfur segregation to the NiGe/Ge interface causes a reduction in

effective ΦBN. With increased levels of sulfur, the Schottky diode reverse current

increases and becomes more ohmic.

It can be seen that a higher thermal budget allows for more segregation and is

favorable in terms of a lower ΦBN. However, the thermal stability of the germanide

places a limit on allowed temperatures. It may be worthwhile to explore alternative

germanides which can withstand higher temperatures, such as nickel-alloy germanides,

which can potentially have a higher temperature window if refractory materials such as

Ti or Pt are incorporated into the NiGe. Another approach is to use an ammonium

fluoride pretreatment [91] which can extend the NiGe temperature window up to 600°C.

The use of sulfur and other chalcogens opens up further possibilities for germanide

contacts. Although dopant segregation in P+Sb coimplanted samples with NiGe contacts

exhibited a roughly 0.1eV ΦBN reduction, it may be possible to achieve further ΦBN

Page 121: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

104

reduction if chalcogens are also incorporated at the interface. This would increase

process complexity in that three ion implants would need to be performed and optimized,

but the result could be a significantly lower ρC.

5.5 Summary

Using phosphorus and antimony coimplantation to achieve high n-type dopant

activation in Ge together with NiGe resulted in very low ρC of 5.5×10-7

Ωcm2. On

similarly-doped substrates, NiGe contacts achieved a lower ρC compared to standard

metallization. This was attributed to the effect of dopant (i.e. Sb) segregation at the

NiGe/Ge interface which reduced the effective barrier height by about 0.1eV. Sulfur

segregation using NiGe was also found to reduce the effective barrier height. This opens

up the possibility to achieve low ρC using germanide contacts by incorporating both

dopant and chalcogen segregation to lower the barrier height.

Page 122: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

105

Chapter 6

Conclusions

6.1 Benchmarks

Several n-type Ge contacts are summarized in Table 6.1.

Table 6.1: Summary of Selected Contact Schemes on N-Type Ge

Method References Substrate

Doping (cm-3

)

Specific Contact

Resistivity (Ωcm2)

Conventional Contacts

P with Ti/Al [12, 13] 2-3×1019

~10-4

MIS Schemes

TiO2 MIS Contacts [44, 45] 2-3×1019

1.3×10-6

ZnO MIS Contacts [94] 2.5×1019

1.4×10-7

Doping Schemes

P+Sb with Ti/Al [86]

[19]

7×1019

1×1020

2.1×10-6

8×10-7

P+Sb with NiGe [86] 7×1019

5.5×10-7

P with F Defect Passivation [21] 1×1020

~10-6

Sb with Laser Annealing [16]

[12]

1×1020

1×1020

7×10-7

2.5×10-6

Other

Si0.8Ge0.2 Contacts [95] 1×1020

~1×10-6

Si Passivation [12] 1×1020

1.4×10-6

Conventional contacts on a phosphorus doped substrate yields a relatively high ρC of

around 10-4

Ωcm2. This is due to the Fermi level pinning problem and the low electrically

active doping concentration (low 1019

cm-3

range). To address the Fermi level pinning

problem, MIS schemes have been used, including those using TiO2 and ZnO, both of

which achieve a lower ρC without increasing the doping level. Doping can also be

increased to reduce ρC, including the use of P and Sb coimplantation, fluorine passivation,

and laser annealing. Finally, the use of silicon, either in the form in a SiGe alloy or a thin

Page 123: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

106

Si layer on top of the Ge contact, have been used to reduce ρC. The improvement here is

due to the higher doping concentration present in Si or SiGe compared to Ge.

6.2 Contributions and Suggestions for Future Work

This thesis has focused on two methods for reducing n-type Ge contact resistance.

The first method is the use of MIS contacts. Although tunneling resistance in these

structures was already previously identified, using tunneling transport simulations we

concluded that low ρC can only be achieved with low band offset interfacial layers. TiO2

was identified as a candidate material due to its roughly zero CBO to Ge. Experiments

confirmed the significant reduction in tunneling resistance using TiO2 MIS contacts. On

n+ Ge with low 10

19cm

-3 doping, TiO2 MIS contacts achieved a ρC of 1.3×10

-6Ωcm

2,

which significantly outperformed conventional MIS contacts using high CBO materials.

Series resistance of the insulator layer was identified as a new tradeoff mechanism which

can limit ρC in the low ρC and/or high doping regime. Dipoles at the metal/TiO2 interface

were identified as being partially responsible for the ΦBN reduction as the dipole gives

rise to a lower effective metal workfunction. In particular, charges in the insulator do not

seem to play a major role.

There are several avenues which can be pursued. TiO2 MIS contacts can be applied

on a wider variety of semiconductors which have an electron affinity close to that of TiO2

(~4eV) since this gives rise to a low CBO. More generally, the fundamental principle to

minimize tunneling resistance can be used for evaluating any MIS contact scheme,

including the case for p-type contacts. For p-type MIS contacts, valence band offset

would need to be minimized. In order to improve contact performance, MIS contacts

Page 124: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

107

should be used in conjunction with high doping techniques since both high doping and

low barrier heights are needed for very low ρC. Oxygen-deficient TiO2 or ITO should be

explored in the context of MIS contacts since insulator series resistance will become very

important under these conditions. Efforts should also go towards achieving higher dipole

magnitudes. One way is to introduce additional dipoles by using a bilayer insulator

approach as in [47], except low CBO materials should be used throughout the entire

contact. Another method is to combine chalcogen passivation with MIS contacts; for

example, MIS contacts can be applied to sulfur-passivated germanium substrates. This

may have the potential to achieve even lower ΦBN values if the two techniques create an

additive effect. Finally, MIS contacts should be applied on short channel MOSFETs in

order to clearly see the current improvement due to parasitic resistance reduction.

Alternative transistors such as metal source/drain transistors incorporating MIS contacts

should be explored further as these have the potential to significantly reduce parasitic

resistance below that of conventional doped source/drain transistors.

The second method is the use of germanide contacts. In particular, we studied nickel

germanide and applied it to a highly doped substrate using the phosphorus and antimony

coimplantation technique. By doing so, a very low ρC of 5.5×10-7

Ωcm2 was achieved.

Dopant segregation due to the NiGe formation process was found to give a small

reduction in ΦBN, leading to the low value of ρC obtained.

In terms of future work, one very exciting possibility is the use of chalcogens. By

segregating chalcogens such as sulfur or selenium at the germanide/germanium interface,

a potentially lower ΦBN could be achieved compared to dopant segregation alone. The

experiment should also be performed on bulk germanium wafers with carefully optimized

Page 125: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

108

implant conditions; the lower defect densities should lead to over 1020

cm-3

active doping

concentration (versus the 7×1019

cm-3

used in this work) and an even lower ρC. Again,

this method of contacting germanium should be applied on the short channel Ge

MOSFETs to observe the increase in transistor performance.

Page 126: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

109

List of References

[1] Gordon Moore, “Cramming more components onto integrated circuits,” Electronics,

28 (8), 1965.

[2] S. Thompson, et al., “A 90 nm logic technology featuring 50nm strained silicon

channel transistors, 7 layers of Cu interconnects, low k ILD, and 1 um2 SRAM Cell,”

IEDM Technical Digest, pp. 61-64, 2002.

[3] T. Ghani, et al., “A 90nm high volume manufacturing logic technology featuring

novel 45nm gate length strained silicon CMOS transistors,” IEDM Technical Digest,

pp. 978-980, 2003.

[4] K. Mistry, et al., “Delaying forever: Uniaxial strained silicon transistors in a 90nm

CMOS technology,” Proc. VLSI Technology Symposium, pp. 50-51, 2004.

[5] S. Thompson and S. Parthasarathy, “Moore’s law: the future of Si microelectronics,”

Materials Today, vol. 9, no. 6, 2006.

[6] C. Chui, et al., “Activation and diffusion studies of ion-implanted p and n dopants in

germanium,” Appl. Phys. Lett., vol. 83, no. 16, 2003.

[7] S. Brotzmann and H. Bracht, “Intrinsic and extrinsic diffusion of phosphorus, arsenic,

and antimony in germanium,” Journal of Appl. Phys., vol. 103, pp. 033508, 2008.

[8] A. Chroneos, et al., “Vacancy-arsenic clusters in germanium,” Appl. Phys. Lett., vol.

91, pp. 192106, 2007.

[9] S. Swirhun, “Characterization of Majority and Minority Carrier Transport in Heavily

Doped Silicon,” Ph.D. Thesis, Stanford University, 1987.

Page 127: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

110

[10] T. Nishimura, K. Kita, and A. Toriumi, “Evidence for strong Fermi-level pinning

due to metal-induced gap states at metal/germanium interface,” Appl. Phys. Lett., vol.

91, pp. 123123, 2007.

[11] A. Dimoulas, P. Tsipas, and A. Sotiropoulos, “Fermi-level pinning and charge

neutrality level in germanium,” Appl. Phys. Lett., vol. 89, pp. 252110, 2006.

[12] K. Martens, et al., “Record low contact resistivity to n-type Ge for CMOS and

memory applications,” IEDM Technical Digest, pp. 428, 2010.

[13] J. Oh, et al., “Mechanisms for low on-state current of Ge (SiGe) nMOSFETs: A

comparative study on gate stack, resistance, orientation-dependent effective mass,”

Proc. VLSI Technology Symposium, pp. 238, 2009.

[14] S.-D. Kim, C.-M. Park, J. Woo, “Advanced Model and Analysis of Series Resistance

for CMOS Scaling Into Nanometer Regime—Part II: Quantitative Analysis,” IEEE

Trans. Electron Devices, vol. 49, no. 3, 2002.

[15] C. White, et al., “Supersaturated substitutional alloys formed by ion implantation

and pulsed laser annealing of group-III and group-V dopants in silicon,” Journal of

Appl. Phys., vol. 51, no. 1, pp. 738, 1980.

[16] G. Thareja, et al., “High performance germanium N-MOSFET with antimony dopant

activation beyond 1×1020

cm-3

,” IEDM Technical Digest, pp. 245, 2010.

[17] J. Kim, et al., “Activation of Implanted n-Type Dopants in Ge Over the Active

Concentration of 1×1020

cm-3

Using Coimplantation of Sb and P,” Electrochemical

and Solid-State Lett., vol. 13, no. 1, 2010.

Page 128: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

111

[18] P. Tsouroutas, D. Tsoukalas, and H. Bracht, “Experiments and simulations on

diffusion and activation of codoped with arsenic and phosphorus germanium,”

Journal of Appl. Phys., vol. 108, pp. 024903, 2010.

[19] G. Thareja, et al., “Electrical Characteristics of Germanium n+/p Junctions Obtained

Using Rapid Thermal Annealing of Coimplanted P and Sb,” IEEE Electron Device

Lett., vol. 32, no. 5, 2011.

[20] A. Chroneos, R. Grimes, and H. Bracht, “Fluorine codoping in germanium to

suppress donor diffusion and deactivation,” Journal of Appl. Phys., vol. 106, pp.

063707, 2009.

[21] W.-S. Jung, et al., “Enhancement of Phosphorus Dopant Activation and Diffusion

Suppression by Fluorine Co-implant in Epitaxially grown Germanium,” Intl. SiGe

Technology and Device Meeting, 2012.

[22] W.-S. Jung, et al., “Fluorine passivation of vacancy defects in bulk germanium for

Ge metal-oxide-semiconductor field-effect transistor application,” Appl. Phys. Lett.,

vol. 101, pp. 072104, 2012.

[23] H. Lee, et al., “Characteristics improvement of HfO2/Ge gate stack structure by

fluorine treatment of germanium surface,” Appl. Surface Science, vol. 254, 2008.

[24] R. Xie, et al., “High-k gate stack on germanium substrate with fluorine

incorporation,” Appl. Phys. Lett., vol. 92, pp. 163505, 2008.

[25] V. Heine, “Theory of Surface States,” Physical Review, vol. 138, no. 6A, 1965.

[26] J. Tersoff, “Schottky Barrier Heights and the Continuum of Gap States,” Physical

Rev. Lett., vol. 52, no. 6, 1984.

Page 129: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

112

[27] J. Robertson, “Band offsets of wide-band-gap oxides and implications for future

electronic devices,” Journal of Vacuum Science and Technology B, vol. 18, no. 3,

2000.

[28] Y.-C. Yeo, T.-J. King, C. Hu, “Metal-dielectric band alignment and its implications

for metal gate complementary metal-oxide-semiconductor technology,” Journal of

Appl. Phys., vol. 92, no. 12, 2002.

[29] M. Cardona and N. Christensen, “Acoustic deformation potentials and

heterostructure band offsets in semiconductors,” Physical Review B, vol. 35, no. 12,

1987.

[30] D. Connelly, et al., “A New Route to Zero-Barrier Metal Source/Drain MOSFETs,”

IEEE Journal of Nanotechnology, vol. 3, no. 1, 2004.

[31] R. Tung, “Formation of an electric dipole at metal-semiconductor interfaces,”

Physical Review B, vol. 64, pp. 205310, 2001.

[32] R. Tung, “Recent advances in Schottky barrier concepts,” Materials Science and

Engineering R, vol. 35, 2001.

[33] M. Kobayashi, et al., “Fermi level depinning in metal/Ge Schottky junction for metal

source/drain Ge metal-oxide-semiconductor field-effect-transistor application,”

Journal of Appl. Phys., vol. 105, pp. 023702, 2009.

[34] B. Coss, et al., “Contact Resistance Reduction to FinFET Source/Drain Using Novel

Dielectric Dipole Schottky Barrier Height Modulation Method,” IEEE Electron

Device Lett., vol. 32, no. 7, 2011.

Page 130: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

113

[35] B. Coss, et al., “CMOS Band-Edge Schottky Barrier Heights Using Dielectric-

Dipole Mitigated (DDM) Metal/Si for Source/Drain Contact Resistance Reduction,”

Proc. VLSI Technology Symposium, pp. 104, 2009.

[36] R. Lieten, et al., “Ohmic contact formation on n-type Ge,” Appl. Phys. Lett., vol. 92,

pp. 022106, 2008.

[37] T. Takahashi, et al., “Proof of Ge-interfacing Concepts for Metal/High-k/Ge

CMOS—Ge-intimate Material Selection and Interface Conscious Process Flow,”

IEDM Technical Digest, pp. 697, 2007.

[38] T. Nishimura, et al., “A Significant Shift of Schottky Barrier Heights at Strongly

Pinned Metal/Germanium Interface by Inserting an Ultra-Thin Insulating Film,”

Applied Phys. Express, vol. 1, pp. 051406, 2008.

[39] Y. Zhou, et al., “Alleviation of Fermi-level pinning effect on metal/germanium

interface by insertion of an ultrathin aluminum oxide,” Appl. Phys. Lett., vol. 93, pp.

202105, 2008.

[40] D. Lee, et al., “The influence of Fermi level pinning/depinning on the Schottky

barrier height and contact resistance in Ge/CoFeB and Ge/MgO/CoFeB structures,”

Appl. Phys. Lett., vol. 96, pp. 052514, 2010.

[41] Y. Zhou, et al., “Investigating the origin of Fermi level pinning in Ge Schottky

junctions using epitaxially grown ultrathin MgO films,” Appl. Phys. Lett., vol. 96, pp.

102103, 2010.

[42] Z. Li, et al., “Tuning Schottky Barrier Height in Metal/n-Type Germanium by

Inserting an Ultrathin Yttrium Oxide Film,” ECS Solid State Lett., vol. 1, no. 4, 2012.

Page 131: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

114

[43] J.-Y. J. Lin, et al., “Increase in current density for metal contacts to n-germanium by

inserting TiO2 interfacial layer to reduce Schottky barrier height,” Appl. Phys. Lett.,

vol. 98, pp. 092113, 2011.

[44] J.-Y. J. Lin, et al., “Metal-Insulator-Semiconductor Contacts on Ge: Physics and

Applications,” Intl. SiGe Technology and Device Meeting, 2012.

[45] J.-Y. J. Lin, et al., “Reduction in Specific Contact Resistivity to n+ Ge Using TiO2

Interfacial Layer,” IEEE Electron Device Lett., vol. 33, no. 11, pp. 1541, 2012.

[46] J. Hu, K. Saraswat, H.-S. P. Wong, “Metal/III-V Schottky barrier height tuning for

the design of nonalloyed III-V field-effect transistor source/drain contacts,” Journal

of Appl. Phys., vol. 107, pp. 063712, 2010.

[47] J. Hu, K. Saraswat, H.-S. P. Wong, “Metal/III-V effective barrier height tuning using

atomic layer deposition of high-κ/high-κ bilayer interfaces,” Appl. Phys. Lett., vol.

99, pp. 092107, 2011.

[48] Z. Yuan, et al., “Schottky barrier height reduction for metal/n-GaSb contact by

inserting TiO2 interfacial layer with low tunneling resistance,” Appl. Phys. Lett., vol.

98, pp. 172106, 2011.

[49] R. Tsu, L. Esaki, “Tunneling in a finite superlattice,” Appl. Phys. Lett., vol. 22, no.

11, 1973.

[50] A. Roy, J.-Y. J. Lin, K. Saraswat, “Specific Contact Resistivity of Tunnel Barrier

Contacts Used for Fermi Level Depinning,” IEEE Electron Device Lett., vol. 41, no.

10, pp. 1077, 2010.

[51] A. Roy, “Tunneling Contacts for Novel Semiconductor Devices,” Ph.D. Thesis,

Stanford University, 2012.

Page 132: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

115

[52] E. Kaxiras, “Semiconductor-surface restoration by valence-mending adsorbates:

Application to Si(100):S and Si(100):Se,” Phys. Rev. B, vol. 43, no. 8, 1991.

[53] M. Tao, et al., “Low Schottky barrier to n-type silicon (001),” vol. 83, no. 13, pp.

2593, 2003.

[54] T. Maeda, et al., “Sulfur passivation of Ge (001) surfaces and its effects on Schottky

barrier contact,” Materials Science in Semiconductor Processing, vol. 9, pp. 706,

2006.

[55] A. Thathachary, et al., “Fermi level depinning at the germanium Schottky interface

through sulfur passivation,” Appl. Phys. Lett., vol. 96, pp. 152108, 2010.

[56] K. Ikeda, et al., “Modulation of NiGe/Ge Schottky barrier height by sulfur

segregation during Ni germanidation,” Appl. Phys. Lett., vol. 88, pp. 152115, 2006.

[57] Z. Zhang, et al., “Schottky-Barrier Height Tuning by Means of Ion Implantation Into

Preformed Silicide Films Followed by Drive-In Anneal,” IEEE Electron Device Lett.,

vol. 28, no. 7, pp.565, 2007.

[58] M. Mueller, et al., “Schottky-barrier height tuning of NiGe/n-Ge contacts using As

and P segregation,” Materials Science and Engineering B, 154-155, pp. 168, 2008.

[59] K. Eufinger, et al., “Photocatalytic activity of dc magnetron sputter deposited

amorphous TiO2 thin films,” Appl. Surface Science, vol. 254, pp. 148, 2007.

[60] J. Aarik, et al., “Effect of crystal structure on optical properties of TiO2 films grown

by atomic layer deposition,” Thin Film Solids, vol. 305, pp. 270, 1997.

[61] V. Afanas’ev, et al., “Electronic structure of GeO2-passivated interfaces of (100)Ge

with Al2O3 and HfO2,” Appl. Phys. Lett., vol. 92, pp. 022109, 2008.

Page 133: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

116

[62] D. Schroder, Semiconductor Device and Material Characterization, New York:

Wiley-Interscience, 2006

[63] E. Yagi, R. Hasiguti, M. Aono, “Electronic conduction above 4 K of slightly reduced

oxygen-dificient rutile TiO2-x,” Phys. Rev. B, vol. 54, no. 11, 1996.

[64] H. Tang, et al., “Electrical and optical properties of TiO2 anatase thin films,”

Journal of Appl. Phys., vol. 75, pp. 2042, 1994.

[65] B.-S. Jeong, D. P. Norton, J. D. Budai, “Conductivity in transparent anatase TiO2

films epitaxially grown by reactive sputtering deposition,” Solid-State Electronics,

vol. 47, pp. 2275, 2003.

[66] S. Gupta, et al., “Towards High Mobility GeSn Channel nMOSFETs: Improved

Surface Passivation Using Novel Ozone Oxidation Method,” IEDM Technical

Digest, 2012.

[67] J. M. Larson, J. P. Snyder, “Overview and Status of Metal S/D Schottky-Barrier

MOSFET Technology,” IEEE Trans. Electron Devices, vol. 53, no. 5, 2006.

[68] D. Connelly, C. Faulkner, D. E. Grupp, “Optimizing Schottky S/D Offset for 25-nm

Dual-Gate CMOS Performance,” IEEE Electron Device Lett., vol. 24, no. 6, 2003.

[69] S. Xiong, T.-J. King, J. Bokor, “A Comparison Study of Symmetric Ultrathin-Body

Double-Gate Devices With Metal Source/Drain and Doped Source/Drain,” IEEE

Trans. Electron Devices, vol. 52, no. 8, 2005.

[70] A. Pethe, K. Saraswat, “High-Mobility, Low Parasitic Resistance Si/Ge/Si

Heterostructure Channel Schottky Source/Drain PMOSFETs,” IEEE Device

Research Conference, 2007.

Page 134: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

117

[71] T. Yamamoto, et al., “High Performance 60 nm Gate Length Germanium p-

MOSFETs with Ni Germanide Metal Source/Drain,” IEDM Technical Digest, pp.

1041, 2007.

[72] C. O. Chui, A. K. Okyay, K. C. Saraswat, “Effective Dark Current Suppression With

Asymmetric MSM Photodetectors in Group IV Semiconductors,” IEEE Photonics

Technology Lett., vol. 15, no. 11, 2003.

[73] K.-W. Ang, et al., “Novel NiGe MSM Photodetector Featuring Asymmetrical

Schottky Barriers Using Sulfur Co-Implantation and Segregation,” IEEE Electron

Device Lett., vol. 29, no. 7, 2008.

[74] H. Zang, et al., “Application of dopant segregation to metal-germanium-metal

photodetectors and its dark current suppression mechanism,” Appl. Phys. Lett., vol.

92, pp. 051110, 2008.

[75] S. Sugahara, M. Tanaka, “A spin metal-oxide-semiconductor field-effect transistor

using half-metallic-ferromagnet contacts for the source and drain,” Appl. Phys. Lett.,

vol. 84, no. 13, 2004.

[76] A. Fert, H. Jaffrès, “Conditions for efficient spin injection from a ferromagnetic

metal into a semiconductor,” Phys. Rev. B, vol. 64, pp. 184420, 2001.

[77] A. M. Cowley, S. M. Sze, “Surface States and Barrier Height of Metal-

Semiconductor System,” Journal of Appl. Phys., vol. 36, no. 10, pp. 3212, 1965.

[78] W. Mönch, “Barrier heights of real Schottky contacts explained by metal-induced

gap states and lateral inhomogeneities,” Journal of Vacuum Science and Technology

B, vol. 17, pp. 1867, 1999.

Page 135: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

118

[79] J. Robertson, “High dielectric constant gate oxides for metal oxide Si transistors,”

Reports on Progress in Phys., vol. 69, pp. 327-396, 2006.

[80] K. Kita, A. Toriumi, “Origin of electric dipoles formed at high-k/SiO2 interface,”

Appl. Phys. Lett., vol. 94, pp. 132902, 2009.

[81] L. Lin, H. Li, J. Robertson, “Control of Schottky barrier heights by inserting thin

dielectric layers,” Appl. Phys. Lett., vol. 101, pp. 172907, 2012.

[82] L. Lin, J. Robertson, S. J. Clark, “Shifting Schottky barrier heights with ultra-thin

dielectric layers,” Microelectronic Engineering, vol. 88, pp. 1461-1463, 2011.

[83] L. Lin, Y. Guo, J. Robertson, “Metal silicide Schottky barriers on Si and Ge show

weaker Fermi level pinning,” Appl. Phys. Lett., vol. 101, pp. 052110, 2012.

[84] J. Hu, et al., “Impact of fixed charge on metal-insulator-semiconductor barrier height

reduction,” Appl. Phys. Lett., vol. 99, pp. 252104, 2011.

[85] A. M. Roy, J. Lin, K. C. Saraswat, “The Effect of Fixed Charge in Tunnel-Barrier

Contacts for Fermi-Level Depinning in Germanium,” IEEE Electron Device Lett.,

vol. 33, no. 6, pp. 761, 2012.

[86] B. Yang, et al., “Low-contact-resistivity Nickel Germanide Contacts on n+Ge with

Phosphorus/Antimony Co-doping and Schottky Barrier Height Lowering,”

International Silicon Germanium Technology and Device Meeting, June 2012.

[87] S. Gaudet, et al., “Thin film reaction of transition metals with germanium,” J.

Vacuum Science and Technology A, vol. 24, no. 3, pp. 474-485, 2006.

[88] M. Shayesteh, et al., “NiGe Contacts and Junction Architectures for P and As Doped

Germanium Devices,” IEEE Trans. Electron Devices, vol. 58, no. 11, pp. 3801, 2011.

Page 136: LOW RESISTANCE CONTACTS TO N-TYPE GERMANIUM A … Lin PhD Thesis.pdfThe first is the use of metal-insulator-semiconductor contacts, whereby inserting a thin insulator between the metal

119

[89] K. Gallacher, et al., “Ohmic contacts to n-type germanium with low specific contact

resistivity,” Appl. Phys. Lett., vol. 100, pp. 022113, 2012.

[90] D. Han, et al., “Studies of Ti- and Ni-germanide Schottky contacts on n-Ge(100)

substrates,” Microelectronic Engineering, vol. 82, pp. 93-98, 2005.

[91] Y. Guo, et al., “Investigation on Morphology and Thermal Stability of NiGe

Utilizing Ammonium Fluoride Pretreatment for Germanium-Based Technology,”

IEEE Electron Device Lett., vol. 32, no. 4, 2011.

[92] H. Kim, et al., “Electrical, optical, and structural properties of indium-tin-oxide thin

films for organic light-emitting devices,” J. Appl. Phys., vol. 86, no. 11, 1999.

[93] J. W. Elam, et al., “Atomic Layer Deposition of Indium Tin Oxide Thin Films Using

Nonhalogenated Precursors,” J. Phys. Chem. C, vol. 112, pp. 1938-1945, 2008.

[94] P. P. Manik, et al., “Fermi-level unpinning and low resistivity in contacts to n-type

Ge with a thin ZnO interfacial layer,” Appl. Phys. Lett., vol. 101, pp. 182105, 2012.

[95] S. Raghunathan, T. Krishnamohan, K. Saraswat, “Novel SiGe Source/Drain for

Reduced Parasitic Resistance in Ge NMOS,” ECS Transactions, vol 33, no. 6, pp.

871-876, 2010.

[96] J. F. Wager and J. Robertson, “Metal-induced gap states modeling of metal-Ge

contacts with and without a silicon nitride ultrathin interfacial layer,” J. Appl. Phys.,

vol. 109, pp. 094501, 2011.


Recommended