+ All Categories
Home > Documents > Nanowire Transistors - CAS

Nanowire Transistors - CAS

Date post: 04-Oct-2021
Category:
Upload: others
View: 4 times
Download: 0 times
Share this document with a friend
270
Transcript
Page 1: Nanowire Transistors - CAS
Page 2: Nanowire Transistors - CAS
Page 3: Nanowire Transistors - CAS

Nanowire Transistors

Physics of Devices and Materials in One Dimension

From quantummechanical concepts to practical circuit applications, this book presents aself-contained and up-to-date account of the physics and technology of nanowiresemiconductor devices. It includes:

• An account of the critical ideas central to low-dimensional physics and transistorphysics, suitable to both solid-state physicists and electronic engineers.

• Detailed descriptions of novel quantum mechanical effects such as quantum currentoscillations, the semimetal-to-semiconductor transition, and the transition from clas-sical transistor to single-electron transistor operation are described in detail.

• Real-world applications in the fields of nanoelectronics, biomedical sensingtechniques, and advanced semiconductor research.

Including numerous illustrations to help readers understand these phenomena, this is anessential resource for researchers and professional engineers working on semiconductordevices and materials in academia and industry.

Jean-Pierre Colinge is a Director in the Chief Technology Office at TSMC. He is aFellow of the IEEE, a Fellow of TSMC and received the IEEE Andrew Grove Award in2012. He has over 30 years’ experience in conducting research on semiconductordevices and has authored several books on the topic.

James C. Greer is Professor and Head of the Graduate Studies Centre at the TyndallNational Institute and Co-founder and Director of EOLASDesigns Ltd, having formerlyworked at Mostek, Texas Instruments, and Hitachi Central Research. He received theinaugural Intel Outstanding Researcher Award for Simulation and Metrology in 2012.

Page 4: Nanowire Transistors - CAS
Page 5: Nanowire Transistors - CAS

Nanowire TransistorsPhysics of Devices and Materials in One Dimension

JEAN-P I ERRE COL INGETSMC

JAMES C . GREERTyndall National Institute

Page 6: Nanowire Transistors - CAS

University Printing House, Cambridge CB2 8BS, United Kingdom

Cambridge University Press is part of the University of Cambridge.

It furthers the University’s mission by disseminating knowledge in the pursuit ofeducation, learning and research at the highest international levels of excellence.

www.cambridge.orgInformation on this title: www.cambridge.org/9781107052406

© Cambridge University Press 2016

This publication is in copyright. Subject to statutory exceptionand to the provisions of relevant collective licensing agreements,no reproduction of any part may take place without the writtenpermission of Cambridge University Press.

First published 2016

Printed in the United Kingdom by TJ International Ltd. Padstow Cornwall

A catalogue record for this publication is available from the British Library

Library of Congress Cataloguing in Publication dataColinge, Jean-Pierre, author.Nanowire transistors : physics of devices and materials in one dimension / Jean-Pierre Colinge (TSMC),James C. Greer (Tyndall National Institute).

pages cmIncludes bibliographical references.ISBN 978-1-107-05240-6 – ISBN 1-107-05240-8 1. Nanowires. 2. Nanostructured materials.3. One-dimensional conductors. 4. Transistors. 5. Solid state physics. I. Greer, Jim, author.II. Title.TK7874.85.C65 2016621.3815028–dc232015026752

ISBN 978-1-107-05240-6 Hardback

Cambridge University Press has no responsibility for the persistence or accuracy ofURLs for external or third-party internet websites referred to in this publication,and does not guarantee that any content on such websites is, or will remain,accurate or appropriate.

Page 7: Nanowire Transistors - CAS

For Cindy and Sue, to our children, and to the memory of our parents

Page 8: Nanowire Transistors - CAS
Page 9: Nanowire Transistors - CAS

Contents

Preface page xi

1 Introduction 11.1 Moore’s law 21.2 The MOS transistor 41.3 Classical scaling laws 81.4 Short-channel effects 81.5 Technology boosters 9

1.5.1 New materials 101.5.2 Strain 111.5.3 Electrostatic control of the channel 11

1.6 Ballistic transport in nanotransistors 121.6.1 Top-of-the-barrier model 121.6.2 Ballistic scaling laws 14

1.7 Summary 15References 16

2 Multigate and nanowire transistors 182.1 Introduction 182.2 The multigate architecture 192.3 Reduction of short-channel effects using multigate architectures 20

2.3.1 Single-gate MOSFET 222.3.2 Double-gate MOSFET 232.3.3 Triple- and quadruple-gate MOSFETs 242.3.4 Cylindrical gate-all-around MOSFET 25

2.4 Quantum confinement effects in nanoscale multigate transistors 292.4.1 Energy subbands 292.4.2 Increase of band gap energy 362.4.3 Quantum capacitance 372.4.4 Valley occupancy and transport effective mass 382.4.5 Semimetal–semiconductor nanowire transitions 402.4.6 Topological insulator nanowire transistor 432.4.7 Nanowire-SET transition 43

2.5 Other multigate field-effect devices 44

Page 10: Nanowire Transistors - CAS

2.5.1 Junctionless transistor 442.5.2 Tunnel field-effect transistor 45

2.6 Summary 46Further reading 47References 47

3 Synthesis and fabrication of semiconductor nanowires 543.1 Top-down fabrication techniques 54

3.1.1 Horizontal nanowires 543.1.2 Vertical nanowires 57

3.2 Bottom-up fabrication techniques 583.2.1 Vapor–liquid–solid growth technique 593.2.2 Growth without catalytic particles 633.2.3 Heterojunctions and core-shell nanowires 64

3.3 Silicon nanowire thinning 663.3.1 Hydrogen annealing 663.3.2 Oxidation 673.3.3 Mechanical properties of silicon nanowires 69

3.4 Carrier mobility in strained nanowires 723.5 Summary 73References 74

4 Quantum mechanics in one dimension 814.1 Overview 814.2 Survey of quantum mechanics in 1D 81

4.2.1 Schrödinger wave equation in one spatial dimension 824.2.2 Electron current in quantum mechanics 834.2.3 Quantum mechanics in momentum space 84

4.3 Momentum eigenstates 854.4 Electron incident on a potential energy barrier 884.5 Electronic band structure 92

4.5.1 Brillouin zone 934.5.2 Bloch wave functions 94

4.6 LCAO and tight binding approximation 954.6.1 Linear combination of atomic orbitals (LCAO) 954.6.2 Tight binding approximation 97

4.7 Density of states and energy subbands 1004.7.1 Density of states in three spatial dimensions 1004.7.2 Density of states in two spatial dimensions 1024.7.3 Density of states in one spatial dimension 1044.7.4 Comparison of 3D, 2D, and 1D density of states 104

4.8 Conclusions 105Further reading 106References 106

viii Contents

Page 11: Nanowire Transistors - CAS

5 Nanowire electronic structure 1075.1 Overview 1075.2 Semiconductor crystal structures: group IV and III-V materials 107

5.2.1 Group IV bonding and the diamond crystal structure 1075.2.2 III-V compounds and the zincblende structure 1105.2.3 Two-dimensional materials 113

5.3 Insulators, semiconductors, semimetals, and metals 1175.4 Experimental determination of electronic structure 119

5.4.1 Temperature variation of electrical conductivity 1195.4.2 Absorption spectroscopy 1215.4.3 Scanning tunneling spectroscopy 1235.4.4 Angle resolved photo-emission spectroscopy 127

5.5 Theoretical determination of electronic structure 1295.5.1 Quantum many-body Coulomb problems 1305.5.2 Self-consistent field theory 1345.5.3 Optimized single determinant theories 1465.5.4 GW approximation 147

5.6 Bulk semiconductor band structures 1495.7 Applications to semiconductor nanowires 152

5.7.1 Nanowire crystal structures 1525.7.2 Quantum confinement and band folding 1545.7.3 Semiconductor nanowire band structures 157

5.8 Summary 160Further reading 162References 162

6 Charge transport in quasi-1D nanostructures 1676.1 Overview 1676.2 Voltage sources 167

6.2.1 Semi-classical description 1676.2.2 Electrode Fermi–Dirac distributions 171

6.3 Conductance quantization 1746.3.1 Subbands in a hard wall potential nanowire 1746.3.2 Conductance in a channel without scattering 1766.3.3 Time reversal symmetry and transmission 1796.3.4 Detailed balance at thermodynamic equilibrium 1826.3.5 Conductance with scattering 1826.3.6 Landauer conductance formula: scattering at non-zero

temperature 1866.4 Charge mobility 1886.5 Scattering mechanisms 191

6.5.1 Ionized impurity scattering 1916.5.2 Resonant backscattering 1936.5.3 Remote Coulomb scattering 194

Contents ix

Page 12: Nanowire Transistors - CAS

6.5.4 Alloy scattering 1946.5.5 Surface scattering 1956.5.6 Surface roughness 1956.5.7 Electron–phonon scattering 1966.5.8 Carrier–carrier scattering 198

6.6 Scattering lengths 2006.6.1 Scattering lengths and conductance regimes 2006.6.2 Multiple scattering in a single channel 201

6.7 Quasi-ballistic transport in nanowire transistors 2066.8 Green’s function treatment of quantum transport 210

6.8.1 Green’s function for Poisson’s equation 2106.8.2 Green’s function for the Schrödinger equation 2116.8.3 Application of Green’s function to transport in nanowires 213

6.9 Summary 217Further reading 217References 217

7 Nanowire transistor circuits 2217.1 CMOS circuits 221

7.1.1 CMOS logic 2217.1.2 SRAM cells 2247.1.3 Non-volatile memory devices 227

7.2 Analog and RF transistors 2317.3 Crossbar nanowire circuits 2347.4 Input/output protection devices 2377.5 Chemical and biochemical sensors 2387.6 Summary 242References 242

Index 249

x Contents

Page 13: Nanowire Transistors - CAS

Preface

After the era of bulk planar CMOS, trigate field-effect transistors (FinFETs), and fullydepleted silicon-on-insulator (SOI), the semiconductor industry is now moving into theera of nanowire transistors. This book gives a comprehensive overview of the uniqueproperties of nanowire transistors. It covers the basic physics of one-dimensionalsemiconductors, the electrical properties of nanowire devices, their fabrication, andtheir application in nanoelectronic circuits.

The book is divided into seven chapters:Chapter 1: Introduction serves as an introduction to the other chapters. The reader is

reminded of the exponential increase in complexity of integrated circuit electronics overthe last 50 years, better known as “Moore’s law.” Key to this increase has been thereduction in transistor size, which has occurred in a smooth, evolutionary fashion up tothe first decade of the twenty-first century. Despite the introduction of technologyboosters such as metal silicides, high-κ dielectric gate insulators, copper metallization,and strained channels, evolutionary scaling reached a brick wall called “short-channeleffects” in the years 2010–2015. Short-channel effects are a fundamental device physicsshowstopper and prevent proper operation of classical bulk MOSFETs at gate lengthsbelow 20 nm. The only solution to this problem is the adoption of new transistorarchitectures such as fully depleted silicon-on-insulator (FDSOI) devices [1,2] ortrigate/FinFET devices [3]. Ballistic transport of channel carriers, which replaces clas-sical drift-diffusion transport, is also introduced in this chapter.

Chapter 2:Multigate and nanowire transistors first explains the origin of the short-channel effects that preclude the use of bulk MOS transistors for gate lengths smallerthan 20 nm. Based onMaxwell’s electrostatics equations, this chapter shows how the useof multigate and gate-all-around nanowire transistor architectures will allow one to pushthe limits of integration to gate lengths down to 5 nm and possibly beyond, provided thediameters of the nanowires are decreased accordingly. In semiconductor nanowire withdiameters below approximately 10 nm (this value is temperature dependent and variesfrom one semiconductor material to another), the coherence length of electrons andholes can become comparable to or larger than the wire cross-sectional dimensions, and

1 J.P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI, 3rd edition, Kluwer Academic Publishers/Springer (2004).

2 O. Kononchuk and B.-Y. Nguyen (eds.), Silicon-on-Insulator (SOI) Technology Manufacture andApplications, Woodhead Publishing (2014).

3 J.P. Colinge (ed.), FinFETs and Other Multi-Gate Transistors, Springer (2007).

Page 14: Nanowire Transistors - CAS

one-dimensional (1D) quantum confinement effects become observable. The formationof 1D energy subbands in narrow nanowire transistors gives rise to several effects suchas an increase of energy band gap, oscillations of drain current when gate voltage isincreased, and oscillations of gate capacitance with gate voltage (quantum capacitanceeffect). Some collateral effects can be predicted, such as a semimetal-to-semiconductortransition in thin semimetal nanowires, and a MOSFET to single-electron transistortransition in nanowire transistors with non-uniform channel properties.

Chapter 3: Synthesis and fabrication of semiconductor nanowires lists the dif-ferent top-down and bottom-up techniques used to grow or etch and pattern nanowires.Vertical nanowires can be grown by the VLS (vapor–liquid–solid) technique or confinedepitaxy, or formed using lithography and etching. Horizontal nanowires can alsobe grown using the VLS technique, by patterning an SOI layer, or by patterningheteroepitaxial layers, such as Si/SiGe/Si. Examples of nanowire transistor fabricationprocesses are given. Chapter 3 also describes methods for smoothing and thinningdown silicon nanowires. The properties of heterojunction nanowires (core-shellnanowires and axial heterojunctions) are described. Finally, strain effects in nanowiresare explored, including carrier mobility enhancement, Young’s modulus, and fracturestrength.

Chapter 4: Quantum mechanics in one dimension provides a résumé of thephysical description of one-dimensional systems in quantum mechanics. A brief sum-mary of the principles of quantum mechanics is given. Particular emphasis is given totopics that are related to describing nanowire transistors including momentum eigen-states, energy dispersion, scattering states in one dimension, probability current density,and transmission at potential energy barriers. A description of materials and nanowiresusing the concept of electronic band structures is provided and calculation of simpleband structures is provided using simple examples such as a linear chain of atoms.The relation of electronic band structures to the density of states and how the density ofstates can be used to characterize three-dimensional (3D) bulk, two-dimensional (2D)electron and hole gases, and (1D) nanowire material systems is presented.

Chapter 5: Nanowire electronic structure examines in greater detail the impact offabricating nanometer scale devices with one or more critical dimension comparableto or smaller than the Fermi wavelength of the confined charge carriers. The crystalstructure of semiconductors commonly used in electronics such as silicon, germanium,and gallium arsenide are introduced. Mention is made of two-dimensional materialssuch as graphene and the transition metal dichalcogenides, and carbon nanotubes arebriefly discussed in relation to applications in electronics. Emphasis is placed on theexperimental measurement and theoretical calculation of electronic structure. Quantummechanical effects become apparent below 10 nm critical dimensions and below 6 nmconfinement and surface effects begin to dominate silicon nanowire properties. A greaterunderstanding of the dependence of orientation, surface chemistry, disorder, dopingeffects, and other factors arising for nanopatterned materials is needed to optimize theuse of nanowires in transistor configurations. This chapter highlights how these factorscan influence electronic structure and demonstrates their impact with examples forsilicon nanowires with diameters below 10 nm.

xii Preface

Page 15: Nanowire Transistors - CAS

Chapter 6: Charge transport in quasi-1D nanostructures investigates how chargecarriers flow through nanowires. The operation of voltage sources as charge carrierreservoirs interacting with nanowires is introduced, and the relationship of voltage tocurrent flow on the nanometer length scale leads to conductance quantization and theLandauer conductance formula. Charge carrier mobility is introduced and the lengthscales associated with scattering mechanisms leading to macroscopic mobilities areoutlined. For charge transport on length scales shorter than the scattering lengths,ballistic and quasi-ballistic charge transport emerges. The chapter ends with a briefintroduction to the Green’s function approach to charge transport in nanowires as itpossesses the capability to describe charge transport from quantum ballistic to classicaldrift and diffusion regimes.

Chapter 7: Nanowire transistor circuits describes the potential and performancesof nanowire transistors in logic, analog, and RF circuit applications. This includes anin-depth analysis of SRAM and flash memory cells. New types of circuit architecturesare enabled by the use of nanowire devices, such as crossbar circuits and “nanoscaleapplication specific integrated circuits” (NASICs). The large surface area-to-volumeratio of nanowires makes them ideal for sensing minute amounts of chemicals andbiochemicals. Nanowire transistors have proven to be efficient sensing devices, capableof detecting chemicals in concentrations as low as a few tens of attomoles.

Preface xiii

Page 16: Nanowire Transistors - CAS
Page 17: Nanowire Transistors - CAS

1 Introduction

The history of electronics spans over more than a century. A key milestone in the historyof electronics was the invention of the telephone in 1876 and patents for the device werefiled independently by Elisha Gray and Alexander Graham Bell on 14 February thatsame year. Bell filed first, and thus the patent was granted to him. This timely, oruntimely for Gray, coincidence has become a textbook example for teaching theimportance of intellectual property law in engineering schools across the globe.

Years later, the first radio broadcast took place in 1910 and is credited to the De ForestRadio Laboratory, New York. Lee De Forest, inventor of the electron vacuum tube,arranged the world’s first radio broadcast featuring legendary tenor Enrico Caruso alongwith other stars of the New York Metropolitan Opera to several receiving locationswithin the city. Experimental television broadcasts can be traced back to 1928, butpractical TV sets and regular broadcasts date back to shortly after the SecondWorldWar.

During this initial phase of development, electronics was based on vacuum tubes andelectromechanical devices. The first transistor was invented at Bell Labs by WilliamShockley, John Bardeen, and Walter Brattain in 1947 and they used a structure named apoint-contact transistor. Two gold contacts acted as emitter and collector contacts on apiece of germanium. William Shockley made and patented the first bipolar junctiontransistor in the following year, 1948. It is worth noting that the point-contact transistorwas independently invented by German physicists Herbert Mataré and Heinrich Welkerof the Compagnie des Freins et Signaux, a Westinghouse subsidiary located in Paris [1].

The first patent for a metal-oxide-semiconductor field-effect transistor (MOSFET)was filed by Julius Edgar Lilienfeld in Canada and in the USA during 1925 and 1928,respectively [2,3]. The semiconductor material used in the patent was copper sulfide andthe gate insulator was alumina. However, a working device was never successfullyfabricated or published at that time. The first functional MOSFETwas made by DawonKang and John Atalla in 1959 and patented later in 1963 [4]. The successful field-effectoperation was enabled by the use of silicon and silicon dioxide for the metal-oxide-semiconductor (MOS) stack. Unlike other insulator–semiconductor structures of thetime, the Si–SiO2 interface could be formed without a large density of electrically activedefects that would otherwise prevent the penetration of the electric field from the gateinto the semiconductor. Even when defects were present, means of deactivating themby chemical and other means, known as passivation, were found.

Because of practical fabrication reasons, p-channel (pMOS) technology was devel-oped first and relied on aluminum as the metal for the gate electrode. Later on, the advent

Page 18: Nanowire Transistors - CAS

of ion implantation and the use of polysilicon (heavily doped polycrystalline silicon) asgate material made self-aligned n-channel (nMOS) transistors feasible [5]. In a 1963paper presented at the IEEE International Solid-State Circuits Conference, C. T. Sah andFrank Wanlass showed that p-channel and n-channel MOS transistors could be inte-grated onto a single integrated circuit or “chip” forming a circuit configuration withcomplementary symmetry [6]. This technology had the great advantage of drawingclose to zero power in standby mode. It was initially called COS-MOS (complementarysymmetry metal-oxide-semiconductor) and has since been universally adopted by thesemiconductor industry under the name complementary metal-oxide-semiconductor(CMOS).

Another great advantage of MOS transistors is that they, unlike bipolar transistors,have a planar, basically two-dimensional structure. MOS transistors occupy only a smallportion of the volume of a silicon wafer on which they are manufactured. The devices arelocated at the top surface of the wafer and extend into the wafer to a depth of only afraction of a micrometer. As a consequence, the MOSFET is scalable, and scaled it hasbeen for the last 50 years, giving rise to the microelectronics revolution at the end of thetwentieth century and through to the beginning of the twenty-first.

1.1 Moore’s law

The MOSFET is the workhorse of the electronics industry. It is the building block ofevery microprocessor, every memory chip, and every telecommunications circuit. Amodern microprocessor contains several billion MOSFETs and a 256 gigabyte microsecure digital (SD) memory card weighing less than a gram contains a staggering1,000,000,000,000 or 1012 transistors, assuming 2 bits stored per transistor. This numberis larger than the number of stars in our galaxy, as there is an estimated 200–400 billionstars in the Milky Way. Although it can be used for other purposes, the MOSFET ismainly used as a switch in logic circuits and a charge-storage device in memory chips.Each day the semiconductor industry produces more MOSFETs than the number ofgrains of rice that have been harvested by mankind since the dawn of time. That number,astronomical as it is, is dwarfed by the rate at which transistors are increasingly packedon a chip. The exponential growth of chip complexity and number of transistors per chipis known as Moore’s law.

In 1965, Gordon Moore published what was to become a classic paper in which hepredicted that the density of transistors on a chip would double every 18months [7]. Thisprediction was based on data spanning only a few technology generations producedduring the period from 1959 to 1965, during which the number of transistors per chipincreased from a single transistor to less than a hundred transistors. Extrapolating fromthe available data, Gordon Moore predicted that there would be 64,000 transistors perchip in 1975, ten years after the publication of the article. Even though completely anempirical observation, Moore’s law has proven to be remarkably accurate, not only until1975 but continues at present and covers a period of over 50 years. Whether plotted interms of transistors per chip or transistors per square millimeter (Figs. 1.1 and 1.2), the

2 Introduction

Page 19: Nanowire Transistors - CAS

Figure 1.1 Evolution of the number of transistors per chip with time. Central processing units (CPU) ormicroprocessors and graphics processing units (GPU) or graphics processors from differentvendors are shown. The top of the chart shows the date of introduction of some landmark products:HP-35 pocket calculator, Apple II andMacintosh computers, iPod, iPhone, and the introduction ofsecond-, third-, and fourth-generation mobile phone networks (2G, 3G, 4G).

1970 1975 1980 1985Year

Pentium

XBOXOneSOC

K6

Atom

6800

68000

1990 1995 2000 2005 2010 2015

100,000,000

10,000,000

Tran

sist

ors

/ m

m2 1,000,000

100,000

10,000

1,000

100

AMD CPU

IBM CPU

Intel CPU

Motorola CPU

AMD CPU

NVIDIA CPU

Figure 1.2 Evolution of the number of transistors per square millimeter with time. Microprocessors (CPU)and graphics processors (GPU) from different vendors are shown. Some landmarkmicroprocessors are outlined for reference: Motorola’s 6800 and 68000, Intel’s Pentium andAtom, and AMD’s K6 and XBOX One SOC (system on chip).

Page 20: Nanowire Transistors - CAS

increases in the number of transistors and their density are spectacular. It is now part ofpopular legend that Bill Gates once joked that “If the car industry had kept up withtechnology like the computer industry has, we would all be driving 25-dollar cars thatcan run 1,000 miles to the gallon.”He might have added that such a car would go aroundthe world in a few seconds while carrying a million passengers.

It is quite obvious that reducing the size of transistors increases their density on a chip,which, for a constant chip size, increases the functionality of the circuits. There are otherincentives for making the transistors smaller. Doubling the density of transistors on achip implies reducing the linear dimensions, such as their length and width, by a scaling

factor equal toffiffiffi2

p. The gate length of MOS transistors has been steadily decreasing

over the years, as shown in Fig. 1.3 where the data are plotted for the same circuits as forFigs. 1.1 and 1.2. One can clearly see that the linear dimensions of the patterns of a chip,such as the gate length, have been steadily decreasing by a factor of approximately

1=ffiffiffi2

p ffi 0:7 every 18 months. Decreasing linear dimensions by 0.7 results in the surfacearea of the transistors halving every 18 months, in agreement with Moore’s prediction.

1.2 The MOS transistor

The textbook example of a MOSFET is shown in Fig. 1.4. The device consists of ap-type semiconductor substrate in which two n-type regions have been formed. Thesen-type regions are called the “source” and the “drain.” Typically the semiconductor

197010

100

Gat

e le

ng

th (

nan

om

eter

s)

1,000

10,000

1975 1980 1985Year

X 0.7 / 18 months

1990 1995 2000 2005 2010 2015

AMD CPU

IBM CPU

Intel CPU

Motorola CPU

AMD CPU

NVIDIA CPU

Figure 1.3 Evolution of the gate length with time. Gate length is the smallest printed feature in a MOStransistor, at least for traditional planar MOSFETs.

4 Introduction

Page 21: Nanowire Transistors - CAS

material is silicon, although other semiconductors such as germanium (Ge), silicongermanium alloys (SiGe), indium arsenide (InAs), and indium gallium arsenide(InGaAs) can also be used. A thin layer of insulating material called the “gate dielectric”covers the region between the source and drain. For many years silicon dioxide (SiO2)was the standard dielectric, but in recent years, silicon oxynitride (SiON) and stackscomposed of insulators with high dielectric constant known as “high-κ dielectrics” havebecome common. An example of high-κ dielectric material is HfO2 which has adielectric constant approximately five times higher than SiO2. The gate dielectric isformed by deposition and subsequently topped by a metal electrode called the “gate.”

Under typical bias conditions, the source and the p-type substrate are grounded(VS = Vsub = 0 V), and a positive voltage, VD, is applied to the drain. Under theseconditions, the drain pn junction is reverse biased and no current flows between the drainand the substrate. Since the bias across the source pn junction is zero, there is no currentflowing from the substrate to the source either. As a result, there is no current flowbetween the source and the drain, and the transistor is turned OFF, playing the role of anopen switch. If a positive voltage is applied to the gate, holes in the p-type substrateunderneath the gate are pushed away from the surface and a region void of holes, calledthe “depletion region” forms beneath the gate. The depth of the depletion region, Xdepl,increases with gate voltage up to a maximum value which depends on the p-type dopingconcentration. It is worth noting that the gate-induced depletion region merges with thesource and drain junction depletion regions on the source side and drain side of the gate.If the gate voltage is further increased, further increments of gate-induced charge are notpicked up by increasing the depletion depth, but rather by attracting electrons underneaththe gate dielectric. Electrons literally “spill out” from the n-type source to form anelectron-rich layer underneath the gate insulator called an “inversion channel.” The term

Gate dielectric

Gate electrode

N+ SourceN+ Drain

Inversionchannel

Tdielectric

VD

VG

Vs

XJ

Xdepl

Vsub

LW

P-type Substrate

Figure 1.4 Schematic view of a classical bulk MOSFET.

1.2 The MOS transistor 5

Page 22: Nanowire Transistors - CAS

“inversion” is used because the top surface of the semiconductor, originally p-type(rich in holes), is now void of holes and rich in electrons, which technically makes itlocally n-type. The silicon surface has thus been “inverted” from p-type to n-type. Theinversion channel forms a continuous electron bridge between the source and drain andcurrent can now flow between these two terminals. The transistor is considered to be inthe ON state and behaves as a closed switch.

A perfect switch features zero current flow when it is open, zero resistance when it isclosed, and is capable of switching sharply between the OFF state and the ON state. TheMOSFET is unfortunately an imperfect switch; the OFF current is not zero and theON-state resistance is finite. Furthermore, switching does not suddenly occur at a precisevalue of the gate voltage, but it takes place gradually, over a range of gate voltage values.Figure 1.5 illustrates how the drain current flowing through a MOSFET evolves as afunction of gate voltage with a fixed positive drain voltage of 50 mV. In this example, theON current is 1 mA and the OFF current is 50 pA. Looking at the current plotted on alinear scale, it appears there is no current below a given gate voltage, called the“threshold voltage” which is approximately equal to 0.5 V in the example shown inFig. 1.5. If the drain voltage is low (typically 50 mV), the drain current basicallyincreases linearly with the applied gate bias above threshold. The classical textbookexpression for this current, called the “linear” or “non-saturation” current, is [8]

IDðlinÞ ¼ μCoxWL

ðVG � VTHÞVD � 1

2VD

� �; ð1:1Þ

where µ, Cox, L, W, VG, VTH, and VD are the carrier mobility in the channel (m2 V−1 s−1),the gate capacitance (F m−2), the gate length (m), the gate width (m), the gate voltage(V), the threshold voltage (V), and the drain voltage (V), respectively. The source andthe substrate are assumed to be grounded.

One decadeof current

1/slope = SS

ThresholdvoltageDVG = 80 mV

Off current

On current

1 mA 1.0 mA

0.8 mA

0.6 mA

0.4 mA

0.2 mA

0.0 mA

100 mA

10 mA

1 mA

100 nA

10 nA

1 nA

100 pA

10 pA

0.0 0.2 0.4 0.6 0.8 1.0Gate voltage, VG (Volts)

Dra

in C

urr

ent,

I D (

log

arit

hm

ic s

cale

)

Drain

Cu

rrent, ID (lin

ear scale)

Figure 1.5 Drain current as a function of gate voltage in an MOS transistor at low drain bias. The two curvesrepresent identical data, plotted using either a linear scale (right-hand y axis) or a logarithmic scale(left-hand y axis).

6 Introduction

Page 23: Nanowire Transistors - CAS

For larger values of the drain voltage (when VD > VG − VTH), the channel is pinchedoff near the drain due to the increase of the depletion region with increasing drain voltageand the drain current saturates (i.e. it no longer increases with increasing drain voltageVD). In that case, the “saturation” drain current is given by

IDsat ¼ 1

2μCox

WLðVG � VTHÞ2: ð1:2Þ

Plotting the drain current on a logarithmic scale reveals that the drain current variesexponentially with gate voltage below threshold, and that the OFF current is not equal tozero. The rate of increase of current below threshold is characterized by a parametercalled the “subthreshold slope,” also called subthreshold swing (SS), defined by therelationship SS ¼ dVG=dðlogðIDÞÞ where the logarithm is chosen to be base 10. Thesubthreshold slope is expressed in units of millivolts per decade. A typical value forthe subthreshold slope of a bulk MOSFET is 80 mV/dec, which means that an 80 mVincrease of the gate voltage brings about a tenfold increase of drain current. Thus, inorder to “switch” the current from its OFF value (50 pA) to the ON state (ID = 100 µA atthreshold), a gate voltage swing of 80 mV� log½100 μA=50 pA� ¼ 0:5 V is required.

It can be shown that the subthreshold slope is equal to:

SS ¼ nkBTjqj lnð10Þ ¼ n� 59:6� T

300 KmV=dec; ð1:3Þ

where kB is Boltzmann’s constant, T is the temperature, q is the charge of anelectron (taken in absolute value, since the charge of an electron is negative byconvention), ln(10) is the natural logarithm of 10, and n is the “body factor.” Thebody factor represents the efficiency, or rather the inefficiency with which the gatevoltage electrostatically controls the channel region. The body factor is proportionalto the change in gate voltage with a change in channel potential (ΦCH) and isexpressed mathematically through the relationship n ¼ dVG=dΦCH. In the best possiblecase, if the electrostatic coupling between the gate and the channel region is 100%effective, n ¼ 1 and the subthreshold slope is equal to ½kBT=jqj� � lnð10Þ ¼59:6 mV=dec at room temperature (T = 300 K = 26.85°C). In practice, the gate controlof the channel region is not perfect due to the electrostatic coupling between thesubstrate through the depletion layer. As a result, n typically has a value between 1.2and 1.5 in bulkMOSFETs, which results in subthreshold slope values ranging from 70 to90 mV/dec. It is impossible, as can be shown from thermodynamics arguments, toreduce the subthreshold slope below 59.6 mV/dec at room temperature in classicalMOSFETs; the best one can hope for is to approach that limit as closely as possible.The 59.6 mV/dec barrier can be breached using impact ionization effects [9,10],quantum tunneling effects [11,12,13], and with special ferroelectric gate materials[14], but none of these techniques have yet been proven to be reliable or reproducibleenough for industrial applications. The lack of scalability for the subthreshold slope is afundamental limit for the MOSFET and is sometimes referred to as the “Boltzmanntyranny” [15,16].

1.2 The MOS transistor 7

Page 24: Nanowire Transistors - CAS

1.3 Classical scaling laws

In 1974, Robert Dennard and co-workers published a seminal paper in which they demon-strated the benefits of scaling [17]. Based on the assumption of maintaining a constantelectric field inside the transistor, Dennard et al. demonstrated that scaling the device by afactor γ increases the switching speed by a factor γ, reduces the transistor power

dissipation by a factor γ2, and improves the power-delay product by a factor γ3: It isworthwhile noting that this scaling law implies reducing the supply voltage by a factor γ,as well as reducing the threshold voltage by the same factor γ. The latter has not beenachieved in subsequent technologies because of the impossibility of scaling the sub-threshold slope to achieve values lower than 59.6 mV/decade because of fundamentalthermodynamic reasons. Dennard’s scaling law was more or less followed by thesemiconductor industry for a duration of approximately 30 years, familiarly called the“happy scaling” period. These years are now over, and the improvement of performancedue to scaling, at least in terms of microprocessor clock frequency, has reached satura-tion. This is caused by so-called “short-channel effects” that arise when the distanceseparating source from drain becomes very small. Short-channel effects increase asdevices are scaled down in length, as will be described in the following. The classicalscalling laws are shown in Table 1.1.

1.4 Short-channel effects

Short-channel effects result from the sharing of the electrical charges in the channel regionbetween the gate on one hand, and the source and drain on the other hand. The source and

Table 1.1 Constant-electric field scaling rules for planar MOS transistors [17].

Parameter Equation Unit Scaling factor

Physical dimensions: L, W, Xj, Xdepl m γ�1

Integration density1

WLm−2 γ2

Equivalent oxide thickness (EOT) tox ¼ tdielectricεSiO2

εdielectricm γ�1

Dielectric capacitance Cox ¼ εSiO2

toxF/m2 γ

Gate capacitance CG ¼ WLCox F γ�1

Voltages VDS, VGS, VTH Electric field E = V/L = constant V/m γ�1

Drain current IDsat ¼ 1

2

WLμCoxðVGS � VTHÞ2 A γ�1

Power densityVDSIDsatWL

W/m2 γ0= 1

Power consumption per transistor P ¼ VDSIDsat W γ�2

Intrinsic gate delay τ ¼ CGVDS

IDsatS γ�1

Power × delay product P� τ J γ�3

8 Introduction

Page 25: Nanowire Transistors - CAS

drain junctions create depletion regions that penetrate the channel region from both sides ofthe gate, thus shortening the effective channel length. These depletion regions carry withthem electric fields that penetrate some distance into the channel region and “steal” someof the channel control from the gate. When the drain voltage is increased, this penetration isamplified. As a result, the potential in the channel region and the resulting concentration ofelectrons are no longer controlled solely by the gate electrode, but are also influenced by thedistance between source and drain and by the voltage applied to the drain. The observableeffects resulting from this loss of charge control by the gate are known as “drain-inducedbarrier lowering” (DIBL), which causes the threshold voltage to decrease as the drainvoltage is increased, and a degradation (i.e. an increase) of the subthreshold slope results;see Fig. 1.6. The effects are additive and increase the leakage current of the transistors,which constitutes a serious impediment to further scaling of MOSFETs. The loss ofswitching speed caused by the DIBL effect is given by Δf =f ¼ �2DIBL=ðVDD � VTHÞ,where f is the maximum operating frequency, VDD is the supply voltage, and VTH is thethreshold voltage of the transistor. For example, in a circuit operating with a supplyvoltage of 0.9 Vwith transistors having a threshold voltage of 0.4 V, an increase of DIBLby 50 mV will slow down operating frequency by as much as 20% [18].

1.5 Technology boosters

Scaling down the size of transistors is not just a matter of being able to pattern smallerstructures by improvement of lithography techniques. It also involves a constant striving toimprove the performance of both the “intrinsic” transistors (i.e. the channel) and the“extrinsic” elements such as gate, source, and drain resistance. Reducing the dielectricconstant of inter-layer dielectrics, and using low-resistivity metals such as copper, has alsocontributed to continuous improvement of the performance of integrated circuits. Asidefrom the reduction of device dimensions using ever more sophisticated lithography techni-ques, the performance of transistors has been enhanced by three main “technology boos-ters”: the use of new materials, the use of strain, and the change of transistor architecture.

Gate voltage, VG (Volts)

VDS = 0.05 V

VDS = 1 VShort

channel

1 mA100 µA

10µA1µA

100 nA10 nA1 nA

100 pA10 pA

1pA

Longchannel

Drain

Cu

rrent, lD

(Am

peres, lo

garithm

ic scale)

(b)(a)

0.0 0.2 0.4 0.6 0.8 1.0 0.0 0.2 0.4 0.6 0.8 1.0

Dra

in C

urr

ent,

l D(A

mp

eres

, lo

gari

thm

ic s

cale

)

Gate voltage, VG (Volts)

Figure 1.6 (a) The drain-induced barrier lowering (DIBL) effect decreases the threshold voltage when thedrain voltage VDS is increased, which typically occurs when the device needs to be turned OFF.(b) The subthreshold slope increases when channel length is decreased, which slows down thevariation of current with gate voltage below threshold. Both effects increase the OFF current.

1.5 Technology boosters 9

Page 26: Nanowire Transistors - CAS

1.5.1 New materials

During the 1980s, only a handful of elements were used in silicon chip manufacturing:boron, phosphorus, arsenic, and antimony were used to dope silicon, oxygen, andnitrogen for growing or depositing insulators, and aluminum for making interconnec-tions. A few elements, such as hydrogen, argon, chlorine, and fluorine, are, and continueto be, used during processing in the form of etching plasmas or oxidation-enhancingagents. Gold was usually used at the end of the process to form an ohmic contact to theback of the silicon wafer. Potassium was used in the form of KOH solutions, which canetch silicon in an anisotropic manner.

Later during the 1990s, a few more elements were added to the list, such as titanium,tungsten, cobalt, and nickel, which were used to form low-resistivity metal silicides.Tungsten was introduced to form vertical interconnects known as “plugs,” and brominestarted to be used in a plasma form to etch silicon.

The 2000s saw an explosion in the number of elements used in silicon processing: therare earth metals, hafnium and lanthanum lanthanide are being used to form oxides withhigh dielectric constants (high-κ dielectrics), carbon and germanium are used to changethe lattice parameter and induce mechanical stresses in silicon, fluorides of noble gasesare used in excimer laser lithography, and a variety of metals are used to synthesizecompounds that have desirable work functions or Schottky characteristics. Mercury,cadmium, and tellurium are used in HgCdTe infrared sensors.

The 2010s saw the beginning of the use of sulfur and selenium as surface passivationelements, as well as the use of tin, alloyed to Ge, for making high-mobility, low-band-gap devices. Virtually all elements of the periodic table are now being put to use innanoelectronics manufacture, with the notable exception of alkaline metals, whichcreate mobile charges in MOS oxides and, of course, radioactive elements; see Fig. 1.7.

Figure 1.7 Elements used in semiconductor (silicon) industry. Radioactive elements are not used for obviousreasons.

10 Introduction

Page 27: Nanowire Transistors - CAS

The use of new elements to obtain desirable properties is a technology booster that hasmade it possible to extend the life of CMOS and reduce dimensions beyond barriers thatwere previously considered insurmountable. For instance, the reduction of gate oxidethickness below 1.5 nm leads to a gate tunnel current that quickly becomes prohibitivelyhigh. Replacing silicon dioxide by high-κ dielectrics such as hafnium oxide (HfO2),which has a dielectric constant of 22 (vs. 3.9 for SiO2), allows an increase in thethickness of the gate dielectric by a factor 22/3.9 = 5.5 without reducing the gatecapacitance, which is directly proportional to the current drive of a MOSFET. The useof new gate dielectrics gave rise to the notion of “equivalent oxide thickness” (EOT),which is defined by the relationship EOT ¼ tdεox=εd, where td is the thickness of thedielectric layer, and εox and εd are the permittivity of silicon dioxide and the replacementdielectric material, respectively. For example, a 4-nm thick layer of HfO2 is electricallyequivalent to a 0.7-nm thick layer of SiO2.

1.5.2 Strain

To improve the properties of transistors, another technology booster is commonly used:strain. Compressive strain increases hole mobility in silicon, while tensile strainincreases electron mobility. Mobility can also be modified by using Si/Ge or Si/Ge/Calloys. The strain ε ¼ ΔL=L0 (note: strain is represented by the symbol ε by conventionand should not be confused with the permittivity. Normally, this convention does notcause confusion due to the different contexts in which they are applied) is the variation oflength ΔL relative to the relaxed (unstrained) length of a sample L0 due to an appliedtensile or compressive force (unitless). Stress, σ, is the pressure applied to the materialtypically measured in Pascals (the symbol σ is also used to denote conductivity but thereis little actual confusion due to the different contexts in which it is applied). Strainand stress are related to one another through Young’s modulus as discussed inSection 3.3. Strain can be introduced in the channel of a transistor by various processingtechniques, all aimed at introducing stress to the semiconductor in such a way that adesired strain level is reached. Compressive stress can be induced in the channel regionof a silicon transistor by introducing germanium in the source and drain. The resulting“swelling” of the silicon in the source and drain compresses the channel region situatedbetween them. Tensile stress can readily be obtained by depositing a silicon nitridecontact-etch stop layer (CSEL) on top of the device. Mobility (and thus speed) improve-ment in excess of 50% can be obtained using stress techniques.

1.5.3 Electrostatic control of the channel

The third technology booster deals with the physical geometry of the transistor. It aims atmaximizing the electrostatic control of the channel by the gate, which in turn minimizesshort-channel effects. For all practical purposes, it seems impossible to scale thedimensions of classical bulk MOSFETs below 15–20 nm. This has forced the industryto switch to new transistor architectures, such as fully depleted SOI (FDSOI) [19,20] andmultigate MOSFETs, which are the topic of Chapter 2.

1.5 Technology boosters 11

Page 28: Nanowire Transistors - CAS

1.6 Ballistic transport in nanotransistors

The mobility μ used in Eqs. (1.1) and (1.2) is based on integrating both the effects of theacceleration of an electron by an electric field and the slowing down of the same electronby isotropic scattering events. The resulting mobility is given by μ ¼ qτ=m� wherem* isthe effective mass of the electron in the transport direction and τ is the “relaxation time”or the average time between scattering events [21]. In very short-channel devices, theprobability that carriers in the channel undergo scattering events is reduced or, in otherwords, an electron can travel from source to drain in a time smaller than or comparableto τ. If no scattering event occurs the transport of the carrier is said to be “ballistic,” andthe concept of mobility, which is based on multiple scattering events, becomes irrele-vant. This point will be addressed again in Chapter 6.

1.6.1 Top-of-the-barrier model

A convenient and easy-to-use model for current flow in a transistor based on ballistictransport has been developed [22,23,24]. The current is described as the differencebetween injected and backscattered fluxes of carriers. Carriers in the source are assumedto have an intrinsic “Brownian” thermal velocity given by

vtherm ¼ffiffiffiffiffiffiffiffiffiffiffi2kBTπm�

r; ð1:4Þ

where T is the temperature in degrees Kelvin, kB is Boltzmann’s constant and m* is thecarrier’s effective mass. The thermal velocity vtherm is approximately equal to 1.2×107 cm/s in silicon. When a gate bias is applied, the potential barrier in the channel islowered such that carriers from the source have sufficient thermal energy that they canreach the top of the barrier in the channel close to the source and flow over it. In such acase, the current is given by

ID ¼ WCoxðVG � VTHÞvinj; ð1:5Þ

where W is the transistor width, Cox is the gate oxide capacitance, VTH is the thresholdvoltage, and vinj is the average velocity of the carriers injected into the channel. Themaximum value of vinj is approximately the equilibrium uni-directional thermal velocity,because the charge carriers with positive (forward) momentum at the beginning of thechannel are injected from a reservoir where the carriers are at thermal equilibrium or atleast assumed to be in equilibrium in the source. Backscattering from the channeldetermines how close to this upper limit the device operates. Under high drain bias,the average velocity at the beginning of the channel can be related to a channel back-scattering coefficient, Rc, which may be written as

vinj ¼ vtherm1� Rc

1þ Rc

� �: ð1:6Þ

12 Introduction

Page 29: Nanowire Transistors - CAS

Rc is a “reflection” or backscattering coefficient that represents the degree of ballisticity.If Rc = 0 the current is purely ballistic, and if Rc = 1 all carriers are reflected back to thesource, such that none of them are transmitted to the drain. Combining the two latterexpressions results in

ID ¼ WCoxðVG � VTHÞvtherm 1� Rc

1þ Rc

� �: ð1:7Þ

Note that pure ballistic current (Rc = 0) is independent of channel length. Dependence onthe gate length for a non-purely ballistic device is reflected by the “degree of ballisti-city,” ð1� RcÞ=ð1þ RcÞ term. Rc can be calculated from the mean free path for back-scattering λ and a critical distance l passed when the electron in the channel cannot bescattered back due to the lack of thermal energy kBT/q required to overcome the potentialbarrier as depicted in Fig. 1.8. The expression for the backscattering coefficient in afield-free semiconductor slab of length L is given by

Rc ¼ LLþ λ

; ð1:8Þ

which is shown in detail in Section 6.6. Since the carriers can only be backscatteredwithin the distance l from the top of the barrier or virtual source, the backscatteringcoefficient Rc in this scenario becomes

Rc ¼ ll þ λ

: ð1:9Þ

From Fig. 1.8, it can be seen that l ¼ kBT=jqjE, where Effi VDS/LG is the electric fieldin the direction of transport on the drain side of the virtual source. In general, the mean

free path for backscattering can be expressed as λ ¼ τffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2πkBT=m�p

.

Source

Backscattering tosource is possible

l

k B T

/q

Drain

Backscaterring to source

is unlikely

Figure 1.8 Carrier backscattering in a MOSFET under high drain bias. If a carrier travels beyond the topof the barrier or virtual source by a distance l, it is unlikely to be backscattered to the source andwill exit the channel to the drain region.

1.6 Ballistic transport in nanotransistors 13

Page 30: Nanowire Transistors - CAS

This simple “top-of-the-barrier” ballistic model is a very good physical model of thebehavior of nanoscale MOSFETs, except when attempting to explain the outputconductance, which is given by the variation of drain current with applied drainvoltage in saturation. More complete models that account for non-zero output con-ductance, DIBL, finite source and drain resistance, and so on can be found in theliterature [25,26,27].

The degree of ballisticity can be measured using current–voltage measurementsperformed at different temperatures [28]. Figure 1.9 shows ð1� RcÞ=ð1þ RcÞ mea-sured on silicon gate-all-around (GAA) nanowire transistors as a function of gate length.As can be expected, the degree of ballisticity is very low in long-channel devices. Itincreases as gate length is decreased and tends to unity as the gate length tends to zero. Inthis graph, devices with LG > 100 nm operate in the drift-diffusion regime. They operatein a quasi-ballistic regime for LG < 100 nm.

1.6.2 Ballistic scaling laws

One can derive scaling laws for nanoscale ballistic transistors in a similar way to the scalinglaws for classical MOSFETs, as shown in Table 1.1. Such a derivation can be found in [29]for transistors whose channel is a two-dimensional electron gas (2DEG). The key featuresare the inclusion of a “dark space” between the channel and the semiconductor/insulatorinterface, tinv, the introduction of the “quantumcapacitance”CDoS, and the non-scalability ofthe subthreshold slope and the injection velocity. The intrinsic gate delay τ appears to scalewith the scaling factor γ, but only if the transistor’s input capacitance scales as γ. Inrealistic devices, the input capacitance is the sum of the gate capacitance and “fringing”capacitances between gate and source, and gate and drain. The latter tend to become

Gate length, LG (nm)

Deg

ree

of b

allis

ticity

(1-R

c) /

(1+

Rc)

Electrons

Holes

100010 1000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Figure 1.9 Degree of ballisticity,ð1� RcÞ=ð1þ RcÞ; measured on n- and p-channel silicon gate-all-around(GAA) nanowire transistors as a function of gate length. Nanowire diameter is 10 nm. After [28].

14 Introduction

Page 31: Nanowire Transistors - CAS

dominant in nanoscale devices, such that the gate delay does not improve significantlywith scaling. However, if the parasitic capacitances can be scaled similarly to thetransistor scaling, improvement can be seen as the intrinsic device speed continues toincrease with scaling. The current drive of the transistors decreases when gate length isscaled below 15 nm [30]. Nanoscale “ballistic scaling rules” are listed in Table 1.2.

1.7 Summary

In this chapter, a brief history of electronics with an emphasis on Moore’s law is givenand a discussion on the technology boosters that have enabled the continued

Table 1.2 Scaling rules for 2D nanoscale ballistic transistors. (*) In practice, fringing gate-source and gate-draincapacitances are often larger than CG, such that the scaling factor is actually situated between γ0 and γ�1[29].m�

∥ and m�⊥ are the effective masses parallel and perpendicular to the transport direction, respectively.

Parameter Equation Unit Scaling factor

Physical dimensions: L, W, Xj, Xdepl

Channel wave function mean depth,Tinv (dark space)

m γ�1

Voltages VDS, VGS, VTH Difficult to scale because subthresholdslope cannot be decreased below60 mV/decade

V γ0

Integration density1

WLm−2 γ2

Equivalent oxide thickness (EOT) tox ¼ tdielectricεSiO2

εdielectricm γ�1

Dielectric capacitance Cox ¼ εSiO2

toxF/m2 γ

Gate capacitance CG ¼ WLCox F γ�1

Capacitance of channel at depth Tinv Cdepth ¼ εsemicondWLTinv

F γ�1

DoS capacitance(for g populated valleys)

CDoS ¼q2g

ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiðm2

∥m2

⊥ Þq

WL

2πℏ2 F γ�1

Gate-to-channel capacitance CG�ch ¼ 1

CGþ 1

Cdepthþ 1

CDoS

� ��1

F γ�1

Electron density at thetop of the barrier

ns ¼ CG�ch

jqj ðVG � VTHÞ m−3 γ1

Injection velocity vinj ¼ 4

3π2jqjCG�ch½VG � VTH�

m∥

CDoS

!12

m s−1 γ0

Drain current IDsat ¼ qnsvinjffi WCoxðVG � VTHÞvinj A γ0

Intrinsic gate delay (neglectingfringing capacitances)*

τ ¼ CGVDS

IDsats γ�1

1.7 Summary 15

Page 32: Nanowire Transistors - CAS

miniaturization of transistors is outlined. A summary of some of the challenges to theoperation of planar MOSFETs was introduced and motivated the requirement foralternative transistor architectures below 20 nm. However, on these length scales newphysical mechanisms become important, such as ballistic transport, and a simple modelto describe charge transport in the quasi-ballistic regime was described. “Happy scaling”of classical MOSFETs was introduced and compared to a similar set of rules that maybe applied to guide transistor design choices for transistors as they become scaled tolength scales at which ballistic effects begin to dominate electron and hole currents.

References

[1] http://en.wikipedia.org/wiki/Transistor[2] J.E. Lilienfeld, “Method and apparatus for controlling electric current,” US patent

1745175, first filed in Canada on 22 October 1925.[3] J.E. Lilienfeld, “Device for controlling electric current,” US patent 1900018, filed

on 28 March 1928.[4] Dawon Kahng, “Electric field controlled semiconductor device,” US Patent

3,102,230, filed on 27 August 1963.[5] R.W. Bower, R.G. Dill, “Insulated gate field effect transistors fabricated using the

gate as source-drain mask,” International Electron Device Meeting (IEDM)Technical Digest, pp. 102–104 (1966).

[6] F. Wanlass, C. Sah, “Nanowatt logic using field-effect metal-oxide semiconductortriodes,” IEEE International Solid-State Circuits Conference, Digest of TechnicalPapers, p. 6 (1963).

[7] G.E. Moore, “Cramming more components onto integrated circuits,” Electronics38, pp. 114–117 (1965), also reprinted in Proceedings of the IEEE 86(1), pp. 82–85(1998).

[8] C.A. Colinge, J.P. Colinge, Physics of Semiconductor Devices, Kluwer AcademicPublishers (now: Springer), p. 196 (2002).

[9] G.A. Armstrong, J.R. Davis, A. Doyle, “Characterization of bipolar snapback andbreakdown voltage in thin-film SOI transistors by two-dimensional simulation,”IEEE Transactions on Electron Devices 38, pp. 328–336 (1991).

[10] K.E. Moselund et al., “Punch-through impact ionization MOSFET (PIMOS): fromdevice principle to applications,” Solid-State Electronics 52, pp. 1336–1344 (2008).

[11] Q. Zhang, W. Zhao, A. Seabaugh, “Low-subthreshold-swing tunnel transistors,”IEEE Electron Device Letters 27, pp. 297–300 (2006).

[12] H. Lu, A. Seabaugh, “Tunnel field-effect transistors: state-of-the-art,” IEEEJournal of the Electron Device Society 2(4), pp. 44–49 (2014).

[13] A. Afzalian, J.P. Colinge, D. Flandre, “Physics of gate modulated resonant tunnel-ing (RT)-FETs: multi-barrier MOSFET for steep slope and high on-current,”Solid-State Electronics 59, pp. 50–61 (2011).

[14] S. Salahuddin, S. Datta, “Use of negative capacitance to provide voltage amplifi-cation for low power nanoscale devices,” Nano Letters 8, pp. 405–410 (2008).

[15] V.V. Zhirnov, R.K. Cavin, “Nanoelectronics: negative capacitance to the rescue?,”Nature Nanotechnology 3(2), pp. 77–78 (2008).

16 Introduction

Page 33: Nanowire Transistors - CAS

[16] S. Salahuddin, S. Datta,“Can the subthreshold swing in a classical FET be loweredbelow 60 mV/decade?,” Technical Digest of the International Electron DevicesMeeting (IEDM), pp. 693–696 (2008).

[17] R.H. Dennard et al., “Design of ion-implantedMOSFETs with very small physicaldimensions,” IEEE Journal of Solid-State Circuits 9(5), pp. 256–268 (1974).

[18] T. Skotnicki, F. Boeuf, “How can high-mobility channel materials boost or degradeperformance in advanced CMOS,” Proceedings VLSI Symposium, pp. 153–154(2010).

[19] J.P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI, 3rd edition,Kluwer Academic Publishers (2004).

[20] O. Kononchuk, B.-Y. Nguyen (eds.), Silicon-On-Insulator (SOI) Technology:Manufacture and Applications, Elsevier (2014).

[21] C.A. Colinge, J.P. Colinge, Physics of Semiconductor Devices, Kluwer AcademicPublishers (now: Springer), pp. 51–55 (2002).

[22] A. Rahman et al., “Theory of ballistic nanotransistors,” IEEE Transactions onElectron Devices 50(9), pp. 1853–1864 (2003).

[23] K. Natori, “Ballistic metal-oxide-semiconductor field effect transistor,” Journal ofApplied Physics 76(8), pp. 4879–4890 (1994).

[24] M.S. Lundstrom, Z. Ren, “Essential physics of carrier transport in nanoscaleMOSFETs,” IEEE Transactions on Electron Devices 49(1), pp. 133–141 (2002).

[25] A. Khakifirooz, O.M. Nayfeh, D. Antoniadis, “A simple semiempirical short-channel MOSFET current–voltage model continuous across all regions of opera-tion and employing only physical parameters,” IEEE Transactions on ElectronDevices 56(8), pp. 1674–1680 (2009).

[26] A. Majumdar, D.A. Antoniadis, “Analysis of carrier transport in short-channelMOSFETs,” IEEE Transactions on Electron Devices 61(2), pp. 351–358 (2014).

[27] M.S. Lundstrom, D.A. Antoniadis, “Compact models and the physics of nanoscaleFETs,” IEEE Transactions on Electron Devices 61(2), pp. 225–233 (2014).

[28] R. Wang et al., “Experimental investigations on carrier transport in Si nanowiretransistors: ballistic efficiency and apparent mobility,” IEEE Transactions onElectron Devices 55(11), pp. 2960–2967 (2008).

[29] M.J.W. Rodwell et al., “III-V MOSFETs: scaling laws, scaling limits, fabricationprocesses,” Proceedings of International Conference on Indium Phosphide &Related Materials (IPRM), pp. 1–6 (2010).

[30] M. Salmani-Jelodar et al., “Transistor roadmap projection using predictive full-band atomistic modeling,” Applied Physics Letters 105, pp. 083508.1–4 (2014).

References 17

Page 34: Nanowire Transistors - CAS

2 Multigate and nanowire transistors

As presented in Chapter 1, the use of a multigate architecture is a technology booster thatallows improved electrostatic control of a channel region by the gate electrode, andtherefore mitigates short-channel effects. Currently existing multigate architectures forthe MOSFET are described, and then compared in terms of short-channel effect control.It is concluded that the gate-all-around structure associated with a nanowire-shapedsemiconductor offers the best possible electrostatic control of a channel. Differenteffects arising from carrier confinement effects in semiconductor nanowires are con-sidered. The chapter concludes with a discussion of novel phenomena arising fromquantum confinement, such as the semimetal–semiconductor transition, band foldingof the electronic structure in nanowires, and novel devices that can be devised on thenanometer length scale.

2.1 Introduction

In the classical planar MOSFET, the gate dielectric and gate electrode sit above thechannel region. Electrostatic control of the channel by the gate is achieved through thecapacitive coupling between the gate and the channel. To maintain transistor scalinglaws, a reduction in the depths of the source and drain regions by the same factor as thegate length reduction is required. This reduces short-channel effects at the cost ofrendering less effective the control of the channel region through source and drainvoltages. High-κ dielectrics are used as gate oxide materials to increase current drivewithout having to pay a stiff penalty in gate oxide leakage, which is in turn largelyresponsible for standby power consumption. Decreasing the equivalent gate oxidethickness (EOT) through the replacement of the silicon dioxide insulating layer bymetallic oxides with higher dielectric constant improves the capacitive couplingbetween the gate and the channel, and thus also reduces short-channel effects.

The electrostatics of a planar, long-channel MOSFET can be reduced in a firstapproximation to a one-dimensional problem. Early textbooks on semiconductor devicephysics introduced the “gradual channel approximation,” which can be solved byPoisson’s equation – the equation that governs the relationship between electric fieldsand electrical charges – in one dimension, vertically from the gate through the channeland down through the silicon substrate. Short-channel effects whereby electric fieldsfrom the source and the drain encroach laterally (horizontally) in the channel region

Page 35: Nanowire Transistors - CAS

introduce a second dimension to the problem. In planarMOSFETs on bulk silicon, short-channel effects become insurmountable once the gate length becomes smaller thanapproximately 15 to 20 nm. Below that length scale, there is a requirement to improvethe electrostatic control of the channel region by thinning down the silicon substrate onwhich the channel is formed. This is why the industry was recently forced to switch fromthe familiar bulk MOSFET structure, to more advanced device architectures such asfully depleted silicon-on-insulator (FDSOI) and multigate MOSFETs [1,2].

2.2 The multigate architecture

Improvement of the electrostatic control of the channel by the gate can be achieved bymodifying the shape of the MOSFET. Multigate MOSFETs take advantage of the thirddimension to counteract short-channel effects. The term “multigate” is perhaps not themost appropriate one, as these devices have a single gate electrode. It simply means thatthis electrode is wrapped around several sides of the channel region. For the sake ofclarity, the MOSFETs of Fig. 2.1(a) and Fig. 2.1(b) will be referred to here as “single-gate” transistors, whilst the other devices of Fig. 2.1 will be described as double-gate,and triple-gate or gate-all-around MOSFETs. The gate-all-around device is covered onall sides by the gate electrode, while the pi-gate (П-gate) and the omega-gate (Ω-gate)structures derive their names from the shape of the gate electrode [3,4].

The first publication describing a double-gate SOI MOSFET dates back to 1984. Thedevice received the acronym XMOS because of the resemblance of the structure withthe Greek letter Ξ (Xi) in which a thin silicon channel is sandwiched between twogates [5]. This pioneering paper predicted an improvement of short-channel character-istics brought by the double-gate architecture over the classical single-gate approach.The first fabricated double-gate SOI MOSFET was the fully DEpleted Lean-channelTrAnsistor (DELTA, 1989) with a silicon film stood vertically on its side [6]. Laterimplementations of vertical-channel, high aspect ratio double-gate SOI MOSFETsinclude the trigate FET or FinFET (Fig. 2.1(d) and (e)) [7,8]. To improve control ofthe channel from three sides, the thickness (height) of the channel region must bedecreased, which produces nanowire-like devices such as the quantum-wire SOIMOSFET [9] and the triple-gate MOSFET (Fig. 2.1(c)) [10]. Improved channel controlcan be achieved using a field-induced, pseudo-fourth gate such as in the Π-gateMOSFET [11] and the Ω-gate device (Fig. 2.1(f) and (g)) [12]. The first “gate-all-around” (GAA) device, published in 1990, was in reality a double-gate transistoralthough the gate electrode did wrap around all sides of the channel region [13].Nowadays the term “GAA” is preferentially used to describe a nanowire-likeMOSFET where the gate is wrapped around the channel region (Fig. 2.1(h) and (i)).Using such gate architectures, it is even possible to fabricate MOSFET devices withoutintroducing pn junctions for the source and drain [14]. Such “junctionless” multigatetransistors have a great potential for greatly simplifying the MOSFET fabricationprocess at the nanometer length scale [15,16]. It is also possible to insert electron traplayers or nanocrystals in the gate dielectric to create nanowire flash memory transistors

2.2 The multigate architecture 19

Page 36: Nanowire Transistors - CAS

[17,18]. One of the shortest MOSFETs published to date has a gate length of 3.8 nm. Itemploys a trigate structure and achieves a subthreshold slope of 92 mV/dec, and a drain-induced barrier lowering (DIBL) of 148 mV/V [19].

2.3 Reduction of short-channel effects using multigate architectures

Subthreshold slope degradation and drain-induced barrier lowering (DIBL) are causedby the encroachment of electric field lines from the source and drain into the channelregion, thereby competing for the available depletion charge, and reducing the thresholdvoltage. The distribution of electrical potential in the channel region of a MOSFET can

be derived directly from Maxwell’s equation ~∇ � ~D ¼ ρ where ~D ¼ ε~E is the electrical

(c)(b)(a)

(f)

(e)

(h)(g)

(d)

(i)

Figure 2.1 Different types of MOSFETs sorted by gate configuration. (a) Single-gate planar bulk MOSFET.(b) Single-gate SOI MOSFET with mesa isolation. (c) Triple-gate (trigate) SOI nanowireMOSFETwith square cross-section. (d) Bulk trigate MOSFET with high aspect ratio (bulkFinFET). (e) SOI trigate MOSFETwith high aspect ratio (SOI FinFET). (f) Pi-gate (Π-gate) SOInanowire MOSFET. (g) Omega-gate (Ω-gate) SOI nanowire MOSFET. (h) Horizontal gate-all-around (GAA, quadruple-gate, quad-gate) nanowire transistor with square section. (i) Verticalgate-all-around (GAA) nanowire MOSFET with circular cross-section [20,21,22,23,24,25].

20 Multigate and nanowire transistors

Page 37: Nanowire Transistors - CAS

displacement field, ε is the permittivity of the material, ~E is the electric field, and ρ is thelocal charge density: dEx=dxþ dEy=dyþ dEz=dz ¼ �ρ=ε = a constant value at a fixedpoint.

The latter relation is called Poisson’s equation. It can be used to show how the gatesand the source/drain compete for control of the charge in a MOSFET’s channel. Thecontrol by the gate electrode is exerted in the y and z directions and competes with thevariation of electric field in the x direction due to the source and drain voltages. Sincethe sum of all the terms of Poisson’s equation is a constant, any increase of the control bythe top and bottom gates through dEz=dz or by the left- and right-hand side gates willdecrease the penetration of the source/drain electric fields in the channel region, dEx=dx.Figure 2.2 shows the competition between the different electric fields for an elementalcharge in the channel region.

Based on Poisson’s equation and along with a few simplifying assumptions, it ispossible to calculate a parameter called the “natural length,” denoted λ. The analysisleads to the conclusion that the natural length represents the extension of the electric fieldlines from the source and drain into the channel region. A device will effectively be free ofshort-channel effects if the gate is at least six times longer than λ. For instance, in the caseof a double-gate MOSFET, one can show that the subthreshold swing, SS, increases as thegate length is decreased according to the following relationship, valid for LG > 2λ [26]:

SS ¼ kBTjqj lnð10Þ = ½1� 2expð�LG=2λÞ�: ð2:1Þ

The potential distribution in the channel of a fully depleted, inversion-mode n-channelMOSFET can be obtained by solving Poisson’s equation using the depletionapproximation

d2Φðx; y; zÞdx2

þ d2Φðx; y; zÞdy2

þ d2Φðx; y; zÞdz2

¼ qNa

ε Si: ð2:2Þ

It is useful to understand the meaning of this equation. It can be rewritten in the form

x

EX

EYEZ

Left gate

Bottom gate

Right gate

Top gate

y

z

Source

Drain

Figure 2.2 Coordinate system and electric field components in a multiple-gate device. The electric fieldfrom the gates and from the drain “compete” for the control of the channel.

2.3 Reduction of short-channel effects 21

Page 38: Nanowire Transistors - CAS

dExðx; y; zÞdx

þ dEyðx; y; zÞdy

þ dEzðx; y; zÞdz

¼ C: ð2:3Þ

This relationship means that about any point (x,y,z) in the channel, the sum of thevariations of the electric field components in the x, y, and z directions equals aconstant. Thus, as one of the components increases the other ones (or, to be moreexact, their sum) must decrease. In Fig. 2.2, the x component of the electric fieldEx represents the encroachment of the drain electric field on the channel region, andtherefore short-channel effects. The influence of Ex on a small element of the channelregion located at coordinates (x,y,z) can be reduced by either increasing the channellength, L, or by increasing the control exerted on the channel by the top/bottom gatesthrough dEzðx; y; zÞ=dz, or the lateral gates through dEyðx; y; zÞ=dy. This can be achievedby reducing the silicon fin thickness tSi and/or the fin widthWSi and/or by decreasing thegate oxide thickness. In addition, an increase of dEyðx; y; zÞ=dyþ dEzðx; y; zÞ=dz resultsand, hence, a better control of the channel by the gates and fewer short-channel effectscan also be obtained by increasing the number of gates: dEzðx; y; zÞ=dz can be increasedby having two gates (top and bottom gates) instead of a single gate, and dEyðx; y; zÞ=dycan be increased by the presence of two lateral gates.

2.3.1 Single-gate MOSFET

In the case of an infinitely wide single-gate SOI MOSFET, the electrostatic potential isuniform along the y direction and dΦ=dy ¼ 0, Poisson’s equation simplifies to

d2Φðx; y; zÞdx2

þ d2Φðx; y; zÞdz2

¼ qNa

ε Si: ð2:4Þ

Assuming the gate is above the channel as in Fig. 2.1(b) and using the depletionapproximation automatically yields a parabolic potential distribution in the silicon filmin the z (vertical) direction. The potential can be expressed as

Φðx; zÞ ¼ c0ðxÞ þ c1ðxÞzþ c2ðxÞz2: ð2:5Þ

In the case of a single-gate SOI device the boundary conditions to Eq. (2.4) are:

1. Φðx; 0Þ ¼ ΦfðxÞ ¼ c0ðxÞ where Φf ðxÞ is the front surface potential;2. dΦðx; zÞ=dzjz ¼ 0 ¼ εSiðΦfðxÞ � ΦGÞ=εSitox ¼ c1ðxÞ where ΦG ¼ VG � VFBF is the

front gate voltage VG minus the front gate flat-band voltage VFBF;3. if we assume that the buried oxide (BOX) is very thick the potential difference across

any finite distance in the BOX is negligible in the y direction such that dΦðx; zÞ=dz ffi 0in the BOX region. Therefore, we have: dΦðx; zÞ=dzjz ¼ tSi ¼ c1ðxÞ þ 2tSic2ðxÞ ffi 0and thus c2ðxÞffi � c1ðxÞ=2tSi.Introducing these three boundary conditions in Eq. (2.4) we obtain

22 Multigate and nanowire transistors

Page 39: Nanowire Transistors - CAS

Φðx; zÞ ¼ Φf ðxÞ þ εoxεSi

Φf ðxÞ � ΦG

tox� 1

2tSi

εoxεSi

ΦfðxÞ � ΦG

toxz2; ð2:6Þ

Combining Eqs. (2.4) and (2.6) and setting z = 0, at which depth the surface potential canbe defined as ΦfðxÞ ¼ ΦGðx; z ¼ 0Þ results in

d2Φf ðxÞdx2

� εoxεSi

Φf ðxÞ � ΦG

tSitox¼ qNa

εSi: ð2:7Þ

Once ΦfðxÞ is determined from Eq. (2.7), Φðx; yÞ can be calculated using Eq. (2.6).Equation (2.7), however, can be used for another purpose. Define

λ1 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSiεox

toxtSi

r; ð2:8Þ

and

φðxÞ ¼ ΦfðxÞ � ΦG þ qNa

εSiλ21; ð2:9Þ

which permits Eq. (2.7) to be rewritten as

d2φðxÞdx2

� φðxÞλ21

¼ 0: ð2:10Þ

This equation has a solution in the form φðxÞ ¼ φ0expð�x=λ1Þ where λ1 is a para-meter that represents the spread of the electric potential in the x direction. Note that φ(x)differs from ΦfðxÞ only by an x-independent term. The parameter λ1 is defined to be the“natural length” of the device. It depends on the gate oxide thickness and the silicon filmthickness [26]. The thinner the gate oxide and/or the silicon film, the smaller the naturallength and, hence, the influence of the drain electric field on the channel region.Numerical simulations show that the effective gate length of a MOS device must belarger than 5 to 10 times the natural length to avoid short-channel effects and a good ruleof thumb is 6 times the natural length to assure good electrostatic control of the channel.

2.3.2 Double-gate MOSFET

Assume the two gates are perpendicular to the z direction (i.e. the gates are at the top andbottom of the channel in Fig. 2.1). Again using the depletion approximation forPoisson’s equation, the parabolic potential distribution in the channel can be written asin Eq. (2.5):

Φðx; zÞ ¼ c0ðxÞ þ c1ðxÞzþ c2ðxÞz2: ð2:11Þ

The boundary conditions to Poisson’s equation for the case of Eq. (2.4) are:

2.3 Reduction of short-channel effects 23

Page 40: Nanowire Transistors - CAS

1. assuming the device is infinitely wide in the y direction leads to dΦ=dy ¼ 0;2. Φðx; 0Þ ¼ Φfðx; tSiÞ ¼ c0ðxÞ where Φf ðxÞ is the front surface potential;3. dΦðx; zÞ=dzjz¼0 ¼ εSi

�Φf ðxÞ � ΦG

�=εSitox ¼ c1ðxÞ where ΦG ¼ VG � VFB is the

front gate voltage, Vgs, minus the front gate flat-band voltage VFBF;4. dΦðx; zÞ=dzjz¼tSi=2 ¼ 0 and thus c2ðxÞffi � 4c1ðxÞ=tSi; where tSi is the SOI film

thickness.

Substituting these boundary conditions into Eq. (2.11) yields

Φðx; zÞ ¼ Φf ðxÞ þ εoxεSi

ΦsðxÞ � ΦE

toxz� 1

tSi

εoxεSi

ΦsðxÞ � ΦG

toxz2: ð2:12Þ

In a double-gate device, short-channel effects will take place at the center of the siliconfilm at z ¼ tSi=2 since that is the region that is furthest away from the gates. The potentialat the center of the film/fin ΦcðxÞ is obtained by writing y ¼ tSi=2 in Eq. (2.12), whichyields

Φf ðxÞ ¼ 1

1þ εox4εSi

tSitox

ΦcðxÞ þ εox4εSi

tSitox

ΦG

� �: ð2:13Þ

Expressing Φðx; zÞ as a function of ΦcðxÞ results in

Φðx; zÞ ¼ 1þ εoxεSi

ytox

� εoxεSi

z2

toxtSi

� �:

ΦcðxÞ þ εox4εSi

tSitox

ΦG

1þ εox4εSi

tSitox

0B@1CA� εox

εSi

ytox

ΦG � εoxεsi

z2

toxtSiΦG

� �:

ð2:14Þ

Substituting Eq. (2.14) into Eq. (2.4) allows Poisson’s equation to be re-expressed as

d2ΦcðxÞdx2

þ ΦG � ΦcðxÞλ22

¼ qNa

εSi: ð2:15Þ

This expression is of the same form as Eq. (2.7) with the natural length λ in this casegiven by [27]

λ2 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSi2εox

1þ εox4εSi

tSitox

� �tSitox

s: ð2:16Þ

2.3.3 Triple- and quadruple-gate MOSFETs

In the case of a quadruple-gate device with a square cross-section, symmetry imposes

d2Φðx; y; zÞ=dy2 ¼ d2Φðx; y; zÞ=dz2 in the center of the nanowire such that Poisson’sequation (2.1) can be written

24 Multigate and nanowire transistors

Page 41: Nanowire Transistors - CAS

d2Φðx; y; zÞdx2

þ 2d2Φðx; y; zÞ

dy2¼ q Na

εSi: ð2:17Þ

Following similar steps to those outlined above leads to the natural length for thesymmetric quadruple gate device to be expressed as [28]

λ4 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSi4εox

1þ εox4εSi

tSitox

� �tSitox

s: ð2:18Þ

There is no simple derivation of the natural length for triple-gate devices, but numericalsimulations suggest that the expression

λ3 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSi3εox

1þ εox4εSi

tSitox

� �tSitox

sð2:19Þ

is a good approximation for the case where the channel is surrounded on three sides bythe gate electrode [29].

2.3.4 Cylindrical gate-all-around MOSFET

In the case of a cylindrical gate-all-aroundMOSFET, the natural length can be calculatedusing Poisson’s equation in cylindrical coordinates:

1

r

d

drrdΦðx; rÞ

dr

� �þ d2Φðx; rÞ

dx2¼ q Na

εSi: ð2:20Þ

Using a similar approach as for the double-gate device, a parabolic potential distribu-tion in the radial direction is assumed:

Φðx; rÞ ¼ coðxÞ þ c1ðxÞ r þ c2ðxÞ r2: ð2:21Þ

The boundary conditions to Poisson’s equation for the case represented byEq. (2.20) are:

1. The potential in the center of the nanowire is a function of x only: Φðx; 0Þ ¼ c0ðxÞ;2. dΦðx; rÞ=drjr¼0 ¼ 0 and thus c1ðxÞ ¼ 0;3. Φ ðx;RÞ ¼ Φf ðxÞ ¼ c0ðxÞ þ c1ðxÞ Rþ c2ðxÞ R2 whereΦf ðxÞ is the surface potential

and R is the radius of the nanowire;4. The electric field at the nanowire/gate oxide interface can be written as

dΦðx; rÞdr

r ¼ R

¼ εoxεSi

ΦG � ΦfðxÞtSi2ln 1þ tox

R

� �0B@

1CA ¼ tSic2ðxÞ;

ð2:22Þ

2.3 Reduction of short-channel effects 25

Page 42: Nanowire Transistors - CAS

whereΦG ¼ VG � VFB is the front gate voltage Vgs minus the gate flat-band voltage VFB,and Φf ðxÞ is the surface potential in the channel.

Substituting these boundary conditions into Eq. (2.21) yields

Φðx; rÞ ¼ Φf ðxÞ � 1

2

εoxr2�ΦcðxÞ � ΦG

�εSiR2ln 1þ tox

R

� �þ εoxR2

0B@1CA: ð2:23Þ

Using this potential distribution, Poisson’s equation can be solved at the center of thenanowire, where the short-channel effects are the strongest because this is the placefurthest away from the gate:

d2ΦcðxÞdx2

þ ΦG � ΦcðxÞλ2GAA

¼ qNa

εSi; ð2:24Þ

where

λGAA ¼

ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2εSiR2ln 1þ tox

R

� �þ εoxR2

4εox

vuut ð2:25Þ

is the natural length for a cylindrical channel in a gate-all-around (GAA)configuration [30].

The drain-induced barrier lowering (DIBL) and subthreshold swing in cylindricalGAA nanowire transistors with different diameters and gate oxide thickness values areshown in Fig. 2.3 as a function of the normalized gate length LG/λGAA.

The natural lengths for the different gate architectures are listed in Table 2.1. Simpleobservation of the expressions for the natural length in double-, triple-, and quadruple-gate devices with a square cross-section suggests defining an “effective number of

00

50

100

150DIBL

Subthreshold slope200

250

DIB

L (m

V)

20 3010Normalized gate length, LG/lGAA

110

100 Su

bth

resho

ld slo

pe

(mV

/dec)

90

80

70

60

Figure 2.3 Drain-induced barrier lowering (DIBL) and subthreshold swing in cylindrical GAA nanowiretransistors as a function of the normalized gate length, LG/λGAA. Adapted from [30].

26 Multigate and nanowire transistors

Page 43: Nanowire Transistors - CAS

gates,” n, which is equal to 2, 3, or 4 for double-, triple-, and quadruple-gate devices witha square cross-section, respectively. The natural length for a square-section device withn gates is then given by the general expression

λn ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSinεox

1þ εox4εSi

tSitox

� �tSi tox

s: ð2:26Þ

Interestingly, the “effective number of gates” can be extended to Π-gate and Ω-gatedevices with a non-integer value of n ranging between 3 and 4 [30,31].

Figure 2.4 shows the subthreshold slope (or subthreshold swing) and the drain-induced barrier lowering (DIBL) in multigate transistors as a function of the gate lengthnormalized to the natural length LG=λn. The data in these plots are extracted fromnumerical simulations. The fact that all types of devices fall on the same curve oncegate length is normalized to λn validates the concept of an “effective number of gates”expressed in Eq. (2.26) [30].

Figure 2.5 shows the minimum gate length that is permissible for the different gatearchitectures while avoiding short-channel effects. The curves are plotted as a functionof nanowire thickness/width or diameter in single-gate and multiple-gate devices. Thedouble-gate, triple-gate, and quadruple-gate MOSFETs have a square cross-section. Thegate oxide thickness in modern devices is scaled with the silicon thickness in such a waythat equivalent oxide thickness (EOT) is the silicon thickness/diameter divided by 5.One assumes that the minimum channel length is equal to six times the natural length inorder to avoid short-channel effects (Lmin ¼ 6λ). Increasing the effective number ofgates clearly improves short-channel effects and allows one to achieve shorter gatelengths for a given silicon thickness. The cylindrical device offers the best gate controland, hence, the lowest short-channel effects of all devices. Since the models developed

Table 2.1 Natural length λ, for different gate architectures. R is the nanowire radius (cylindrical case),tSi is the nanowire width and height (square section case), and tox is the gate oxide thickness.

Gate architecture Natural length Ref.

Single gate, planar device λ1 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSiεox

tSitox

r[26]

Double gate,rectangular cross-section

λ2 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSi2εox

1þ εox4εSi

tSitox

� �tSitox

s[27]

Triple gate,square cross-section

λ3 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSi3εox

1þ εox4εSi

tSitox

� �tSitox

s[29]

Quadruple gate,square cross-section

λ4 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiεSi4εox

1þ εox4εSi

tSitox

� �tSitox

s[28]

Cylindrical GAA λGAA ¼

ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2εSiR2lnð1þ tox

RÞ þ εoxR

2

4εox

vuut[30]

2.3 Reduction of short-channel effects 27

Page 44: Nanowire Transistors - CAS

above for the natural length do not account for quantum confinement effects, siliconthickness/width/diameter values lower than 4 nm are not considered. However, this canbe treated using more complex models [32].

It is worth noting that the improved electrostatic control brought about by the GAAarchitecture not only improves short-channel effects, but also improves reliability byreducing hot-carrier degradation by minimizing the impact of interface trap generationon the electrostatics in the channel [33]. It also reduces negative-bias temperature

2

Single gateDouble gate

Triple gateQuadruple gate

Cylindrical GAA

0

Min

imu

m g

ate

len

gth

(n

m)

10

20

30

40

50

60

70

4 6 8 10Silicon thickness/width or diameter (nm)

12 14

Figure 2.5 Minimum gate length as a function of nanowire thickness/width or diameter. Double-gate,triple-gate, and quadruple-gate MOSFETs have a square cross-section. The equivalent oxidethickness (EOT) is taken as one-fifth the silicon thickness/diameter. One assumes that theminimum channel length is equal to six times the natural length in order to avoid short-channeleffects (Lmin ¼ 6λ).

060

70

Su

bth

resh

old

slo

pe

(mV

/dec

)

80

90

100

110

120Double gate (n = 2)Tri-gate (n = 3)P-gate (n = 3.14)W-gate (n = 3.4)GAA (n = 4)

Double gate (n = 2)Tri-gate (n = 3)P-gate (n = 3.14)W-gate (n = 3.4)GAA (n = 4)

130

2 4 6LG/l

8 10 120

50

100

DIB

L (m

V)

150

200

250

300

350

0 2 4 6LG/l

8 10

(b)(a)

12

Figure 2.4 (a) Subthreshold slope (or swing) and (b) drain-induced barrier lowering (DIBL) in multigatetransistors as a function of the normalized gate length LG/λn.

28 Multigate and nanowire transistors

Page 45: Nanowire Transistors - CAS

instability (NBTI) degradation, as well as threshold variability and transistor mismatch[34,35,36].

2.4 Quantum confinement effects in nanoscale multigate transistors

The cross-section of nanowire multigate MOSFETs can be quite small. When nanowiretransistors have heights and widths smaller than between 5 and 20 nanometers, depen-dent on the semiconductor material, one-dimensional quantum confinement effectsbegin to appear. These effects are manifested in the formation of energy subbands,variation of band gap energy with diameter, and the reduction in the number of conduc-tion channels available for charge transport (quantum capacitance).

2.4.1 Energy subbands

In a “large” silicon crystal electrons can move in the three directions of space. In ananowire with a very small cross section, the electrons can only move along the length ofthe wire (x direction) and form standing waves along the directions perpendicular tothis motion. The electrons forming these standing waves have discrete energy values.Assuming a nanowire with rectangular cross-section (height = tSi, width =WSi), solvingthe 2D particle-in-a-box problem using Schrödinger’s equation yields the energyvalues [37]:

Eny;nz ¼ℏ2

2my�

πnytSi

� �2

þ ℏ2

2mz�

πnzWSi

� �2

; ð2:27Þ

where ny ¼ 1; 2; 3;…; nz ¼ 1; 2; 3;…, and wherem�i is the effective mass of electrons in

the crystal ith direction of confinement. Adding to the values of Eny;nz the energy of theelectron in the direction of motion along the nanowire Enx ¼ ðℏknxÞ2=2m�

x , where ℏknx isthe momentum of the electron in the x direction. As the electron energy in the confine-ment direction is quantized and the electron energy in the propagating direction forms aquasi-continuum, it is found that the permitted energy levels for the electrons form aseries of continuum levels within the conduction bands, labeled “energy subbands.”Each subband has its own minimum energy Eny;nz with the lowest energy given for ny ¼nz¼1 resulting in an energy

E1;1 ¼ ℏ2

2my�

πtSi

� �2

þ ℏ2

2mz�

πWSi

� �2

ð2:28Þ

above the conduction band minimum. The density of states (DoS) in each subband isinfinite at each “resonance” energy level Eny;nz due to the discontinuities due to thesubband quantized levels, but drops as a function of the square root of energy above theonset marked by the subband levels [37]

2.4 Quantum confinement effects 29

Page 46: Nanowire Transistors - CAS

DoS ¼ dn

dE¼ 1

πℏ

ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2mðE � EnynzÞ

q; ð2:29Þ

where n is the number of electron levels within a narrow energy range. The energydispersion or E versus k band diagram and the density of states in the conduction band ofa semiconductor nanowire are shown in Fig. 2.6.

The electrons associated with the lowest energyE1;1 are located mostly in the center ofthe nanowire [38,39]. In subthreshold operation, most of the electrons are in the lowestsubband and thus concentrated about the center of the nanowire. As gate voltage isincreased and additional subbands become populated, electrons become increasinglyattracted by the gate electrode such that peaks of electron concentration are found at theedges, and especially near corners of the nanowire channel. It is, however, important tonotice that a substantial portion of the electrons are still found inside the nanowire,unlike in classical bulk devices where the electrons are confined to a thin inversionlayer at the surface of the silicon. This phenomenon, called “volume inversion” (or“bulk inversion”), is unique to low-dimensional devices such as thin SOI films andnanowires [40].

The electron concentration in inversion-mode trigate nanowire FETs is shown inFig. 2.7. The profiles are shown under different gate bias conditions: flat-band (VG =VFB), threshold (VG = VTH), and above threshold (VG = VTH + 0.7 V). The devices have asquare section (WSi = tSi), and width/height of 3, 5, 10, and 20 nm. In devices with arelatively large cross-section (Fig. 2.7(l) and to a lesser extent Fig. 2.7(i)), inversionchannels are clearly formed at the interfaces between the silicon fin and the gate oxide atVG >>VTH, but there is some level of volume inversion at the center of the device. Peaksof inversion electron concentration can be found at the top corners. In devices with asmaller section shown in Fig. 2.7(c) and (f), volume inversion is clearly observed atstrong inversion. All devices show some level of volume inversion at VG = VTH shown inFig. 2.7(b), (e), (h), and (k) [41].

Ec

E1,1

E1,2

E2,1

E2,2

Enx,ny

En

erg

y, E

Energy, E(a) (b)

Momentum, kx Density of states, DoS

DE

Figure 2.6 (a) Energy vs. electron momentum in the transport direction x. Five subbands are shown in thisexample. (b) Density of states vs. energy. ΔE is the energy separation between the two firstsubbands with energy E1;1 and E1;2.

30 Multigate and nanowire transistors

Page 47: Nanowire Transistors - CAS

The product of the density of states by the Fermi–Dirac function, DoSðEÞ � fFDðEÞ, atroom temperature is shown for silicon trigate devices of different physical dimensions inFig. 2.8. The density of states for a 3D crystal is also shown for comparison purposes.The devices have a square section and are biased under flat-band conditions. The densityof states in each subband is given by Eq. (2.29), where the density-of-states electronmass is defined by

Wsi = tsi = 3 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 3 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH

Wsi = tsi = 3 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH+0.7 V

Wsi = tsi = 5 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 5 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH

Wsi = tsi = 5 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH+0.7 V

Wsi = tsi = 10 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 10 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH

Wsi = tsi = 10 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH+0.7 V

Wsi = tsi = 20 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 20 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH

Wsi = tsi = 10 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VTH+0.7 V

Ele

ctro

n c

on

cen

trat

ion

(a) (b)

Ele

ctro

n c

on

cen

trat

ion

(c)

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

Ele

ctro

n c

on

cen

trat

ion

(d) (e)

Ele

ctro

n c

on

cen

trat

ion

(f)

(h) (i)

(l)(k)(j)

(g)

Ele

ctro

n c

on

cen

trat

ion

Figure 2.7 Electron concentration in inversion-mode trigate nanowire FETs. The absolute scale of thevertical axis (electron concentration) is arbitrary and different for all cases presented here.

2.4 Quantum confinement effects 31

Page 48: Nanowire Transistors - CAS

m�DS ¼ 62=3 m�2

t m�l

� �1=3¼ 1:08 m0; ð2:30Þ

where m�t and m�

l are the transverse and longitudinal electron masses in a three-dimensional silicon crystal. In the larger device with WSi = 40 nm, the density of statesis similar to that of a 3D device, apart from its “spiky” appearance. In smaller devices,the formation of subbands becomes quite clear and, for instance, the energy separationbetween the first and second subband is 40, 60, and 100 meV in devices withWSi = tSi = 5,

0 0.5 1.0

1D

3D

1.5 2.00.0

0.1

En

erg

y ab

ove

Ec

(eV

)

0.2(a)

DoS x FFD(E) (x106 cm–3eV–1)

0 0.5 1.0 1.5 2.00.0

0.1

En

erg

y ab

ove

Ec

(eV

)

0.2(c)

DoS x FFD(E) (x106 cm–3eV–1)

0 0.5 1.0 1.5 2.00.0

0.1

0.2

En

erg

y ab

ove

Ec

(eV

)

(f)

DoS x FFD(E) (x106 cm–3eV–1)

0 0.5 1.0 1.5 2.00.0

0.1

En

erg

y ab

ove

Ec

(eV

)

0.2(b)

DoS x FFD(E) (x106 cm–3eV–1)

0 3.02.01.00.0

0.1E

ner

gy

abo

ve E

c (e

V)

0.2(d)

DoS x FFD(E) (x106 cm–3eV–1)

0 3.02.01.00.0

0.1

En

erg

y ab

ove

Ec

(eV

)

0.2(e)

DoS x FFD(E) (x106 cm–3eV–1)

Wsi = tsi = 3 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 5 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 10 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 40 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 20 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Wsi = tsi = 4 nmtox = 2 nm

Na = 5×1015 cm–3

VG = VFB

Figure 2.8 Product of the density of states by the Fermi–Dirac function in 1D silicon nanowire trigate deviceswith different cross-sectional dimensions, at room temperature. The dashed line is thecorresponding product for a 3D “bulk” MOSFET. Nanowire width and height are 3, 4, 5, 10, 20and 40 nm in (a), (b), (c), (d), (e), and (f), respectively.

32 Multigate and nanowire transistors

Page 49: Nanowire Transistors - CAS

4, and 3 nm, respectively [41]. The electron concentration in the channel is given by

the following integral: n ¼ Ð EC

∞ DoSðEÞ � fFDðEÞdE:According to Eq. (2.27), the smaller the cross-sectional dimensions of the nanowire

given by tSi and WSi, the larger the energy separation between the subbands. If bothtemperature and drain voltage are low enough, only the subband with lowest energybecomes populated with electrons as gate voltage is increased above threshold. Thus thecurrent right above threshold is constituted of electrons in the first subband with energyE1;1 and, as the gate voltage is increased, subbands with higher energies E1;2, E2;1, E2;2

and so on start contributing to the total current. This results in observable current“oscillations” as the gate voltage is increased [42,43,44]. In order for these oscillationsto occur the thermal energy kBT must be smaller or at least not much larger than theenergy separation between the subbands. In addition, the equivalent thermal energy dueto the acceleration of the electrons from source to drain, qVD, must also be smaller thanthe energy separation between the subbands. As long as the cross-section of thenanowires is on the order of 10 nm × 10 nm, these conditions impose the use ofcryogenic temperatures and small drain voltages of a few millivolts, but current oscilla-tions may become a common effect in future devices with cross-sectional dimensions ofonly a few nanometers. Figure 2.9 shows the density of states in nanowires with a larger

Density of states, DoS

En

erg

y, E

En

erg

y, E

En

erg

y, E

(a) (b)

(c) (d)

EF

EFEF

EF

T = 0 K

T = 0 K

T > 0 K

T > 0 K

En

erg

y, E

Density of states, DoS

Density of states, DoS Density of states, DoS

Figure 2.9 Density of states and occupied states (in grey) in a “wide” nanowire at T = 0 K (a) and T > 0 K(b), and in a “narrow” nanowire T = 0 K (c) and T > 0 K (d).

2.4 Quantum confinement effects 33

Page 50: Nanowire Transistors - CAS

(a, b) or smaller (c, d) cross-section, and at T = 0 K or T > 0 K. The energy separationbetween subbands is larger in the nanowire with the smaller cross-section. In the widernanowire (a, b), the Fermi level is chosen such that part of the second subband is filledwith electrons shown grey in color, at T = 0 K. At T > 0 K, thermal energy spreadselectrons over the first four subbands. In the narrower nanowire (c, d), the Fermi level issuch that a fraction of the first subband is filled with electrons at T = 0 K. The energyseparation between subbands is large enough for the electrons to remain confined to thefirst subband at T > 0 K.

Intersubband scattering occurs between electrons belonging to different energysubbands. These scattering events reduce electron mobility. By definition, there is nointersubband scattering if only one subband is occupied, which occurs slightly abovethreshold. As the gate voltage and the electron concentration are increased, however, alarger number of subbands become populated and scattering occurs between electronsbelonging to different subbands. If the temperature is not too high (such that kBT issmaller than the energy separation between two subbands) and if the drain voltage is notmuch larger than ΔE=q, intersubband scattering phenomena can be directly observed inthe form of oscillations of drain current amplitude when gate voltage is increased. Thiseffect can be seen in Fig. 2.10, in which each “dip” of the curve corresponds to areduction of mobility caused by scattering due to starting populating a new subband[45,46].

Figure 2.11 shows clearly that drain current oscillations disappear as either thetemperature or the drain voltage is increased. Increasing measurement temperature orelectron temperature due to acceleration by a “high” drain voltage spreads the electronsover many subbands, resulting in a smearing of the oscillations and eventually theirdisappearance. It is worth noting that there are no oscillations in the subthreshold part ofthe curves as a single subband is populated and there is, therefore, no intersubbandscattering [47]. The devices in Figs. 2.10 and 2.11 are n-channel MOSFETs, butoscillations have also been observed in p-channel nanowire MOSFETs [48].

400

300

200

100

00.0 0.1

Dra

in c

urr

ent

(nA

)

0.2Gate voltage (V)

28 K

T = 4.4 K

8 K

150 K

0.3

Figure 2.10 Oscillations of drain current in an n-channel silicon nanowire trigate transistor with gatevoltage, measured at different temperatures. Diameter is approximately 40 nm. VDS = 0.2 mV.After [46].

34 Multigate and nanowire transistors

Page 51: Nanowire Transistors - CAS

Figure 2.12 shows the temperatures at which drain current oscillations were reportedin n-channel silicon nanowire transistors for rectangular cross-sections of 4.3 nm ×3.6 nm [49], 10 nm × 10 nm [50], 14 nm × 10 nm [51], 35 nm × 35 nm [52], 40 nm ×50 nm [53] or, in the case of cylindrical nanowires, for a diameter of 6 nm [54] and 65 nm[55]. The same graph shows a curve representing ΔE=kBT ¼ ðE1;2 � E1;1Þ=kBT , that is,the temperature corresponding to the energy separation between the first and the secondsubband, calculated using Eq. (2.27). Oscillations are observed only if the energy islower than or comparable to kBT.

0.0

10–10

I D (A

)

10–9

10–8

10–7

10–6

0.2 0.4VG (V)

0.6 0.8 1.0

10–10

0

I D (A

)

10–9

10–8

10–7

T = 137 KT = 77 KT = 35 KT = 5 K

VDS = 400 mV

VDS = 200 mV

VDS = 100 mV

VDS = 50 mV

(a) (b)

10–6

0.2 0.4VG (V)

0.6 0.8 1.0

VDS = 50 mV

T = 293 KT = 200 K

T = 5 K

Figure 2.11 Drain current oscillations measured on an n-channel silicon GAA nanowire transistor with adiameter of 6 nm (after [47]). The oscillations disappear if either the temperature or the drainvoltage is increased. (a) VDS = 50 mV, different temperatures, (b) T = 5 K, different drain voltages.

100

DE = kBT4.3 nm × 3.6 nm

10 nm × 10 nm14 nm × 10 nm35 nm × 35 nm40 nm × 50 nm

Ø = 6 nm

Ø = 65 nm10

10 60Nanowire diameter or section width/height (nm)

80 1004020

1,000

Tem

per

atu

re (

K)

DE = kBT4.3 nm × 3.6 nm

10 nm × 10 nm14 nm × 10 nm35 nm × 35 nm40 nm × 50 nm

Ø = 6 nm

Ø = 65 nm

Figure 2.12 Temperatures at which drain current oscillations were reported in n-channel silicon nanowireMOSFETs. The dashed curve represents the temperature at which thermal energy is equal to theseparation between the two first (lowest energy) subbands.

2.4 Quantum confinement effects 35

Page 52: Nanowire Transistors - CAS

2.4.2 Increase of band gap energy

Using the effective mass approximation and a single effective mass value in all direc-tions, for simplicity, the energy of an electron in the conduction band is given by

EðkrÞ ¼ Ec þ ðℏkrÞ22m� ; ð2:31Þ

where r = (x,y,z) and ℏkr is the electron momentum, Ec is the energy of the conductionband edge, and m� is the effective mass of an electron. In a nanowire grown along the xdirection, electrons are confined in the x and y directions. Confinement adds an addi-tional energy to the electrons such that the energy of an electron in the first (lowestenergy) subband of a nanowire becomes

EðkrÞ ¼ Ec þ ℏ2

m�π2

a2

� �þ ðℏkxÞ2

2m� ; ð2:32Þ

where a is the width/height of the nanowire, which is here assumed to have a squarecross-section. One can observe that the minimum energy in the conduction bandincreases as the cross-section of the wire is decreased. The energy of electrons in thevalence band increases less because of the higher effective masses typically found. Thesmaller the diameter becomes, the larger the band gap [56,57]. The smaller the effectivemass, the larger the diameter at which confinement effects become apparent. Figure 2.13shows the dependence of the band gap energy on nanowire diameter for differentsemiconductors where bulk tin and bismuth may be thought of as semiconductors withzero or negative band gap energies [58,59,60,61,62,63].

1.4

1.2

1

0.8

0.6

0.4

0.2

01 10 100

Nanowire diameter (nm)

Ban

d g

ap e

ner

gy

(eV

)

Bi InSb

Ge

InAs

InGaAs

Si

Sn[110]

Sn[100]

Figure 2.13 Dependence of the band gap energy on nanowire diameter for different semiconductors andsemimetals (tin [61] and bismuth [62]).

36 Multigate and nanowire transistors

Page 53: Nanowire Transistors - CAS

2.4.3 Quantum capacitance

In a classical, long-channel planar bulk silicon MOS transistor the gate capacitance (i.e.the capacitance between gate and channel) at low VDS is given byCG�ch ¼ Cox ¼ εox=toxtypically measured in units of F cm−2, where εox is the gate insulator permittivity and toxis the thickness of the insulating layer. To include the effects of channel quantization, a“dark space” capacitor can be added in series with the dielectric capacitance, which

accounts for the non-zero depth of the channel:C�1G�ch ¼ C�1

ox þ C�1x whereCx ¼ εSi=xch,

with εSi being the permittivity of silicon and x being the depth of the channel. In bothcases the amount of electrons in the channel increases linearly with gate voltage and theassumption is made that a large or “infinite” supply of electrons is available from thesource and drain regions.

In a nanowire of small diameter or/and with a low density of electronic states (DoS),only a few energy subbands can accommodate the presence of channel electrons. Theresulting charge response and capacitance response to an applied gate voltage requiresthe introduction of the “quantum capacitance” concept where the gate-to-channel

capacitance is given by C�1G�ch ¼ C�1

ox þ C�1Q . CQ represents the variation of charge in

the subbands, or lack thereof, to a variation of gate voltage [64,65]. The quantumcapacitance described here encompasses both variations in channel depth and shape,as well as the finite DoS available in the subbands. Some publications, however, make adistinction between a capacitor representing the channel depth contribution and capaci-tors representing the charge in each individual subband [66].

Figure 2.14 shows the density of states in a narrow nanowire transistor with only twosubbands. For simplicity, assume a temperature of 0 K. Below the threshold, the Fermilevel is located below the first subband and there are no electrons in the channel (case A).As the gate voltage is increased, the Fermi level increases in energy with respect to thesubbands populating the first subband and causing an increase in capacitance (case B).Once the first subband is filled (case C), the charge no longer increases with gate voltageand the gate capacitance decreases until the bottom of the second subband is reached(case D). The second subband eventually starts to fill, resulting in a further increase incapacitance (case E). It is worth noting that no charge is added to the channel when thegate voltage is increased fromC to D such that this range of voltage increase is “wasted.”The quantum capacitance varies from zero in the case of an extremely narrow nanowireto infinity in the case of a classical planar bulk transistor where CG�ch ¼ Cox. The casewhere the quantum capacitance is infinite is called the “classical limit” (CL), whereas thecase CQ < Cox is called the quantum capacitance limit (QCL). The quantum capacitanceeffect appears more readily in nanowires made of low-effective-mass semiconductorssuch as InSb [67] and InAs [68,69].

A positive aspect of quantum capacitance is that it reduces the gate capacitance CG-ch

such that, in strict terms of CV/I performance, operating in the QCL regime rather than inthe CL regime offers advantages in terms of both gate switching speed and power × delayproduct. The negative aspect of quantum capacitance resides in the fact that in a real devicethere are fringing parasitic capacitances that must be added toCG-ch and the gate may haveto drive additional load capacitance. In this case, the reduced current due to the low

2.4 Quantum confinement effects 37

Page 54: Nanowire Transistors - CAS

number of conducting subbands becomes a performance handicap [70]. It is worthnoting that nanowire materials with high electron mobility usually have a low densityof states and few populated subbands. High mobility is largely due to a low effectivemass which according to Eqs. (2.24) and (2.25) gives rise to a large separation betweenenergy subbands and a low density of states in each subband. This effect is sometimesreferred to as the “DoS bottleneck” [24,71,72,73]. A low effective mass also increasesdirect source-to-drain tunneling, increasing the leakage current, and degrades the sub-threshold slope in short-channel devices [74]. It is worth noting that germanium andmost III-V semiconductors have a higher dielectric constant than silicon, which alsoleads to an increase in short-channel effects, according to the equations in Table 2.1 [74].

2.4.4 Valley occupancy and transport effective mass

In bulk silicon, electrons in the conduction band are localized in valleys around theminimum energy EC. The six valleys with minimum energy correspond to wave vectorswith a value of 0.85π/a in the [1 0 0], [−1 0 0], [0 1 0], [0–1 0], [0 0 1], and [0 0–1]directions as in Fig. 2.15(a), where a is the lattice constant of silicon and given bya = 0.543 nm. Holes are found around the maximum of the valence band EV. Holes withtwo different effective masses referred to as light holes and heavy holes are found around

DoS DoS

EF

EF

En

erg

y, E

DoS

EF

DoS

Gate voltage

Gate voltage

Ch

arg

e in

chan

nel

Gat

eca

pac

itan

ce

EF

DoS

EF

B

B

A

A

C

C

D

D

E

E

Figure 2.14 Top: Filling of conduction subbands as gate voltage, and thus Fermi level (EF), is increased.Bottom: Quantum capacitance vs. gate voltage.

38 Multigate and nanowire transistors

Page 55: Nanowire Transistors - CAS

Electrons

D = 3 nm

D = 3 nm

5 nm

D = 3 nm

D = 3 nm

D = 5 nm

D = 10 nm

[100]

[110]

[111]

D = 5 nm

D = 10 nm

Holes

Off-GWave number, k[100]

Wave number, k[100]

Wave number, k[110]

Wave number, k[111]

EC

Ele

ctro

n E

ner

gy

Ele

ctro

n E

ner

gy

EC

Ele

ctro

n E

ner

gy

EC

Ele

ctro

n E

ner

gy

BulkSilicon

G

GEG

EC

EV

(a)[100

][100]

[100]

[111]

[110]

Bulk Silicon

[010

]

(b)

(c)

(d)

Figure 2.15 (a) Electron valleys in the conduction band of bulk silicon (left) and energy-band E�k diagram inbulk silicon (right). (b) Electron valley folding (left) and resulting E�k diagram in <100>-orientednanowires with different diameters. (c) Electron valley folding (left) and resulting E�k diagram in<110>-oriented nanowires with different diameters. (d) Electron valley folding (left) and resultingE�k diagram in <111>-oriented nanowires with different diameters.

2.4 Quantum confinement effects 39

Page 56: Nanowire Transistors - CAS

EV, deriving from two energy dispersion E�k curves that are degenerate at k ¼ 0. Thepoint corresponding to k ¼ 0 is labeled the “Γ-point,” corresponding valleys at thevalence band edge are called “Γ valleys,” and the valleys for electrons at the conductionband edge are called “off-Γ valleys.”

In a silicon nanowire, confinement effects have a profound effect on valley energies,the location of the valleys in k-space, and on the curvature of energy dispersions, andhence effective masses. These effects are illustrated using the example of electrons insilicon cylindrical nanowires [75,76,77]. Figures 2.15(a)–(d) illustrate the “folding” dueto confinement in <100>-, <110>-, and <111>-oriented nanowires, respectively. In a<100> nanowire, the two valleys in the confinement directions fold into a singleminimum located at k = 0 or to the Γ valley. The off-Γ valleys are now centered alongthe <100> direction at a value equal to 0.4 π=a. When the diameter of the nanowire isdecreased, the energy of the off-Γ valleys increase such that the majority of electrons arenow found in the Γ valleys and the <100> nanowires become direct-band semiconductormaterials. A similar effect is observed in <110>-oriented silicon nanowires, but the off-Γvalleys are now centered along the <110> direction at a value equal to 0.75π=a. In<111>-oriented nanowires, on the other hand, all electron valleys fold into off-Γ valleysand are centered along <111> at a value equal to 0.4π=a.

In the case of holes in silicon nanowires, the maximum of the valence band is alwayscentered at the Γ-point. In <110> and <110> nanowires the curvature of the E�k curvesincreases significantly when the diameter is decreased, thereby significantly decreasingthe hole effective mass and increasing mobility in the transport direction. This effect isnot observed in <100>-oriented nanowires. The relative performance of silicon nano-wire transistors in terms of current drive in nanowire transistors with different orienta-tions is presented in Table 2.2. The current drives are compared taking into considerationcarrier mass, injection velocity, and density of states in the different valleys [76].

2.4.5 Semimetal–semiconductor nanowire transitions

Semimetals may be considered as semiconductors with a zero or negative band gap.Using the effective mass approximation and a single effective mass value in all direc-tions, for simplicity, the energy of an electron in a conduction band, as for a semicon-ductor, is taken to be given by

Table 2.2 Relative performance of silicon nanowire transistors in terms of currentdrive in nanowire transistors with different orientations and diameters.

nMOS performance <100> <110> <111>

Small diameter (3 nm) High High Low

Large diameter (10 nm) High High Fair

PMOS performance <100> <110> <111>

Small diameter (3 nm) Low High HighLarge diameter (10 nm) Low Fair High

40 Multigate and nanowire transistors

Page 57: Nanowire Transistors - CAS

EðkrÞ ¼ Ec þ ðℏkrÞ22m� ; ð2:33Þ

where r = (x,y,z). In a nanowire grown along the x direction, electrons are confined in thex and y direction. Confinement adds energy to the electrons, such that the energy of anelectron in the first (lowest energy) subband of a nanowire is written as

EðkrÞ ¼ Ec þ ℏ2

m�π2

a2

� �þ ðℏkxÞ2

2m� ; ð2:34Þ

where a is the width/height of the nanowire (here assumed to have a square cross-section). The minimum energy in the conduction band increases as the cross-section ofthe wire is decreased. The smaller the diameter becomes, the larger the band gap [78,79].Hence a negative band gap associated with a semimetal can become positive when thesemimetal is formed into nanowire as in Fig. 2.16 resulting in a semimetal-to-semicon-ductor transition.

Bismuth is an example of a semimetal, and a semimetal-to-semiconductor transitionhas been observed when the diameter of bismuth nanowires is decreased below approxi-mately 53–63 nm [63,80]. Going one step further, one can fabricate Schottky diodesusing bismuth nanowires with varying diameter. The section of the nanowire with thelarger section is a semimetal and the section with the smaller diameter is a semiconduc-tor. No doping is required to form these junctions [81] and they are formed with a singlematerial. Using a semimetal nanowire with a large-narrow-large diameter variation, itmay be possible to fabricate aMOSFET that does not require external doping. The widersections are semimetallic, enabling the provision to the central narrow section of a largesupply of electrons. Placing a gate around the nanowire allows for the control of theelectron density and current flow from source to drain. Bismuth has the highest electronmobility of any known bulk material since the conduction band electron mass isapproximately 0.001me, where me is the mass of an electron in a vacuum, or a “free”electron [82]. The electron mobility was measured in bismuth nanowires with a diameterof 120 nm; even though these wires are semimetallic as opposed to semiconducting,it is still possible to modulate the electron concentration using a gate electrode. Based ona conductance measurement technique and using the formula μ ≈ dgm=dx ¼ðdI=dVGÞ=VSD an electron mobility of 76,900 cm2 V −1 s−1 was measured [83].

EG < 0 EG > 0EFEF

(a) (b)

Momentum, k Momentum, k

En

erg

y, E

En

erg

y, E

Figure 2.16 Energy band diagram for a bulk semimetal (a) and for the same material in nanowire form (b).

2.4 Quantum confinement effects 41

Page 58: Nanowire Transistors - CAS

Tin is another semimetal with interesting properties when formed in a nanowire.Column IVof the periodic table contains the elements carbon, silicon, germanium, tin,and lead. Crystalline C, Si, and Ge have a diamond structure with strong covalent bondsand are insulators or semiconductors. The stable phase of Pb is metallic and has a facecentered cubic (fcc) crystal structure. Crystalline Sn bonds are borderline betweencovalent and metallic. Below a temperature of 13.2°C, Sn crystals have a diamondcubic structure with a zero band gap (grey tin or α-tin). When heated, tin undergoes aphase transition at 13.2°C and becomes metallic white tin. First-principle electronicstructure methods (density functional theory, DFT) were applied to determine theelectronic structure and electrical properties of tin nanowires with the diamond crystalstructure. The effects of both nanowire orientation and diameter on the electronicstructure were determined. It is shown that tin, consistent with other semimetals, exhibitsa transition from semimetal to semiconductor as the diameter of the nanowire isdecreased. The band gap energy varies with the diameter and can become larger than2 eVat diameters below 2 nm. Based on the different electronic properties of Sn in boththe bulk and the nanowire forms, a new candidate for future “end-of-the-roadmap”transistors has been introduced that relies only on the use of band gap engineering viananofabrication and relies solely on the properties of a single material, tin, whenpatterned on the nanoscale. The resulting proposed transistor design, the “confinementmodulated gap transistor” (CMGT), follows by forming the source, channel, and drainregions using atoms of a single element (tin, or other semimetals), unlike in conventionalMOSFETs which require dopant atoms to define different device regions. The resistivityof tin is only double that of tungsten, an appropriate metal to form low-resistance sourceand drain regions. The electronic and electrical properties of the channel are engineeredby varying the nanowire cross-section to achieve modulation of the energy bands.This creates metallic source and drain regions and a semiconducting channel. Such atransistor has been simulated using ab-initio DFT (density functional theory) techni-ques. The device is schematically shown in Fig. 2.17. It consists of a gate-all-aroundarchitecture, a gate length of 2.3 nm, and a channel diameter of 1 nm. The resulting

GateNarrow nanowiresemiconductor channel

Wide nanowiremetal source

Wide nanowiremetal drain

Figure 2.17 Confinement modulated gap transistor made out of tin. No doping is used. The wider source anddrain are electron-rich metal and the central channel narrow nanowire is a semiconductor.

42 Multigate and nanowire transistors

Page 59: Nanowire Transistors - CAS

subthreshold slope is 72 mV/dec, and the ON current is 3000 μA/μm for VG − VTH =0.35 V and VDS = 250 mV [84].

2.4.6 Topological insulator nanowire transistor

A topological insulator is a material with time reversal symmetry that behaves as aninsulator in the bulk and as a metallic conductor at its surface, due to the presence ofsurface conducting states [85]. In the bulk of a non-interacting topological insulator, theelectronic band structure resembles an ordinary band insulator, with the Fermi levelbetween the conduction and valence bands. On the surface of a topological insulator,however, there are special states that fall within the bulk energy gap and allow surfacemetallic conduction. Transistors made from VLS-grown Bi2Se3 nanowires were firstreported in 2013 [86]. These nanowires present a well-defined single-crystal rhombohe-

dral phase and the growth direction is close to ½1120�; the wires have a hexagonal cross-section with a diameter of approximately 50 nm. An Ω-gate transistor was fabricated byplacing one such Bi2Se3 nanowire on an oxidized silicon wafer and by depositing HfO2

as a gate oxide and palladium as metal gate. The resulting transistor shows excellentdrain current vs. gate voltage transfer characteristics with an OFF current close to zero,strong-inversion-like ON-state current and current ON/OFF ratio larger than 108 for agate voltage swing of 1.0 V (at T = 77 K), the backside silicon wafer being groundedduring the measurements. The Bi2Se3 nanowire MOSFET exhibits unipolar currentcharacteristics dominated by electron conduction, and a well-saturated output currentindicates surface metallic conduction. The device behavior is similar to that of aconventional long-channel Schottky-barrier MOSFET with either electron or hole con-duction determined by the unipolar Schottky junctions at the source and drain. In thepresent case, the Schottky junctions are formed by the contact between the metallicsource/drain and the gated channel. The measured electron effective mobility decreaseswith increasing gate voltage and ranges from 200 cm2 V −1 s−1 to 1300 cm2 V −1 s−1 at77 K. In contrast to conventional semiconductor nanowires, the saturated current in theON state is linear in gate voltage, indicating metallic conduction, and is most likelyflowing at the surface of the nanowire. In the OFF state, the gate voltage is large enoughto deplete the electrons from the nanowire. The small, temperature-dependent OFF-statecurrent is due to thermal excitations across the energy band gap of the bulk of the Bi2Se3nanowire. It also indicates that the electric field generated by the gate voltage below thethreshold is likely to be strong enough to modify the spectrum of the nanowire anddestroy the surface conduction channels.

2.4.7 Nanowire-SET transition

A single-electron-transistor (SET) is a nanoscale MOSFET where the channel is sepa-rated from the drain by thin tunnel barriers. The dimensions of the channel region mustbe small in order to have a small intrinsic capacitance, such that the injection of anelectron in the channel can raise the potential of the channel region by a significant(measurable) voltage. This voltage needs to be larger than the thermal energy,

2.4 Quantum confinement effects 43

Page 60: Nanowire Transistors - CAS

ΔV > kBT=q, to observe single-electron transport [87]. Since confinement increases theenergy of an electron as shown in Eq. (2.24), the formation of “constrictions” in ananowire locally forms small potential barriers. Looking at Fig. 2.18, electrons in thetwo constrictions at the channel ends will have higher energies than the electrons in thechannel or in the source and drain. In other words, the constrictions give rise to potentialbarriers which electrons can tunnel through. The channel is no longer connected tosource and drain; rather, channel electrons congregate in the center of the channel“island,” as represented in Fig. 2.18. At high enough temperatures the electrons caneasily flow from source to channel and from channel to drain because thermionicemission allows them to overcome the potential barriers. In that case the device operatesas a regular nanowire MOSFET. At lower temperatures, however, electrons can onlytunnel through the barriers, giving rise to single-electron-transistor behavior. This effecthas been predicted by non-equilibriumGreen function (NEGF) simulations in 2011 [88].The formation of a potential barrier at the channel ends can be achieved by injectingcharges in gate spacers or by diameter variations caused by surface roughness. Deviceswith such potential barriers have been fabricated and tested. They clearly show single-electron behavior with Coulomb oscillations at cryogenic temperatures. SET behaviordecreases as the temperature is increased but can still be observed at room temperature insome samples [89].

2.5 Other multigate field-effect devices

The excellent electrostatic control offered by the Ω-gate and GAA architectures allowsone to either simplify MOSFET design (e.g. eliminating pn junctions in junctionlesstransistors) or improve important device characteristics, such as the subthreshold slopeof tunnel FETs.

2.5.1 Junctionless transistor

The junctionless transistor is a thin and narrow, heavily doped (typically in the 1019 cm−3

range) semiconductor resistor with a gate electrode that controls the flow of current

Source

Electrons

Channel

Constrictions

Drain

Figure 2.18 Nanowire MOSFETwith constrictions between the source and the channel and between thechannel and the drain; the gate electrode around the channel is not shown for clarity.

44 Multigate and nanowire transistors

Page 61: Nanowire Transistors - CAS

between source and drain. Turning the device off is achieved by fully depleting thechannel of majority carriers [14,15,16]. Device design is extremely simple as there areno pn junctions. Device operation relies on fully depleting the semiconductor using thework function of the gate material to turn the device OFF.When the device is turned ON,current flows through the bulk of the thin film and can be augmented by an accumulationcurrent contribution. Junctionless transistors are characterized by reduced short-channeleffects and present excellent subthreshold slope and low DIBL. A review of junctionlesstransistors can be found in [90].Ω-gate silicon nanowire transistors with a gate length of13 nm, width of 15 nm, and height of 9 nm exhibit excellent short-channel character-istics, extremely low leakage current and a ION/IOFF ratio larger than 106 at VDD= 1 V[91].

Recently n-channel junctionless transistors with a gate length of 3 nm have beenreported. These devices bear a remarkable resemblance to the original device patentedby Lilienfeld in 1925 [92] and exhibit an ION/IOFF ratio larger than 10

6 for a drain voltageof 1 Vand a subthreshold slope of 95 mV/decade [93]. Because a single-gate SOI deviceprocess is used, it is necessary to use a silicon film thickness of 1 nm to effectively beable to turn the device OFF.

2.5.2 Tunnel field-effect transistor

Conventional MOSFETs cannot switch with subthreshold slopes below59:6 mV=dec at T = 300 K; see Eq. (1.3). This is due to the shape of the Fermi–Diracdistribution of electrons in the valence and conduction bands and to the thermallyactivated mechanism by which carriers overcome the potential barrier in the channelbelow threshold. Unlike gate oxide thickness and gate length, the subthreshold slope isnot scalable unless the operating temperature is decreased – which is not practical formost applications. This sets a lower limit to the supply voltage VDD at which a circuit canoperate with acceptable speed performance and, therefore, a limit to power consumptionreduction. Designing devices with a “sub-thermal” subthreshold slope has become a“Holy Grail” for semiconductor device engineers [94].

A sub-thermal subthreshold slope can in principle be attained by two techniques, bothbased on quantum mechanical tunneling effect and which are not temperature depen-dent. The first approach relies on filtering out the high-energy electrons in the conductionband (assuming an n-channel device) using resonant tunneling techniques as it theseelectrons that are responsible for the subthreshold current. Based on simulations, filter-ing can be obtained using a superlattice as an “axial multiple heterojunction” nanowirein the source extension of a MOSFET [95], or by making tunneling barriers at thesource–channel and drain–channel “junctions.” This can, for example, be achieved bymaking constrictions in the nanowire using a geometry similar to that in Fig. 2.18 [96].Energy filtering devices have the potential to display low subthreshold slope andMOSFET-like current drive, but depend on extremely precise device geometry control,which makes them rather impractical in manufacture. Another way of achieving sub-thermal subthreshold slopes is based on band-to-band tunneling (BTBT). Operation ofan n-channel BTBT field-effect transistor or “tunneling FET” (TFET) is based on

2.5 Other multigate field-effect devices 45

Page 62: Nanowire Transistors - CAS

extracting electrons from the valence band of a p+-doped source and injecting them intoan electron channel connected to an n+ drain. This can be achieved by using the fieldeffect from a gate electrode to induce a very sharp band curvature at the source junction[97]. This enables BTBTand injects valence electrons from the source valence band intothe channel region [94]. Improving electrostatic control of the region where BTBToccurs is a key factor for improving TFET performance. The gate-all-around nanowiretransistor architecture is themost promising for good electrostatic control of TFETs [98].Room-temperature subthreshold slopes of 30 mV/decade have been demonstrated inboth n-channel and p-channel vertical GAA silicon nanowire transistors. Subthresholdslope has been shown to improve with the reduction of the nanowire diameter, whichimproves electrostatic control by the gate as in Fig. 2.19 [99,100]. The excellentelectrostatic control provided by theΩ-gate and GAA nanowire architecture also allowsone to reach higher ON current levels than using other TFET geometries reported to be770μA/μm as reported in [101]. The main drawback of carrier generation by the BTBTmechanism is that it is very difficult to generate high current levels. As a result, thecurrent drive of TFETs is typically much lower than that of MOSFETs. Improving thecurrent drive of TFETs is a very active research area and improvements can be obtainedby forming heterojunctions in the nanowire [102], or by using bipolar amplification ofthe tunnel current [103].

2.6 Summary

A survey of multigate transistors is provided progressing from a single gate, to doubleand quadruple gates. Practical implementations of MOSFETs are often intermediate tothese idealized structures and Π-gate and Ω-gate devices have been described. Theimportant concept of a natural length is introduced and leads to the conclusion that themost effective electrostatic control of a MOSFET channel is achieved from a gate-all-around configuration. As devices scale to the length scales of a few nanometers,

180

SS

(m

V/d

ecad

e)150

120

90

60

30

20 35 50Nanowire diameter (nm)

Figure 2.19 Variation of subthreshold slope in silicon TFETs with nanowire diameter. After [100].

46 Multigate and nanowire transistors

Page 63: Nanowire Transistors - CAS

quantum mechanical effects become apparent and can be observed in the current–voltage characteristics. As opposed to being something to be avoided, quantum effectscan be used to engineer promising new devices such as single-electron devices, tunnelfield-effect transistors, and the confinement modulated gap transistor. Small physicaldevice dimensions also offer simplified device geometries such as the junctionlesstransistor.

Further reading

S.M. Sze, Modern Semiconductor Device Physics, Wiley-Interscience (1997)M. Lundstrom, J. Guo, Nanoscale Transistors: Device Physics, Modeling and

Simulation, Springer (2006)J.-P. Colinge (ed.), FinFETs and other Multigate Transistors, Springer (2008)

References

[1] N. Planes et al., “28nm FDSOI technology platform for high-speed low-voltagedigital applications,” Symposium on VLSI Technology Digest of TechnicalPapers, pp. 133–134 (2012)

[2] C. Auth et al., “A 22nm high performance and low-power CMOS technologyfeaturing fully-depleted tri-gate transistors, self-aligned contacts and high densityMIM capacitors,” Symposium on VLSI Technology Digest of Technical Papers,pp. 131–132 (2012)

[3] J.P. Colinge, “Multiple-gate SOI MOSFETs,” Solid-State Electronics, vol. 48,no. 6, pp. 897–905 (2004)

[4] T. Skotnicki et al., “Innovative materials, devices, and CMOS technologies forlow-power mobile multimedia,” IEEE Transactions on Electron Devices, vol. 55,no. 1, pp. 96–130 (2008)

[5] T. Sekigawa, Y. Hayashi, “Calculated threshold-voltage characteristics of anXMOS transistor having an additional bottom gate,” Solid-State Electronics,vol. 27, no. 8–9, pp. 827–828 (1984)

[6] D. Hisamoto et al., “A fully depleted lean-channel transistor (DELTA) – a novelvertical ultra thin SOI MOSFET,” Technical Digest of the International ElectronDevice Meeting (IEDM), pp. 833–836 (1989)

[7] X. Huang et al., “Sub 50-nm FinFET: PMOS,” Technical Digest of theInternational Electron Device Meeting (IEDM), pp. 67–70 (1999)

[8] S. Choi et al., “Fabrication of body-tied FinFETs (Omega MOSFETs) usingbulk Si wafers,” Symposium on VLSI Technology Digest of Technical Papers,pp. 135–136 (2003)

[9] X. Baie et al., “Quantum-wire effects in thin and narrow SOI MOSFETs,”Proceedings IEEE International SOI Conference, pp. 66–67 (1995)

[10] B.S. Doyle et al., “High performance fully-depleted tri-gate CMOS transistors,”IEEE Electron Device Letters, vol. 24, no. 4, pp. 263–265 (2003)

[11] J.T. Park, J.P. Colinge, C.H Diaz, “Pi-gate SOIMOSFET,” IEEE Electron DeviceLetters, vol. 22, no. 8, pp. 405–406 (2001)

References 47

Page 64: Nanowire Transistors - CAS

[12] F.-L. Yang et al., “25 nm CMOS omega FETs,” Technical Digest of theInternational Electron Device Meeting (IEDM), pp. 255–258 (2002)

[13] J.P. Colinge et al., “Silicon-on-insulator gate-all-around device,” TechnicalDigest of the International Electron DeviceMeeting (IEDM), pp. 595–598 (1990)

[14] J.P. Colinge et al., “Nanowire transistors without junctions,” NatureNanotechnology, vol. 5, pp. 225–229 (2010)

[15] L. Ansari et al., “Simulation of junctionless Si nanowire transistors with 3 nmgate length,” Applied Physics Letters, vol. 97, p. 062105 (2010)

[16] L. Ansari et al., “First principle-based analysis of single-walled carbon nanotubeand silicon nanowire junctionless transistors,” IEEE Transactions onNanotechnology, vol. 12, no. 6, pp. 1075–1081 (2013)

[17] F. Hofmann et al., “NVM based on FinFET device structures,” Solid-StateElectronics, vol. 49, no. 11, pp. 1799–1804 (2005)

[18] X. Tang et al., “Self-aligned SOI nano flash memory device,” Solid StateElectronics, vol. 44, pp. 2259–2264 (2000)

[19] S.D. Suk et al., “Characteristics of sub 5nm tri-gate nanowire MOSFETs withsingle and poly Si channels in SOI structure,” Symposium on VLSI TechnologyDigest of Technical Papers, pp. 142–143 (2009)

[20] J.T. Park, C.A. Colinge, J.P. Colinge, “Comparison of gate structures for short-channel SOI MOSFETs,” Proceedings of the IEEE International SOIConference, pp. 115–116 (2001)

[21] J.T. Park, J.P. Colinge, “Multiple-gate SOIMOSFETs: device design guidelines,”IEEE Transactions on Electron Devices, vol. 49, no. 12, pp. 2222–2229 (2002)

[22] J.P. Colinge, “Multiple-gate SOI MOSFETs,” Solid-State Electronics, vol. 48,no. 6, pp. 897–905 (2004)

[23] I. Ferain, C.A. Colinge, J.P. Colinge, “Multigate transistors as the future ofclassical metal-oxide-semiconductor field-effect transistors,” Nature, vol. 479,pp. 310–316 (2011)

[24] K.J. Kuhn, “Considerations for Ultimate CMOS Scaling,” IEEE Transactions onElectron Devices, vol. 59, no. 7, pp. 1813–1828 (2012)

[25] J. Goldberger et al., “Silicon vertically integrated nanowire field effect transis-tors,” Nano Letters, vol. 6, no. 5, pp. 973–977 (2006)

[26] R.H. Yan, A. Ourmazd, K.F. Lee, “Scaling the Si MOSFET: from bulk to SOI tobulk,” IEEE Transactions on Electron Devices, vol. 39, no. 7, pp. 1704–1710(1992)

[27] K. Suzuki et al., “Scaling theory for double-gate SOI MOSFETs,” IEEETransactions on Electron Devices, vol. 40, no. 12, pp. 2326–2329 (1993)

[28] J.P. Colinge, “Multiple-gate SOI MOSFETs,” Solid-State Electronics, vol. 48,no. 6, pp. 897–905 (2004)

[29] C.-W. Lee et al., “Device design guidelines for nano-scale MuGFETs,” Solid-State Electronics, vol. 51, pp. 505–510 (2007)

[30] C.P. Auth, J.D. Plummer, “Scaling theory for cylindrical, fully depleted,surrounding-gate MOSFET’s,” IEEE Electron Device Letters, vol. 18, no. 2,pp. 74–76 (1997)

[31] T.-K. Chiang, “A novel scaling theory for fully depleted, multiple-gate MOSFET,including effective number of gates (ENGs),” IEEE Transactions on ElectronDevices, vol. 61, no. 2, pp. 631–632 (2014)

48 Multigate and nanowire transistors

Page 65: Nanowire Transistors - CAS

[32] Bo Yu, L. Wang et al., “Scaling of nanowire transistors,” IEEE Transactions onElectron Devices, vol. 55, no. 11, pp. 2836–2858 (2008)

[33] R. Shankar et al., “A degradation model of double gate and gate-all-aroundMOSFETs with interface trapped charges including effects of channel mobilecharge carriers,” IEEE Transactions on Device and Materials Reliability, vol. 14,no. 2, pp. 689–697 (2014)

[34] C. Liu et al., “Negative-bias temperature instability in gate-all-aroundsilicon nanowire MOSFETs: characteristic modeling and the impact oncircuit aging,” IEEE Transactions on Electron Devices, vol. 57, no. 12,pp. 3442–3450 (2010)

[35] K.J. Kuhn, “Considerations for ultimate CMOS scaling,” IEEE Transactions onElectron Devices, vol. 59, no. 7, pp. 1813–1828 (2012)

[36] K. Nayak et al., “Metal-gate granularity-induced threshold voltage variabilityand mismatch in Si gate-all-around nanowire n-MOSFETs,” IEEE Transactionson Electron Devices, vol. 61, no. 12 (2014) DOI: 10.1109/TED.2014.2351401

[37] C.A. Colinge, J.P. Colinge, Physics of Semiconductor Devices, Kluwer AcademicPublishers (now: Springer), pp. 336–348 (2002)

[38] E. Moreno, J.B. Roldán, F.G. Ruiz, D. Barrera, A. Godoy, F. Gámiz, “Ananalytical model for square GAA MOSFETs including quantum effects,”Solid-State Electronics, vol. 54, pp. 1463–1469 (2010)

[39] J.P. Colinge, “Quantum-wire effects in trigate SOI MOSFETs,” Solid-StateElectronics, vol. 51, pp. 1153–1160 (2007)

[40] F. Balestra et al., “Double-gate silicon-on-insulator transistor with volume inver-sion: a new device with greatly enhanced performance,” IEEE Electron DeviceLetters, vol. 8, pp. 410–412 (1987)

[41] J.P. Colinge, “Quantum-wire effects in trigate SOI MOSFETs,” Solid-StateElectronics, vol. 51–9, pp. 1153–1160 (2007)

[42] D. Jimenéz et al., “Unified compact model for the ballistic quantum wire andquantum well metal-oxide-semiconductor field-effect-transistor,” Journal ofApplied Physics, vol. 94, pp. 1061–1068 (2003)

[43] S.C. Rustagi et al., “Low-temperature transport characteristics and quantum-confinement effects in gate-all-around Si-nanowire N-MOSFET,” IEEEElectron Device Letters, vol. 28, no. 10, pp. 909–912 (2007)

[44] J.P. Colinge et al., “A silicon-on-insulator quantum wire,” Solid-StateElectronics, vol. 39, pp. 49–51 (1996)

[45] J.P. Colinge, “The new generation of SOI MOSFETs,” Romanian Journal ofInformation Science and Technology, vol. 11, no. 1, pp. 3–15 (2008)

[46] J.P. Colinge et al., “Low-temperature electron mobility in trigate SOIMOSFETs,” IEEE Electron Device Letters, vol. 27, no. 2, pp. 120–122, 2006

[47] N. Singh et al., “Ultra-narrow silicon nanowire gate-all-around CMOS Devices:Impact of diameter, channel-orientation and low temperature on device perfor-mance,” Extended Abatracts of the IEEE International Electron Device Meeting(IEDM), pp. 547–550 (2006)

[48] H. Yoshioka et al., “Mobility oscillation by one-dimensional quantum confine-ment in Si-nanowire metal-oxide-semiconductor field effect transistors,” Journalof Applied Physics, vol. 106, p. 034312 (2009)

References 49

Page 66: Nanowire Transistors - CAS

[49] K.S. Yi et al., “Room-temperature quantum confinement effects in transportproperties of ultrathin Si nanowire field-effect transistors,” Nano Letters,vol. 11, no. 12, pp. 5465–5470 (2011)

[50] J.-T. Park, J.Y. Kim, C.-W. Lee, J.P. Colinge, “Low-temperature conductanceoscillations in junctionless nanowire transistors,” Applied Physics Letters,vol. 97, p. 172101 (2010)

[51] R. Coquand et al., “Low-temperature transport characteristics in SOI and sSOInanowires down to 8nm width: evidence of IDS and mobility oscillations,”Proceedings of European Solid-State Device Research Conference(ESSDERC), pp. 198–201 (2013)

[52] X. Li et al., “Low-temperature electron mobility in heavily n-doped junctionlessnanowire transistor,” Applied Physics Letters, vol. 102, p. 223507 (2013)

[53] J.P. Colinge et al., “Low-temperature electron mobility in trigate SOIMOSFETs,” IEEE Electron Device Letters, vol. 27, no. 2, pp. 120–122, 2006

[54] N. Singh et al., “Ultra-narrow silicon nanowire gate-all-around CMOS devices:impact of diameter, channel-orientation and low temperature on device perfor-mance,” Extended Abstracts of the IEEE Electron Devices Meeting (IEDM),pp. 547–550 (2006)

[55] M. Je, S. Han, I. Kim, H. Shin, “A silicon quantum wire transistor with one-dimensional subband effects,” Solid-State Electronics, vol. 44, pp. 2207–2212(2000)

[56] M. Nolan et al., “Silicon nanowire band gap modification,” Nano Letters, vol. 7,no. 1, pp. 34–38 (2007)

[57] M. Bescond et al., “Tight-binding calculations of Ge-nanowire bandstructures,”Journal of Computational Electronics, vol. 6, pp. 341–344 (2007)

[58] D. Jena, “Tunneling transistors based on graphene and 2-D crystals,”Proceedings of the IEEE, vol. 101, no. 7, pp. 1585–1602 (2013)

[59] M. Bescond et al., “Tight-binding calculations of Ge-nanowire bandstructures,”Journal of Computational Electronics, vol. 6, pp. 341–344 (2007)

[60] M.A. Khayer, R.K. Lake, “Performance of n-type InSb and InAs nanowire fieldeffect transistors,” IEEE Transactions on Electron Devices, vol. 55, no. 11,pp. 2939–2345 (2008)

[61] L. Ansari et al., “A proposed confinement modulated gap nanowire transistorbased on a metal (tin),” Nano Letters, vol. 15, no. 5, pp. 2222–2227 (2012)

[62] M.S. Dresselhaus, O. Rabin, “Carbon nanotubes and bismuth nanowires,” inNanoengineering of Structural, Functional and Smart Materials, M.J. Schulz,A.D. Kelkar and M.J. Sundaresan (eds.), CRC Press (2005)

[63] S. Lee et al., “Direct observation of the semimetal-to-semiconductor transition ofindividual single-crystal bismuth nanowires grown by on-film formation ofnanowires,” Nanotechnology, vol. 21, pp. 405701/1–6 (2010)

[64] S. Luryi, “Quantum capacitance devices,” Applied Physics Letters, vol. 52,no. 16, pp. 501–503 (1987)

[65] A. Afzalian et al., “Quantum confinement effects in capacitance behavior ofmultigate silicon nanowire MOSFETs,” IEEE Transactions on Nanotechnology,vol. 10, no. 2, pp. 300–309 (2011)

[66] E.G. Marin et al., “Analytical gate capacitance modeling of III–V nanowire transis-tors,” IEEE Transactions on Electron Devices, vol. 60, no. 5, pp. 1590–1599 (2013)

50 Multigate and nanowire transistors

Page 67: Nanowire Transistors - CAS

[67] A. Khayer, R.K. Lake, “The quantum capacitance limit of high-speed, low-powerInSb nanowire field-effect transistors,” Technical Digest of the InternationalElectron Device Meeting (IEDM), pp. 193–196 (2008)

[68] N. Takiguchi et al., “Comparisons of performance potentials of Si and InAsnanowire MOSFETs under ballistic transport,” IEEE Transactions on ElectronDevices, vol. 59, no. 1, pp. 206–211 (2012)

[69] E. Lind et al., “Band structure effects on the scaling properties of [111] InAsnanowire MOSFETs,” IEEE Transactions on Electron Devices, vol. 56, no. 2,pp. 201–205 (2009)

[70] J. Knoch, W. Riess, J. Appenzeller, “Outperforming the conventional scalingrules in the quantum capacitance limit,” IEEE Electron Device Letters, vol. 29,no. 4, pp. 372–374 (2008)

[71] T. Skotnicki, F. Boeuf, “How can high-mobility channel materials boost or degradeperformance in advanced CMOS,” Proceedings VLSI Symposium, pp. 153–154(2010)

[72] M.V. Fischetti et al., “Theoretical study of some physical aspects of electronictransport in nMOSFETs at the 10-nm gate-length,” IEEE Transactions onElectron Devices, vol. 54, no. 9, pp. 2116–2163 (2007)

[73] R. Kim, U.E. Avci, I.A. Young, “Comprehensive performance benchmarking ofIII-V and Si nMOSFETs (gate length = 13 nm) considering supply voltage andOFF-current,” IEEE Transactions on Electron Devices (2015), DOI: 10.1109/TED.2015.2388708

[74] P. Razavi et al., “Influence of channel material properties on performance ofnanowire transistors,” Journal of Applied Physics, vol. 111, no. 12, pp. 124509-1–124509-8 (2012)

[75] N. Neophytou et al., “Dependence of injection velocity and capacitance of Sinanowires on diameter, orientation, and gate bias: an atomistic tight-bindingstudy,” International Conference on Simulation of Semiconductor Processesand Devices (SISPAD), pp. 71–74 (2009)

[76] N. Neophytou et al., “On the bandstructure velocity and ballistic current of ultra-narrow silicon nanowire transistors as a function of cross section size, orientation,and bias,” Journal of Applied Physics, vol. 107, pp. 113701.1–9 (2010)

[77] N. Neophytou, H. Kosina, “Confinement-induced carrier mobility increase innanowires by quantization of warped bands,” Solid-State Electronics, vol. 70,pp. 81–91 (2012)

[78] M. Nolan et al., “Silicon nanowire band gap modification,” Nano Letters, vol. 7,no. 1, pp. 34–38 (2007)

[79] M. Bescond et al., “Tight-binding calculations of Ge-nanowire bandstructures,”Journal of Computational Electronics, vol. 6, pp. 341–344 (2007)

[80] A. Boukai, Ke Xu, J.R. Heath, “Size-dependent transport and thermoelectricproperties of individual polycrystalline bismuth nanowires,” AdvancedMaterials, vol. 18, pp. 864–869 (2006)

[81] Y.T. Tian et al., “Step-shaped bismuth nanowires with metal–semiconductorjunction characteristics,” Nanotechnology, vol. 17, pp. 1041–1045 (2006)

[82] S.B. Cronin, “Electronic properties of Bi nanowires,” Ph.D. thesis, MassachusettsInstitute of Technology Department of Physics (June 2002), available at:http://dspace.mit.edu/bitstream/handle/1721.1/16820/50762540.pdf?sequence=1

References 51

Page 68: Nanowire Transistors - CAS

[83] W. Shim et al., “On-film formation of Bi nanowires with extraordinary electronmobility,” Nano Letters, vol. 9, no. 1, pp. 18–22 (2009)

[84] L. Ansari et al., “A proposed confinement modulated gap nanowire transistorbased on a metal (tin),” Nano Letters, vol. 12, no. 5, pp. 2222–2227 (2012)

[85] C.L. Kane, E.J. Mele, “Z2 topological order and the quantum spin Hall effect,”Physical Review Letters, vol. 95, no. 14, pp. 146802:1–4 (2005)

[86] H. Zhu et al., “Topological insulator Bi2Se3 nanowire high performance field-effect transistors,” Nature Scientific Reports, vol. 3, pp. 1757:1–5, DOI: 10.1038/srep01757 (2013)

[87] C.A. Colinge, J.P. Colinge, Physics of Semiconductor Devices, Kluwer AcademicPublishers (now: Springer), pp. 358–360 (2002)

[88] N. Dehdashti Akhavan et al., “Nanowire to single-electron transistor transition intrigate SOI MOSFETs,” IEEE Transactions on Electron Devices, vol. 58, no. 1,pp. 26–32 (2011)

[89] V. Deshpande et al., “Scaling of trigate nanowire (NW) MOSFETs to sub-7 nmwidth: 300 K transition to single electron transistor,” Solid-State Electronics,vol. 84, pp. 179–184 (2013)

[90] O. Kononchuk, B.-Y. Nguyen (eds.), Silicon-On-Insulator (SOI) Technology:Manufacture and Applications, Elsevier (2014)

[91] S. Barraud, M. Berthomé, R. Coquand, et al., “Scaling of trigate junctionlessnanowire MOSFET with gate length down to 13 nm,” IEEE Electron DeviceLetters, vol. 33, no. 9, pp. 1225–1227 (2012)

[92] J.E. Lilienfeld, “Method and apparatus for controlling electric current,” USpatent 1745175 first filed in Canada on 22 October 1925

[93] S. Migita, Y. Morita, M. Masahara, H. Ota, “Electrical performances of junction-less-FETs at the scaling limit (LCH = 3 nm),” Technical Digest of the IEEEInternational Electron Device Meeting (IEDM), pp. 191–194 (2012)

[94] A. Ionescu, H. Riel, “Tunnel field-effect transistors as energy-efficient electronicswitches,” Nature, vol. 479, pp. 329–337 (2011)

[95] E. Gnani et al., “Steep-slope nanowire FET with a superlattice in the sourceextension,” Proceedings of the European Solid-State Device ResearchConference (ESSDERC), pp. 380–383 (2010)

[96] A. Afzalian, J.P. Colinge, D. Flandre, “Physics of gate modulated resonanttunneling (RT)-FETs: multi-barrier MOSFET for steep slope and high on-cur-rent,” Solid-State Electronics, vol. 59, No 1, pp. 50–61 (2011)

[97] H. Lu, A. Seabaugh, “Tunnel field-effect transistors: state-of-the-art,” IEEEJournal of the Electron Devices Society, vol. 2, no. 4, pp. 44–49 (2014)

[98] A. Vandooren et al., “Impact of process and geometrical parameters on theelectrical characteristics of vertical nanowire silicon n-TFETs,” Solid-StateElectronics, vol. 72, pp. 82–87 (2012)

[99] R. Gandhi et al., “Vertical Si-nanowire n-type tunneling FETs with low subthres-hold swing (≤ 50 mV/decade) at room temperature,” IEEE Electron DeviceLetters, vol. 32, no. 4, pp. 437–439 (2011)

[100] R. Gandhi et al., “CMOS-compatible vertical-silicon-nanowire gate-all-aroundp-type tunneling FETs with ≤ 50-mV/decade subthreshold swing,” IEEEElectron Device Letters, vol. 32, no. 11, pp. 1504–1506 (2011)

52 Multigate and nanowire transistors

Page 69: Nanowire Transistors - CAS

[101] A. Villalon et al., “First demonstration of strained SiGe nanowires TFETs withION beyond 700μA/μm,” Symposium on VLSI Technology Digest of TechnicalPapers, pp. 84–85 (2014)

[102] A.W. Dey et al., “Combining axial and radial nanowire heterostructures: radialEsaki diodes and tunnel field-effect transistors,” Nano Letters, vol. 13, no. 12,pp. 5919−5924 (2013)

[103] J. Wan et al., “Novel bipolar-enhanced tunneling FET with simulated highon-current,” IEEE Electron Device Letters, vol. 34, no. 1, pp. 24−26 (2013)

References 53

Page 70: Nanowire Transistors - CAS

3 Synthesis and fabricationof semiconductor nanowires

Semiconductor nanowires can be fabricated using a variety of techniques. Techniquesbased on the semiconductor industry legacy of using lithography patterning andmaterial removal methods to etch semiconductor layers into nanowires are called“top-down” fabrication techniques. A typical example is the patterning of photoresistlines on top of a silicon-on-insulator layer followed by the removal of excess siliconusing a plasma etch tool in order to create silicon nanowires. Another example is thepatterning of an array of “dots” on a silicon substrate and the use of plasma etching tofabricate vertical silicon columns. Techniques based on the direct epitaxial growth of ananowire from a seeding substrate without using material removal techniquesare called “bottom-up” growth techniques. The classical example is the vapor–liquid–solid (VLS) growth of silicon nanowires on a silicon substrate using goldeutectic droplets [1,2].

3.1 Top-down fabrication techniques

In this section, the more common “top-down” fabrication techniques are described.They are typically based on process steps used following the semiconductor industrylegacy by combining patterning using lithography and material removal using etchingtools allowing the shaping of thin semiconductor films into nanowire structures.

3.1.1 Horizontal nanowires

Semiconductor nanowires can be fabricated using either semiconductor-on-insulatorwafers or bulk semiconductor wafers. In the case of silicon, nanowires can be madeusing a silicon-on-insulator (SOI) wafer. The silicon film thickness can be trimmeddown to the desired value using oxidation and wet oxide strip in a buffered hydrofluoricacid (HF) solution [3,4]. The lateral dimensions of the nanowire are usually definedusing e-beam lithography permitting patterning of very narrow lines [5,6,7]. Othertechniques, such as the use of block copolymer self-assembly, can be used to definenarrow polymer parallel lines and use them as a template for pattern transfer onto asemiconductor. Directed self-assembly of block copolymers is capable of achievinghigh-density patterning with critical dimensions approaching 5 nm. High-densityarrays of aligned silicon nanowires by directed self-assembly of a PS-b-PMMA

Page 71: Nanowire Transistors - CAS

block copolymer has been demonstrated. The wires are formed with a pitch of 42 nmresulting in dense arrays (5 × 106 wires/cm) of unidirectional and isolated parallel siliconnanowires on an insulator substrate. This technique demonstrated the fabrication ofnanowires with critical dimension ranging down to less than 10 nm [8,9].

Thin dielectric spacers formed on the sidewalls of a sacrificial (or “dummy”) patterncan also be used to define fine lines that can be used to etch nanowires [10,11]. Forthese approaches, a dummy pattern such as a polysilicon line is formed using standardoptical lithography. A dielectric layer, usually silicon dioxide or silicon nitride, is thendeposited and etched away using vertical anisotropic reactive ion etching (RIE). Thesesteps create dielectric “spacers” on the sidewalls of the dummy polysilicon pattern.The dummy polysilicon is then etched away and a pair of dielectric lines remain. Thesecan then be used as a hard mask for etching the underlying semiconductor. Theadvantages of this technique are that the width of the spacer hard mask is definednot by lithography but by the thickness of the deposited dielectric layer, and that thewidth of the spacer can readily be made uniform (it shows less line width variation thanlines with similar dimensions defined by lithography). The disadvantage of the processlies in the fact that the spacer forms a hard mask line all around the polysilicon patternsyielding less design flexibility than direct-write e-beam lithography. The spacerprocess is illustrated in Fig. 3.1. The stacking of alternate layers such as oxide/nitride/oxide/oxide can be used to form multiple spacers, enabling the fabrication ofnanowires with small pitches [12,13]. Using multiple spacer technology in twoperpendicular directions using one direction to form semiconductor nanowires andthe perpendicular direction to pattern gates, nanowire transistor crossbar arrays can berealized. Small arrays with a density of 1010 transistors/cm2 have been demonstratedusing this technique [14].

(a) (b) (c)

(d) (e) (f)

SiSiO2

HM HM HM HM

Si Si

Si Nanowires

Si

SiSiO2

Si

SiO2

SiSiO2

SiO2

SiSi

SiO2

Figure 3.1 Formation of patterns using spacer technology: (a) silicon-on-insulator (wafer); (b) hard maskpatterning and dielectrics deposition; (c) anisotropic plasma etch to form spacers; (d) removal ofhard mask; (e) silicon etch; (f) removal of spacers leaving pattern behind.

3.1 Top-down fabrication techniques 55

Page 72: Nanowire Transistors - CAS

Nanowires can also be fabricated from a bulk semiconductor substrate. Using silicon,horizontal nanowires and horizontal nanowire gate-all-around (GAA) transistors havebeen made by RIE etching of a silicon wafer. A succession of anisotropic (vertical) andisotropic plasma etching steps can be used to form suspended silicon nanowires, andeven stacked nanowires, from a bulk silicon wafer. The isotropic etch step is aimedat removing some of the silicon lying underneath the nanowire. These nanowires canlater be processed into GAA transistors [15,16]. A combination of RIE etching, localoxidation and isotropic etching can also be used. The latter technique has been used tofabricate GAA transistors with a nanowire diameter of 6 to 7 nanometers. Such devicesfor both n- and p-channel transistors exhibit very good properties with ON/OFF currentratios greater than 108, a subthreshold slope of 64 mV/dec and a DIBL of 6 mV/V for agate length of 40 nm [16,17].

The removal of semiconducting material from underneath the nanowire can befacilitated by using Si/SiGe/Si epitaxy. This technique was first pioneered byM. Jurczack et al. under the name of the “silicon-on-nothing (SON) process” [18]. Alayer of silicon germanium (SiGe) is epitaxially grown on a silicon wafer and then a thinlayer of silicon is epitaxially grown on the SiGe layer. The role of SiGe consists intransferring the continuity of the lattice from the bulk to the silicon top layer thusmaintaining a single-crystal structure. After patterning the top silicon layer, an isotropicplasma etch step is used to selectively etch away the SiGe layer. The SiGe layer can beselectively removed using pure carbon tetrafluoride (CF4) in a remote plasma, highpressure, and low microwave power tool, in which case an etching selectivity of 100:1for Si0.8Ge0.2:Si can be obtained [19]. Since the SiGe is being etched at the same rateunderneath channel, source, and drain, one has to design narrow channels and widesources and drains in order to form a free-standing nanowire channel while keepingenough SiGe below the source and drain to ensure mechanical support; see Fig. 3.2.

Using stacked Si/SiGe/Si/SiGe/Si epitaxial layers, multiple nanowire transistorscan be fabricated on top of one another and in parallel, that is, with a common gateelectrode. This multiplicity of channels increases the current drive per footprint but alsocomplicates the fabrication process [20]. The epitaxial growth of multiple, stackedactive, and sacrificial semiconductors is not limited to Si and SiGe; it can also be appliedto III-V semiconductors and multiple InGaAs layers with InP sacrificial layers havebeen used to fabricate stacked InGaAs GAA nanowire transistors [21].

The etching of the SiGe from underneath the nanowire can be restricted to thechannel region (i.e. the etching of the silicon germanium layer underneath the sourceand drain can be inhibited) if a Damascene “gate-last” process is used. For this process,the SiGe is etched after removal of the dummy gate and before deposition of the metalgate stack; n- and p-channel GAA transistors with a gate length of 10 nm have beenmade using this technique [22].

Two examples of horizontal nanowire transistor processing using SiGe etching aregiven in Figs. 3.3 and 3.4. SiGe epitaxy, patterning, and etching are first used to formsilicon nanowires suspended between source and drain pads in a process similar tothat depicted within Fig. 3.2. After the gate dielectric deposition, a gate material anda hard mask are deposited and etched to form gate-all-around structures shown in

56 Synthesis and fabrication of semiconductor nanowires

Page 73: Nanowire Transistors - CAS

Fig. 3.3(b). Spacers are then formed at the sides of the gate as in Fig. 3.3(c) toenable the epitaxial growth of raised sources and drains shown in Fig. 3.3(d); thislatter step is necessary to reduce source and drain resistance. The source and drainepitaxial regions are then doped using ion implantation and Fig. 3.3(e) shows across-section of the finished device [23]. Ion implantation through the relativelythick epitaxial sources and drains generates some lateral scattering of the dopantsinto the nanowires under the gate spacers, which tends to somewhat deterioratedevice characteristics. An improved process sequence is shown in Fig. 3.4, wherethe parts of the nanowires outside the gate spacers are cut off using RIE presented inFig. 3.4(c) and doped epitaxial growth is seeded directly from the nanowire “stubs”that slightly protrude out of the gate spacers, as shown in Fig. 3.4(d) [24].

3.1.2 Vertical nanowires

Vertical nanowires can be readily formed by forming an array of dots by lithography andsubsequent etching into the semiconductor using anisotropic reactive ion etching (RIE).This technique is exemplified in [25] where a single-step deep reactive ion etching(SDRIE) is used to transfer a photoresist template to silicon or a silicon-on-insulatorsubstrate. With the SDRIE etching process, both a high silicon to mask selectivity anda high etching rate can be achieved. The sidewall angle of resultant patterns can beadjusted by tuning the composition of the gases in the etching process through acontrolled mixture of Ar, SF6, and C4F8. This straightforward top-down nanowirefabrication technique, combined with nanowire trimming by oxidation and hydrogenanneal, has been used to fabricate a variety of vertical gate-all-around nanowire transis-tors on silicon substrates [26,27,28], as well as on III-V semiconductor substrates [29].

SiSiGe

Si

Si

(a) (b)

(c) (d)

(e) (f) Si Nanowire

Figure 3.2 Formation of a silicon nanowire using silicon germanium (SiGe) epitaxy and etching: (a) growthof the SiGe and Si epitaxial layers; (b) resist pattern formation using lithography; (c) etch Si andSiGe and removal of resist; (d) protect anchor points (future source and drain in a nanowiretransistor); (e) selective SiGe etch; (f) remove resist. A suspended silicon nanowire has beenformed between the two anchor areas.

3.1 Top-down fabrication techniques 57

Page 74: Nanowire Transistors - CAS

3.2 Bottom-up fabrication techniques

“Bottom-up” nanowire fabrication techniques are based on the epitaxial growth of highaspect ratio crystals. These crystals are usually vertical but growth in other directionscan be achieved, either fortuitously or by design. The first growth of silicon wires datesback to the end of the 1950s. A paper from 1957 by Treuting et al. describes the growthof <111>-orientated silicon “whiskers” [30]. The term “whisker” has now been largelyabandoned and replaced by the more familiar terminology “nanowire.” A variety ofnanowire growth techniques can be found in the literature, including the vapor–liquid–solid (VLS) mechanism, selective epitaxial growth (SEG), chemical vapor deposition(CVD), evaporation of SiO, molecular beam epitaxy (MBE), laser ablation, and electro-less metal deposition and dissolution (EMD) [31]. The most widely used techniques arevapor–liquid–solid (VLS) and selective epitaxial growth.

(a) (b)

(d)(c)

Si

SiGe

Si

SiNW

SiNW

Si

Epi(e)

Si

Si

Gat

e

Epi

SiGeSiGe

Hard maskGate

S/D epiSpacers

Figure 3.3 Fabrication of a horizontal GAA nanowire transistor. (a) Formation of suspended siliconnanowires between the source and drain anchor areas as in Fig. 3.2. (b) Gate dielectric material andhard mask deposition and patterning to form the gate-all-around structure. (c) Formation of lateralspacers. (d) Epitaxial growth of raised source and drain. Source and drain epitaxial regions arethen doped using ion implantation. (e) Cross-section of the finished device. After [23].

58 Synthesis and fabrication of semiconductor nanowires

Page 75: Nanowire Transistors - CAS

3.2.1 Vapor–liquid–solid growth technique

One of the most successful growth techniques is the vapor–liquid–solid (VLS) mechan-ism, first proposed byWagner and Ellis in 1964 [32]. The method was first applied to thegrowth of silicon nanowires with diameters down to 300 nm, but has since been used ona variety of other semiconductor materials. The VLS mechanism can best be explainedas the gold catalyzed growth of a silicon wire on a silicon substrate by means ofchemical vapor deposition (CVD) using a silicon-containing gas (precursor) such assilane (SiH4). Gold is the most popular nanowire growth catalyst, although VLSgrowth using other metals including Cu, Al, Ti, Ga, In, Cd, Zn, Ag, and Pt has beenreported [33].

The physics of VLS growth was first explained by Givargizov in 1975 [34,35] and iswell illustrated in an article from 2010 by Schmidt et al. [33]. The Au–Si binary phasediagram has a distinctive feature: the melting point of the Au–Si alloy strongly depends

SiNW

Si

Si

Spacers(c)

(e)

(d)S/D epi

SiNW

Gat

e

Epi

SiGe

Si

Epi

SiGe

(a) (b)

SiGe

Hard maskGate

Figure 3.4 Fabrication of a horizontal GAA nanowire transistor. (a) Formation of suspended siliconnanowires between the source and drain anchor areas as in Fig. 3.2. (b) Gate dielectric gatematerial and hard mask deposition and patterning to form the gate-all-around structure.(c) Formation of lateral spacers and etching of nanowires outside the gate spacers. (d) Epitaxialgrowth of doped source and drain. (e) Cross-section of the finished device. After [24].

3.2 Bottom-up fabrication techniques 59

Page 76: Nanowire Transistors - CAS

on composition. The lowest melting temperature for the Au–Si eutectic is obtained for acomposition 19 atom% Si and 81 atom% Au and is equal to 363°C, which is approxi-mately 700ºC lower than the melting point of gold and over 1000°C lower than themelting point of silicon. Thus, heating a gold film deposited on a silicon substrate to atemperature of 363°C or higher results in the formation of liquid Au–Si alloy droplets.If these Au–Si alloy droplets are placed in an ambient containing a gaseous siliconprecursor such as silane (SiH4), the precursor molecules decompose (SiH4 → Si + 2H2)at the surface of the droplets, thereby supplying additional Si to the Au–Si alloy. Underequilibrium conditions (see phase diagram in Fig. 3.5) only a limited amount of Si canbe dissolved in the Au–Si droplets. The additional supply of Si from the gas phase forcesthe droplets to “dispose of” excess silicon. This causes the growth of solid-phase siliconat the bottom droplet-silicon interface. Continuous supply of silicon from the precursorto the droplets therefore results in the growth of nanowires with a gold–silicon alloydroplet at their apex [33]. The growth mechanism of a silicon nanowire catalyzed by agold–silicon alloy droplet is illustrated in Fig. 3.6.

As a rule of thumb, narrow nanowires grow faster than wider wires as the surface-to-volume ratio of small droplets is larger than for larger diameter droplets. Au dropletshave a large wetting angle with the silicon substrate but a smaller contact angle with thegrowing nanowires. As a result, VLS-grown nanowires are usually characterized by a“footing” or enlarged nanowire base as shown schematically in Fig. 3.6.

As mentioned previously a wide variety of metals can be used as catalysts for thegrowth of silicon nanowires. These different metals can be classified according to thecharacteristics of the binary phase diagram they form with silicon [33,36]. The catalyticmaterials can be classified into three different categories: type A, type B, and type C.Type-A catalysts are metals forming with silicon a system characterized by a phasediagram that is dominated by a single eutectic point. This eutectic contains a relativelylarge concentration of silicon of more than 10 atom percent Si. Type-A catalysts do not

1500

1200Liquidus

363 °C19%

VLSAu Si

900

600

Tem

per

atu

re (

˚C)

300

00 20 40 60

Atomic percent silicon (%)80 100

Figure 3.5 Phase diagram for the gold–silicon system. The shaded area represents the range oftemperatures and alloy compositions at which VLS growth can occur.

60 Synthesis and fabrication of semiconductor nanowires

Page 77: Nanowire Transistors - CAS

react with silicon to form a silicide. In the case of silicon nanowire growth there areonly three type-A metal catalysts: Al, Ag, and Au. Type-B catalysts also show a singledominant eutectic point and no silicide phases, but have a low Si solubility limit, lowerthan 1 atom percent Si. In the case of silicon, In, Ga, and Zn are typical type-B catalysts.Type-C catalysts are the silicide-forming metals. Their phase diagram indicates thepresence of one or more silicide phases. Cu, Pt, and Ti are typical type-C catalysts.

Nanowires formed by the VLS technique typically grow vertically, preferentiallyperpendicular to the surface of a (111) wafer in the case of silicon. Since the nanowiresgrow where metal droplets are present, the position of the nanowires can be controlledby positioning the droplets in a particular arrangement such as a regular array, usingclassical deposition, lithography, and etching techniques. It is, however, possible toproduce horizontal silicon nanowires using a guided growth technique.

By etching trenches or grooves in a silicon or SOI wafer one can produce verticalor slanted walls with <111> orientation. After performing angled deposition of metalcatalyst droplets, nanowires can be grown perpendicular to these walls. Etching <111>vertical walls in a (110) silicon wafer produces horizontal nanowires. These can begrown to bridge the gap between two vertical walls up to a distance of several micro-meters as in Fig. 3.7 [37]. This technique has been used to fabricate horizontal nanowiretransistors GAA-FETs integrated into an array of Si nanowire bridges. The bridges aresuspended over pairs of pre-patterned p-type Si electrodes in a SOI wafer that serve assource and drain for the transistors. Nominally undoped Si nanowires are VLS grown onthe sidewalls of the electrodes with gold nanoparticles having a diameter between150 and 200 nm, using SiH4 as precursor. After removal of the gold impurities fromSi nanowires, standard dry oxidation and polysilicon deposition can be applied to formgate oxide and electrodes [38].

H

HH

HSi

H

Si

Au(a)

(c)

(b)

(d)

HH

HSi

Figure 3.6 Growth mechanism of a silicon nanowire catalyzed by a gold–silicon alloy droplet. (a) Depositionof gold droplet on silicon. (b) Silane precursor gas dissolves silicon in the Au–Si eutectic dropletand growth of a silicon nanowire begins. (c) This process continues until the desired nanowirelength is obtained. (d) The gold droplet is removed.

3.2 Bottom-up fabrication techniques 61

Page 78: Nanowire Transistors - CAS

Alternatively, the VLS process can be guided by forcing the nanowire to grow alongan oxide surface. The nanowires still grow by addition of <111> planes to the structure,but the overall growth direction can be engineered to grow in other directions such as<100>. This allows for the fabrication of horizontal nanowires on a (100) wafer andsubsequent processing of the nanowires into transistors [39].

Gold is a well-known contaminant or “poison” in silicon and is usually avoided at allcosts in integrated circuit processing lines. In silicon, gold atoms introduce deep traplevels that greatly increase the carrier generation-recombination rate. This reducesminority carrier lifetime and renders pn junctions very leaky, although the physics ofthe electronic states of gold in very narrow nanowires remains largely unexplored.Metals other than gold have been shown able to catalyze VLS growth of silicon andgermanium nanowires, among which are aluminum [40] and titanium [41].Unfortunately, length uniformity control and effect density in these nanowires are notas good as in those grown using gold nanoparticles. Tin (Sn) nanoparticles havesuccessfully been used to catalyze VLS growth of silicon nanowires using a plasma-enhanced chemical vapor deposition technique at temperatures ranging from 300 to400ºC. This opens up the possibility of a unique in situ approach to fabricating metalcontamination-free nanowire arrays since tin is a IV-column element like silicon andgermanium, and is not considered as a contaminant in silicon processing [42].

To fully eliminate metallic contamination hazards, “homoparticle growth” can beused in some instances. In that case, the droplet that is seeding the nanowire growth isformed of one or all elements used for wire growth as opposed to the case of

Au droplet(a)

(c) (d)

(b)

SiSi

Si Si

Figure 3.7 VLS growth of a horizontal nanowire. (a) Etching <111> vertical walls in a (110) silicon wafer.(b) Angled deposition of catalyst (Au droplet). (c) Horizontal VLS growth catalyzed by the dropletuntil reaching the other side of the trench (d).

62 Synthesis and fabrication of semiconductor nanowires

Page 79: Nanowire Transistors - CAS

“heteroparticle growth,” where the seeding droplet is a different element such as gold.The homoparticle growth technique has been demonstrated capable of growing InAsnanowires on substrates including InAs, InP, GaAs, GaP, or Si. Growth was obtainedusing trimethylindium (TMIn) and arsine (AsH3) as precursors. The substrates wereheated under an H2 atmosphere to a growth temperature of between 520 and 660ºC, atwhich both precursors were activated simultaneously. InAs nucleation first forms andthen decomposes, yielding indium droplets. These droplets act as a catalyst to the verticalgrowth of InAs wires without the risk of contamination from foreign elements [43,44].

3.2.2 Growth without catalytic particles

The VLS growth method uses metallic droplets or particles as a catalyst to absorbgaseous precursors and precipitate them into a solid form to permit crystal growth.Some semiconductors such as InAs and InGaAs can be grown without the need fora catalytic particle [45,46]. Selective-area metallorganic vapor phase epitaxy(SA-MOVPE) allows one to grow semiconductor crystals with a high height-to-widthratio [47]. Using this technique, InAs nanowires can be grown on (100) silicon. Thelocation of the nanowires can be controlled by lithography. In [48], a 20 nm thick SiO2

film was thermally grown on an n-type Si (111) substrate. Subsequently, circular open-ings with a diameter of 100 nm were made in the oxide using electron beam lithographyand wet chemical etching. Next, cylindrical InAs nanowires were selectively grown onthe partially masked substrate in a low-pressure horizontal metal-organic vapor phaseepitaxy (MOVPE) system, supplying trimethylindium (TMIn) and arsine (AsH3) asprecursors. To achieve vertical III-V nanowires on the Si substrates, special care wastaken to prepare As-terminated (111) surfaces on the Si substrates prior to the growth.Details of the growth process can be found in [47]. The length of each NW was 2.5 μmand diameters were 100 nm, which is the same as the mask opening size.

Silicon, germanium, and SiGe can be grown without the need for catalytic particles aswell. Silicon can be epitaxially grown selectively on silicon while avoiding nucleationand polycrystalline growth on SiO2. This can be achieved in an epitaxial chemical vapordeposition (CVD) reactor by alternating deposition cycles and etching cycles. Considera silicon wafer partially covered by oxide on top of which a thin layer of silicon isdeposited by pyrolysis (thermal decomposition) of silane or dichlorosilane. The silicongrows epitaxially on the exposed silicon, while it forms crystallites on the oxide whichact as nucleation sites or “seeds.” An etching step is then applied in-situ, usually usingHCL gas. The etching process is optimized to remove the crystallites on the oxidewhile minimizing the removal of the epitaxial grown silicon. The deposition/etchingcycles are then repeated until the desired thickness of epitaxial silicon has been reached.This growth technique is known as “selective epitaxial growth” (SEG) [49,50]. Thegrowth of (100) vertical Si and SiGe nanowires can be found in [51]. In this example,SEG is used to grow cylindrical nanowires with a diameter of 85 nm. These are grownin cylindrical holes etched into a SiO2 layer deposited on silicon. This technique is thusnot entirely “bottom-up” since lithography and material (SiO2) removal are used toetch the holes in the oxide. These holes serve as a “mold” for silicon growth during the

3.2 Bottom-up fabrication techniques 63

Page 80: Nanowire Transistors - CAS

SEG step. In the particular example of [51], the nanowires contain a heterojunctionand a first 100 nm growth of pure silicon is followed by the growth of SiGe. BeforeSEG steps are performed, a mask template is prepared by patterning via the holes in aSiO2/Si3N4 film stack on Si (100) wafers. The stack is composed of a 25 nm nitridecapped by a 300 nm thick plasma-enhanced chemical vapor deposition (PECVD) of anoxide. After dry etching of the SiO2, a hole is etched in the bottom Si3N4 using hotphosphoric acid, during which approximately a 10 nm lateral overetch of the nitrideis created to promote a facet-free Si epitaxy. The holes are subsequently filled byselective epitaxial grow of an intrinsic, 100 nm tall Si segment followed by depositionof a segment of Si0.85Ge0.15 deposited by CVD. The heterojunction is thus formed bychanging the chemistry gases during CVD growth. Such a heterojunction structure canbe used to fabricate gate-all-around (GAA) vertical nanowire tunnel field-effecttransistors (TFETs) [51].

3.2.3 Heterojunctions and core-shell nanowires

As hinted in the previous section, heterojunction nanowires can be grown by varyingprecursor chemistry during growth. Heterojunctions can be formed axially, i.e. perpen-dicular to the length of the nanowire, or radially, i.e. perpendicular to the radius of thenanowire as shown in Fig. 3.8 [52].

Many types of vertically grown nanowires with axial heterojunctions are reported inthe literature, including Si/Ge [53,54], InAs/InP [55], InAs/Si [56], and InSb/InAs[57] nanowires. Atomically sharp junctions and defect-free crystals are usuallyachieved. It is worth mentioning that nanowire devices comprising both radial andaxial heterostructures can be made (InAS/InGaAs/GaAs/GaSb) using the InAs(Sb)

(a)

(d)

InAs

InAs(Sb) shell

GaAs

InG

aAs

GaSb

(b) (c)

Semiconductor 1

Semiconductor 2

Figure 3.8 Different types of heterojunction nanowires. (a) Axial heterojunction. (b) Multiple axialheterojunctions. (c) Radial heterojunction (core-shell nanowire). (d) Combination of radial andaxial heterojunctions. After [58].

64 Synthesis and fabrication of semiconductor nanowires

Page 81: Nanowire Transistors - CAS

material system as in [58]. This allows for the design of tunnel diodes and tunnel field-effect transistors with a larger current drive than that of axial-only devices. Multiplelayer core-shell nanowires can be grown as well. Vertical III–V semiconductornanowires grown on (111) silicon substrates with up to five successive layers grownon top of one another around a core, in a similar fashion to Russian nested dolls, havebeen demonstrated by K. Tomioka et al. [59], resulting in the formation ofIn0.7Ga0.3As (core)/InP/In0.5Al0.5As/d-doping layer/In0.5Al0.5As/In0.7Ga0.3As (outershell) or InGaAs (core)/InP/InAlAs/InGaAs (outer shell) structures.

A “core-shell” nanowire is a nanowire where a central semiconductor region orcore is radially encased within a semiconductor outer shell. Core-shell nanowireshave been studied since the early 2000s; in particular, the Sicore/Geshell andGecore /Sishell systems have been studied in detail by the group of C.M. Lieberat Harvard University [60,61]. Quantum confinement from the quasi-1D structurecharacteristic of a nanowire can be reinforced by the formation of a radialheterojunction. This property enables core-shell nanostructures to produce very“clean” one-dimensional gases of charge carriers and fabrication with thesestructures of nanowire transistors allows for ballistic transport through 1D sub-bands to be clearly observed [62]. High-performance nanowire p-channel transis-tors have been made using Gecore/Sishell nanowires with a diameter of 18 nm andusing high-κ HfO2 and ZrO2 gate dielectrics. In this configuration, a transconductanceof 3300 µS/µm and an ON-current of 2100 µA/µm at VDS = –1.0 Vand VG = VTH – 0.7 Vwas measured. These values are three to four times higher than in planar MOSFETs andcorrespond to a hole mobility of 730 cm2 V−1 s−1 [63]. Transport simulations confirmthat Si/Ge core-shell heterostructures with engineered energy band offsets can exhibitenhanced ON currents and transconductances over traditional device designs and delivera two-fold improvement in hole mobility, transconductance and ON current [64].

The electronic properties of strained Si/Ge core-shell nanowires can be evaluatedusing first-principles calculations based on density functional theory (DFT, seeChapter 5). The semiconductor parameter of core-shell wires with a diameter up to5 nm were calculated along the <110> direction in [65]. The simulations reveal that theband gap of the core-shell wire is smaller relative to both pure Si and Ge wires with thesame diameter. This reduced band gap is ascribed to the intrinsic strain between Ge andSi layers, which partially counters the quantum confinement effect. The studied Si/Gecore-shell nanowires all have a core diameter of approximately 1.5 nm, which isequivalent to 30 atoms per cross-section. Core-shell nanowires with diameters of2.5 nm, 3.7 nm, and 4.7 nm were simulated, which corresponds to a shell thickness of0.5, 0.75, 1.1, and 1.6 nm, respectively. The resulting strain in both the core and theshell is shown in Fig. 3.9 [66].

An unstrained core-shell Si/Ge nanowire forms a type-II staggered-bandheterostructure. In other words, EV (Ge) > EV (Si) and EC(Ge) > EC(Si) [67]. As aresult, the valence band charge carriers (holes) of a core-shell Si/Ge nanowire aremainly found in the germanium and the conduction band charge carriers (electrons)are mainly located in the silicon, regardless of whether the core is Si and the shell is Geor vice versa [66].

3.2 Bottom-up fabrication techniques 65

Page 82: Nanowire Transistors - CAS

In terms of generating strain in a semiconductor, a technique that is widely used toenhance carrier mobility, the epitaxial core-shell nanowire structure offers two advan-tages over the standard planar heterostructure. The first advantage is that the strainenergy per interfacial area is lower in a core-shell nanowire than for the analogousplanar heterostructure. The strain energy due to lattice mismatch builds up with thick-ness when a heteroepitaxial layer is grown. The usual way the system releases thatenergy is through the generation of misfit dislocations. The strain energy being lowerin a core-shell nanowire than in a planar epitaxial system, thicker defect-freeshell heteroepitaxial layers can be grown as compared to planar heteroepitaxial layers.The second advantage is that the core-shell system allows the achievement of higherstrain levels than planar heteroepitaxy, which can be a benefit for mobility enhancement.These advantages are attributed to the greater ability of the core-shell geometry to relaxthe strain at the surface [68].

3.3 Silicon nanowire thinning

The diameter or cross-section of silicon nanowires produced by either top-down tech-niques using lithography and etching or bottom-up methods such as VLS growth may betoo large for some applications, in which case it is desirable to reduce the nanowirecross-section in a controlled manner. Two techniques can be employed to achieve thisaim: hydrogen annealing and thermal oxidation.

3.3.1 Hydrogen annealing

Hydrogen annealing can be used to slowly etch and smooth out silicon surfaces. Theoperation is usually carried out in an epitaxial reactor under a low-pressure (10–30 Torr)H2 ambient. The anneal temperature usually ranges between 800°C and 900°C for Sinanowires and 700°C for SiGe nanowires [69,70]. Hydrogen annealing has been used

0–2

–1

0

1

2

3Sishell

Sicore

Gecore

Geshell

Sicore/Geshell

Gecore/Sishell

4

Str

ain

(%

)

0.5 1 1.5 2Shell thickness (nm)

Figure 3.9 Strain in core and shell of core-shell Si/Ge and Ge/Si nanowires of different diameters. Corediameter is 1.5 nm. After [66].

66 Synthesis and fabrication of semiconductor nanowires

Page 83: Nanowire Transistors - CAS

during FinFET processing to round the corners of the silicon fins prior to gateoxidation and to smooth the surface of the fin sidewalls. This procedure has beenshown to greatly improve gate leakage and to improve channel mobility [71,72].Hydrogen annealing is effective for rounding of silicon nanowires. Line width rough-ness (LWR) and line edge roughness (LER) of silicon nanowires patterned on an SOIwafer have been shown to decrease by approximately 25% and 50% after hydrogenannealing for 2 minutes at a pressure of 20 Torr and temperatures of 800ºC and 850ºC,respectively. This technique can be used to produce nearly circular nanowires frominitially rectangular shaped nanowires [69]. Hydrogen annealing presents, however, aserious drawback for its use in the formation of small-diameter nanowires: the diffu-sion of silicon atoms at the surface of the nanowires, which is responsible forsmoothing and rounding the wires, causes pinch-off of the wires near anchor points.This leads to unwanted excess thinning of the nanowires that can cause an increase ofsource and drain resistance in transistors, and even breakage of the nanowires at theanchor points [69,73]. Figure 3.10 shows a 3D picture of stacked horizontal SiGenanowires. The image was constructed using a scanning transmission electron micro-scope (STEM) tomography technique. Details on the hardware and software used toproduce such a picture can be found in [70].

3.3.2 Oxidation

It was realized in 1994 that the oxidation rate of silicon nanowires decreases withtime or oxide thickness up to the point where oxidation is virtually stopped. This is incontrast with the Deal–Grove model for oxidation of planar silicon surfaces wherethe oxide growth continues with a rate proportional to square root of time [74]. It ispossible to model the oxidation of silicon nanowires (NWs) based on a modification ofthe Deal–Grove equation written for a cylindrical geometry which takes into accountstress effects associated with non-uniform deformation of the oxide by viscous flow

Hard mask

Top NW

Middle NW

Bottom NW

S D

SiO2

Si

Figure 3.10 Left: Three-dimensional tomography image of three stacked horizontal SiGenanowires. Right: Schematics of the complete device. The hard mask is used to etch thestructure vertically. (Courtesy D. Cooper, CEA-LETI.)

3.3 Silicon nanowire thinning 67

Page 84: Nanowire Transistors - CAS

[75]. The Deal–Grove equation written for a cylindrical silicon sample gives thefollowing oxidation rate [76]:

∂x∂t

¼ 1

NC�

1

ksþ 1

habþ aDlog

ba

� � ¼ 1

NC�

1

ksþ 1

h

a

aþ xþ a

Dlog

aþ x

a

� � ; ð3:1Þ

where a is the radius of the silicon nanowire, x = b – a is the thickness of the alreadygrown oxide, b is the outer radius of the oxide, N is the number of oxidizing moleculesrequired to form one unit volume of SiO2, ks is the surface reaction rate constant at theSiO2/Si interface, h is the surface mass transfer constant of the oxidizing agent (O2 in thecase of dry oxidation and H2O in the case of wet oxidation), D is the diffusivity ofoxidizing species in SiO2, and C* is the solubility of the oxidizing species in SiO2. Inaddition to the Deal–Grove equation one assumes that the oxide shell is a viscousincompressible fluid at the oxidation temperature of 950ºC or higher. Thus the SiO2

flow can be approximated as purely viscous and the non-linear effects of shear stress onoxide viscosity can be neglected. Under these assumptions, it can finally be shown thatthe growth of the oxide results in the buildup of a tensile hydrostatic pressure P inside thebulk of the oxide volume and of a compressive surface stress σ at the Si/SiO2 interface.Using stress- and pressure-dependent coefficients for ks, D, and C*, viscosity studiesshow that the presence of a tensile P and compressive stress σ decrease the oxideviscosity and the reaction coefficient, as well as increase the diffusivity and the oxidesolubility. The oxide growth can therefore be accelerated or decelerated depending onwhether the reaction is controlled by the oxidant diffusion or by its reaction velocity atthe interface. More importantly, the model gives some interesting insights into thephysics of the oxidation process. In particular, it shows that the compressive stress atthe Si/SiO2 interface results in the self-limitation of the oxidation rate for long oxidationtimes, in good agreement with experimental data [77,78,79]. The self-limiting nature ofnanowire oxidation can be used to tighten the diameter distribution of nanowires definedby lithography and plasma etching as plotted in Figs. 3.11 and 3.12.

60

50

40

30

20

Oxi

de

thic

knes

s (n

m)

10

00 500

Silicon nanowire (experiment)

Bulk silicon

Silicon nanowire (model)

1000 1500Time (s)

Figure 3.11 Oxide thickness as a function of oxidation time for dry oxidation at 1100ºC. Data and modelfor nanowire oxidation taken from [75].

68 Synthesis and fabrication of semiconductor nanowires

Page 85: Nanowire Transistors - CAS

3.3.3 Mechanical properties of silicon nanowires

The Young’s modulus E of a material is a measure of the stiffness or elasticity of thatmaterial. It is defined as the ratio of the stress over the strain applied to the material.Young’s modulus is also called the “modulus of elasticity.” It is usually measured bypulling on a sample and measuring the relationship between the increase of the samplelength and the applied force. Mathematically it is defined by the followingrelationship:

E ¼ σε¼ F=A0

ΔL=L0¼ FL0

A0ΔL; ð3:2Þ

where σ is stress and ε is the strain, which are re-expressed using F the force exerted on asample, A0 the pre-stress cross-sectional area of the sample, ΔL the length increase orelongation due to the applied stress, and L0 the original length of the sample. Young’smodulus is usually given in units of gigapascals (GPa). The higher the value of Young’smodulus, the stiffer a material or the greater its resistance to deformation under force.Lower values of the modulus indicate that a material can be expected to be more elastic.For example, the Young’s modulus of rubber, steel, and diamond are 0.01–0.1, 200, and1220 GPa, respectively. The Young’s modulus of bulk silicon ranges between 130 and185 depending on crystal orientation [80,81].

The Young’s modulus and fracture strength of silicon nanowires have been measuredexperimentally by several groups [82,83]. Nanowires with diameters ranging between15 and 60 nm and lengths of between 1.5 and 4.3 µm were grown by the VLS processresulting in various crystal orientations along the growth direction. <110>-, <111>-, and<112>-orientated nanowires were subjected to in situ tensile tests inside a scanningelectron microscope. The measurements reveal that the Young’s modulus of the siliconnanowires is close to that of bulk silicon at 187 GPa for the <111> orientation when thenanowire diameter is larger than 30 nm. When the diameter is decreased below 30 nm,

0.3

0.25

0.2

0.15

0.1

Pro

bab

ility

(a.

u.)

0.05

00 20 40

Nanowire diameter (nm)60

Before oxidation

After oxidation

80

Figure 3.12 Histogram of nanowire diameter before and after dry oxidation for 20 minutes at 1100ºC. Theinitial diameter distribution is centered at 50 nm before oxidation and the post-oxidation diameterdistribution is centered at 20 nm. The self-limiting nature of nanowire oxidation has tightened thediameter distribution. Adapted from [75].

3.3 Silicon nanowire thinning 69

Page 86: Nanowire Transistors - CAS

the Young’s modulus decreases monotonically with the wire diameter, indicating asoftening of the silicon to values as low as 50% of the bulk value Young’s modulusfor wires within a diameter range of 10 to 15 nm, as shown in Fig. 3.13. Similar resultshave been obtained for Ge and GaAs nanowires [84,85]. The decrease of Young’smodulus in silicon nanowires at small diameters has been confirmed by first-principlestudies. It is found that the modulus scales proportionally to the surface area to volumeratio, as long as the wire diameter is not smaller than 1.5 nm [86,87]. A second importantfinding from tensile stress measurements concerns the fracture strength of the nano-wires, which increases as the diameter is decreased. A strength of 12 GPa is found inwires with a diameter of 30 nm or below, which is significantly higher than in bulksilicon or silicon thin films with the maximum strains as a function of diameter shown inFig. 3.14. For reference, the fracture strengths of aluminum, silicon, stainless steel, anddiamond are 0.17, 1–7, 2.1, 53 GPa, respectively [88,89]. The lowering of the Young’smodulus and the increase of fracture strength allows for the generation of strain levels

200

180

160

140

120

100You

ng

’s m

od

ulu

s (G

Pa)

800 20 40 60 80

Nanowire diameter (nm)

Bulk <111>

Bulk <110>

Figure 3.13 Young’s modulus measured on silicon nanowires with different diameters. The horizontaldashed lines represent the Young’s modulus of bulk silicon. Adapted from [82].

0.14

0.12

0.1

0.08

0.06

0.04

0.02

00 20 40

Nanowire diameter (nm)

Frac

ture

str

ain

(DL

/L)

60 80

Figure 3.14 Fracture strength measured on silicon nanowires with different diameters. The range offracture strength values found in thin silicon films is given for reference. Adapted from [82].

70 Synthesis and fabrication of semiconductor nanowires

Page 87: Nanowire Transistors - CAS

L/L0 of up to 12% as seen in Fig. 3.14 and 3.15. Repeated loading and unloading testsperformed during the stress experiment demonstrated that the nanowire deformation islinear and elastic without any appreciable plasticity until fracture is reached.

Molecular dynamics simulations predict that the fracture mechanism of Si nanowiresdepends on both temperature and the diameter of the nanowire. Nanowires with adiameter smaller than 4 nm exhibit a ductile fracture (shear fail) mechanism at alltemperatures, while wider wires tend to fail through a brittle failure mechanism unlessthe temperature is higher than 1200 K. For a diameter larger than 4 nm, cleavagefractures are predominantly observed on transverse (110) planes at temperaturesbelow 1000 K. At higher temperatures, the nanowires shear mostly along inclined<111> planes prior to fracture, analogous to what happens in the brittle-to-ductiletransition in bulk Si. Surprisingly, nanowires with diameter less than 4 nm fail byshear regardless of temperature. Detailed analysis reveals that cleavage fracture isinitiated by the nucleation of a crack from the nanowire surface, while shear failure isinitiated by the nucleation of a dislocation, also from the nanowire surface. The overallfracture behavior of silicon nanowires is controlled by competition between crackand dislocation nucleation from the nanowire surface, contrary to the dislocationmobility-controlled model for describing brittle to ductile transition in bulk silicon.The preference of the shear failure mechanism in very thin nanowires, even at lowtemperatures, is probably caused by the low energy barrier for dislocation nucleation inthin nanowires [90].

It is worth noting that simulation techniques, such as molecular dynamics, predict adrop of Young’s modulus in silicon nanowires only for diameters smaller than 5 to10 nm, while experimental results reveal that lower values of Young’s modulus occur atdiameters smaller than 50 to 100 nm. The molecular dynamics simulations apply todefect-free nanowires without surface oxides, hence the discrepancy between simulationand experiment can presumably be explained by the presence of crystalline defects in thenanowires and by the presence of a thin native oxide at the surface of the nanowires usedin the experiments [91].

14

12

10

8

6

4

2

00 20 40

Nanowire diameter (nm)

Frac

ture

str

eng

th (

GP

a)

60

Thin silicon films

80

Figure 3.15 Fracture strain measured on silicon nanowires with different diameters. Adapted from [82].

3.3 Silicon nanowire thinning 71

Page 88: Nanowire Transistors - CAS

3.4 Carrier mobility in strained nanowires

In bulk or thin-film silicon, compressive strain increases hole mobility and decreaseselectron mobility, while tensile strain increases electron mobility and decreases holemobility. This phenomenon has been known since the 1960s and has been observed insilicon layers grown by heteroepitaxy on spinel [92] and on sapphire [93]. Strain wasintroduced as a performance-boosting technique in bulk silicon and SOI CMOS in theearly 2000s [94,95,96,97,98,99]. These variations of mobility are duemainly to a changeof effective mass brought about by the change of interatomic distance resulting fromstrain [100,101].

Strain can be uni-, bi-, and tri-axial in bulk silicon, and uni- or bi-axial in SOI films;it is essentially uniaxial in nanowires. The evolution of electron and hole mobility instrained silicon nanowires has been calculated by Niquet et al. using an atomistic tightbinding treatment of the electronic structure [102]. The calculations reveal that siliconnanowires are sensitive to strain and that mobility can be enhanced or reduced two-foldfor strain values in the ± 2% range. The effects of strain on the transport properties are,however, very dependent on the crystal orientation of the nanowires. Tensile strainincreases the mobility of electrons in <100>- and <110>-orientated nanowires wherethe orientation is referred to the direction along the axis of the nanowire or, in atransistor, the transport direction, while compressive strain degrades electron mobility.Electron mobility degrades with both compressive and tensile strain in <111>-orientated nanowires, as can be seen in Fig. 3.16. Hole mobility displays a behaviorthat is essentially the opposite of that observed for electrons: compressive strainincreases hole mobility in <110>- and <111>-orientated nanowires, and both tensileand compressive strain enhance hole mobility of holes in <100> nanowires, as alsoshown in Fig. 3.16 [102].

In germanium nanowires, the overall response of mobility to strain is similar to that ofsilicon, in that electron mobility increases with tensile strain and hole mobility increaseswith compressive strain. In strained Ge nanowires the electron mobility can reach values

3500

2500

2000

Mo

bili

ty (

cm2 V

–1s–1

)

Mo

bili

ty (

cm2 V

–1s–1

)

1500

1000

500

0–3 –2 –1 0 1

Uniaxial strain (%)2 3–3 –2 –1 0 1

Uniaxial strain (%)

Electrons Holes

[100]

[110]

[111]

[100][110]

[111]

2 3

30001400

1600

1000

800

600

400

200

0

1200

Figure 3.16 Phonon-limited mobility of electrons and holes as a function of uniaxial strain magnitude insilicon nanowires with a diameter of 8 nm and orientated in the <100>, <110>, and <111>directions.

72 Synthesis and fabrication of semiconductor nanowires

Page 89: Nanowire Transistors - CAS

higher than 3000 cm2 V−1 s−1 and the hole mobility can reach 12,000 cm2 V−1 s−1.Tensile strain increases the mobility of electrons in nanowires of all orientations but thisincrease is small for the <100> direction. As in the case of silicon, compressive straindegrades electron mobility as seen in Fig. 3.17; also seen in Fig. 3.17 is that compressivestrain increases hole mobility in nanowires of all orientations, whereas tensile straindecreases hole mobility [103].

Tensile strain has experimentally been observed to increase electron mobilityin trigate and Ω-gate silicon nanowire transistors. A tensile strain of 0.75% increasesthe mobility in nMOS nanowire transistors by up to 55%, and decreases mobility by30% for pMOS transistors for devices with a <110> transport direction [104]. Anincrease in mobility has also been observed in heavily doped n- and p-channeljunctionless nanowire transistors as a function of applied uniaxial tensile and com-pressive stress, respectively [105]. To probe further, the dependence of mobility insilicon nanowires has been calculated by atomistic methods as a function of differentparameters, among which are nanowire diameter [106] and doping impurity concen-tration [106,107].

3.5 Summary

Top-down and bottom-up strategies for the synthesis and fabrication, respectively, ofsemiconductor nanowires were introduced with the techniques used to grow, or etch andpattern nanowires described. Vertical nanowires can be grown by the vapor–liquid–solid(VLS) growth technique or confined epitaxy, or alternatively can be patterned by usinglithography and etching. Methods for forming horizontal nanowires grown using theVLS technique, by patterning an SOI layer, or by patterning heteroepitaxial layers, suchas Si/SiGe/Si, were also presented. Similarly, patterning techniques used for the fabrica-tion of nanowire transistors were described in a step-by-step fashion including adiscussion on methods for smoothing and thinning of silicon nanowires. Novel hetero-junction nanowires were described with axial and core-shell junctions, and the

Mo

bili

ty (

cm2 V

–1s–1

)

Mo

bili

ty (

cm2 V

–1s–1

)

0

2000

4000

6000

8000

10000

12000

14000

–3 –2 –1 0 1Uniaxial strain (%)

2 3–3 –2 –1 0 1Uniaxial strain (%)

Electrons Holes[100]

[110]

[111]

[100]

[110][111]

2 30

500

10001500

20002500

3000

40003500

Electrons[100]

[110][111]

Holes[100]

[110]

[111]

Figure 3.17 Phonon-limited mobility of electrons and holes as a function of uniaxial strain magnitude ingermanium nanowires with a diameter of 8 nm and orientated in the <100>, <110>, and <111>directions.

3.5 Summary 73

Page 90: Nanowire Transistors - CAS

advantages of these configurations for device applications were explored. As for planartechnologies, strain in fins and nanowires can be intentionally introduced to enhancemobilities for charge carriers, hence the use of strain as a technology booster is equallyappropriate for FinFETs and nanowire transistors. The chapter concluded with a discus-sion of the variation of nanowire mechanical properties such as Young’s modulus andfracture strength as a function of diameter.

References

[1] K.-I. Chen et al., “Silicon nanowire field-effect transistor-based biosensors forbiomedical diagnosis and cellular recording investigation,” Nano Today, vol. 6,pp. 131–154 (2011)

[2] R.S. Wagner and W.C. Ellis, “Vapor liquid solid mechanism of single crystalgrowth,” Applied Physics Letters, vol. 4, no. 5, pp. 89–90 (1964)

[3] S. Barraud, et al., “Performance of omega-shaped-gate silicon nanowireMOSFET with diameter down to 8 nm,” IEEE Electron Device Letters, vol. 33,no. 11, pp. 1526–1528 (2012)

[4] S. Bangsaruntip, et al., “High performance and highly uniform gate-all-aroundsilicon nanowire MOSFETs with wire size dependent scaling,” Technical Digestof International Electron Device Meeting (IEDM), pp. 297–300 (2009)

[5] X. Baie et al., “Quantum-wire effects in thin and narrow SOI MOSFETs,”Proceedings of the IEEE International SOI Conference, pp. 66–67 (1995)

[6] R.G. Hobbs et al., “Resist-substrate interface tailoring for generating high densityarrays of Ge and Bi2Se3 nanowires by electron beam lithography,” Journal ofVacuum Science and Technology B, vol. 30, no. 4, pp. 041602.1–7 (2012)

[7] R. Yu et al., “Top-down process of germanium nanowires using EBL exposure ofhydrogen silsesquioxane resist,” Proceedings of the 13th InternationalConference on Ultimate Integration on Silicon (ULIS), pp. 145–148 (2012)

[8] R.A. Farrell et al., “Large-scale parallel arrays of silicon nanowires via blockcopolymer directed self-assembly,” Nanoscale, vol. 4, pp. 3228–3236 (2012)

[9] S. Rasappa et al., “Fabrication of a sub-10 nm silicon nanowire based ethanolsensor using block copolymer lithography,” Nanotechnology, vol. 24, no. 6,p. 065503 (2013)

[10] Y.-K. Choi et al., “Fabrication of Sub-10-nm silicon nanowire arrays bysize reduction lithography,” Journal of Physical Chemistry B, vol. 107,pp. 3340–3343 (2003)

[11] C. Bencher et al., “22nmhalf-pitch patterning by CVDspacer self alignment doublepatterning (SADP),” Proceedings of SPIE, vol. 6924, pp. 69244E.1–7 (2008)

[12] R. Rooyackers et al., “Doubling or quadrupling MuGFET fin integration schemewith higher pattern fidelity, lower CD variation and higher layout efficiency,”Technical Digest of International Electron Device Meeting (IEDM), pp. 993–996(2006)

[13] G.F. Cerofolini, P. Amato, E. Romano, “The multi-spacer technique: a non-lithographic technique for terascale integration,” Semiconductor Science andTechnology, vol. 23, p. 075020 (2008)

74 Synthesis and fabrication of semiconductor nanowires

Page 91: Nanowire Transistors - CAS

[14] M. Haykel Ben-Jamaa et al., “Complete nanowire crossbar framework optimizedfor the multi-spacer patterning technique,” Proceedings of the InternationalConference on Compilers, Architecture, and Synthesis for Embedded Systems(CASES ‘09), pp. 11–16 (2009)

[15] D.-I. Moon et al., “Investigation of silicon nanowire gate-all-around junctionlesstransistors built on a bulk substrate,” IEEE Transactions on Electron Devices,vol. 60, no.4, pp. 1355–1360 (2013)

[16] R. Huang et al., “Fabrication and transport behavior investigation of gate-all-around silicon nanowire transistor from top-down approach,” ECS Transactions,vol. 22, no.1, pp. 317–326 (2009)

[17] Y. Song, et al., “Performance breakthrough in gate-all-around nanowire n- andp-type MOSFETs fabricated on bulk silicon substrate,” IEEE Transactions onElectron Devices, vol. 59, no. 7, pp. 1885–1890 (2012)

[18] M. Jurczak et al., “Silicon-on-nothing (SON) – an innovative process foradvanced CMOS,” IEEE Transactions on Electron Devices, vol. 47, no. 11,pp. 2179–2187 (2000)

[19] T. Ernst et al., “Ultra-dense silicon nanowires: a technology, transportand interfaces challenges insight,” Microelectronic Engineering, vol. 88,pp. 1198–1202 (2011)

[20] T. Ernst et al., “3D multichannels and stacked nanowires technologies for newdesign opportunities in nanoelectronics,” IEEE International Conference onIntegrated Circuit Design and Technology and Tutorial (ICICDT), pp. 265–268(2008)

[21] J.J. Gu et al., “III-V gate-all-around nanowire MOSFET process technology:from 3D to 4D,” Technical Digest of International Electron Device Meeting(IEDM), pp. 529–532 (2012)

[22] M. Li et al., “Sub-10 nm gate-all-around CMOS nanowire transistors on bulkSi substrate,” Symposium on VLSI Technology Digest of Technical Papers,pp. 94–95 (2009)

[23] S. Bangsaruntip et al., “High performance and highly uniform gate-all-aroundsilicon nanowire MOSFETs with wire size dependent scaling,” Technical Digestof the International Electron Device Meeting (IEDM), pp. 297–230 (2009)

[24] S. Bangsaruntip et al., “Density scaling with gate-all-around silicon nanowireMOSFETs for the 10 nm node and beyond,” Technical Digest of the InternationalElectron Device Meeting (IEDM), pp. 526–529 (2013)

[25] Y.-J. Hung et al., “Fabrication of highly ordered silicon nanowire arrays withcontrollable sidewall profiles for achieving low-surface reflection,” IEEE Journalof Selected Topics in Quantum Electronics, vol. 17, no. 4, pp. 869–877 (2010)

[26] Y. Sun et al., “Demonstration of memory string with stacked junctionlessSONOS realized on vertical silicon nanowire,” Technical Digest ofInternational Electron Device Meeting (IEDM), pp. 223–226 (2011)

[27] Y. Sun et al., “Junctionless vertical-Si-nanowire-channel-based SONOSmemory with 2-bit storage per cell,” IEEE Electron Device Letters, vol. 32,no. 6, pp. 725–727 (2011)

[28] N. Singh et al., “Si, SiGe nanowire devices by top–down technology andtheir applications,” IEEE Transactions on Electron Devices, vol. 55, no. 11,pp. 3107–3118 (2008)

References 75

Page 92: Nanowire Transistors - CAS

[29] X. Zhao, J. del Alamo, “Nanometer-scale vertical-sidewall reactive ion etchingof InGaAs for 3-D III-V MOSFETs,” IEEE Electron Device Letters, vol. 35,no. 5, pp. 521–523 (2014)

[30] R.G. Treuting, S.M. Arnold, “Orientation habits of metal whiskers,” ActaMetallurgica, vol. 5, no. 10, p. 598 (1957)

[31] M. Hasan, M.F. Huq, Z.HMahmood, “A review on electronic and optical proper-ties of silicon nanowire and its different growth techniques,” SpringerPlus, vol. 2,p. 151 (2013)

[32] R.S. Wagner, W.C. Ellis, “Vapor-liquid-solid mechanism of single crystalgrowth,” Applied Physics Letters, vol. 4, no. 5, pp. 89–90 (1964)

[33] V. Schmidt, J.V.Wittemann, U. Gösele, “Growth, thermodynamics, and electricalproperties of silicon nanowires,” Chemical Reviews, vol. 110, no. 1, pp. 361–388(2010)

[34] E.I. Givargizov, “Fundamental aspects of VLS growth,” Journal of CrystalGrowth, vol. 31, pp. 20–30 (1975)

[35] E.I. Givargizov, Y.G. Kostyuk, “Controlled growth of oriented systems ofwhisker crystals,” РОСТ КРИСТАЛЛОВ (Growth of Crystals), Springer,pp. 276–283 (1975)

[36] G.A. Bootsma, H.J. Gassen, “A quantitative study on the growth of siliconwhiskers from silane and germanium whiskers from germane,” Journal ofCrystal Growth, vol. 10, no. 3, pp. 223–234 (1971)

[37] M.S. Islam et al., “A novel interconnection technique for manufacturing nano-wire devices,” Applied Physics A, vol. 80, pp. 1133–1140 (2005)

[38] J.Y. Oh et al., “Demonstration of gate-all-around FETs based on suspendedCVD-grown silicon nanowires,” Proceedings of IEEE SOI-3D-SubthresholdMicroelectronics Technology Unified Conference (S3S) (2013)

[39] N.J. Quitoriano, T.I. Kamins, “Integratable nanowire transistors,” Nano Letters,vol. 8, no 12, pp. 4410–4414 (2008)

[40] S.J. Whang et al., “Complementary metal-oxide-semiconductor compatibleAl-catalyzed silicon nanowires: growth and the effects of surface oxidation ofAl seeding layer,” Electrochemical and Solid-State Letters, vol. 10, no. 6,pp. E11–E13 (2007)

[41] T.I. Kamins et al., “Ti-catalyzed Si nanowires by chemical vapor deposition:Microscopy and growth mechanisms,” Journal of Applied Physics, vol. 89, no. 2,pp. 1008–1016 (2001)

[42] S.J. Rathi et al., “Tin-catalyzed plasma-assisted growth of silicon nanowires,”Journal of Physical Chemistry C, vol. 115, pp. 3833–3839 (2011)

[43] B. Mandl et al., “Growth mechanism of self-catalyzed group III-V nanowires,”Nano Letters, vol. 10, pp. 4443–4449 (2010)

[44] S. Sasaki et al., “Encapsulated gate-all-around InAs nanowire field-effect tran-sistors,” Applied Physics Letters, vol. 103, pp. 213502(1–5) (2013)

[45] T. Mårtensson et al., “Epitaxial growth of indium arsenide nanowires on siliconusing nucleation templates formed by self-assembled organic coatings,”Advanced Materials, vol. 19, no. 14, pp. 1801–1806 (2007)

[46] C. Rehnstedt et al., “Vertical InAs nanowire wrap gate transistors on Sisubstrates,” IEEE Transactions on Electron Devices, vol. 55, no. 11,pp. 3037–3041 (2008)

76 Synthesis and fabrication of semiconductor nanowires

Page 93: Nanowire Transistors - CAS

[47] K. Tomioka, J. Motohisa, S. Hara, T. Fukui, “Control of InAs nanowire growthdirections on Si,” Nano Letters, vol. 8, no. 10, pp. 3475–3480 (2008)

[48] T. Tanaka et al., “Vertical surrounding gate transistors using single InAsnanowires grown on Si substrates,” Applied Physics Express, vol. 3,pp. 025003.1–3 (2010)

[49] M.R. Goulding, “The selective epitaxial growth of silicon,”Material Science andEngineering B, Solid State Materials for Advanced Technology, vol. 17, no. 1–3,pp. 47–67 (1993)

[50] W.-S. Cheong, “Optimization of selective epitaxial growth of silicon in LPCVD,”ETRI Journal, vol. 25, no. 6, pp. 503–509 (2003)

[51] F. Iacopi et al., “Seedless templated growth of hetero-nanostructures for novelmicroelectronics devices,” Materials Research Society (MRS) Proceedings,vol. 1178 (2009), http://dx.doi.org/10.1557/PROC-1178-AA04-04

[52] L.-E. Wernersson et al., “III-V nanowires – extending a narrowing road,”Proceedings of the IEEE, vol. 98, no. 12, pp. 2047–2060 (2010)

[53] L. Chen,W.Y. Fung,W. Lu, “Vertical nanowire heterojunction devices based on aclean Si/Ge interface,” Nano Letters, vol. 13, no. 11, pp. 5521–5527 (2013)

[54] C.Y. Wen et al., “Fabrication and properties of abrupt Si-Ge heterojunctionnanowire structures,” Electrochemical Society Transactions, vol. 33, no. 6,pp. 671–680 (2010)

[55] M.T. Björk et al., “One-dimensional heterostructures in semiconductor nano-whiskers,” Applied Physics Letters, vol. 80, no. 6, pp. 1058–1060 (2002)

[56] K.E. Moselund et al., “InAs–Si nanowire heterojunction tunnel FETs,” IEEEElectron Device Letters, vol. 33, no. 10, pp. 1453–1455 (2012)

[57] A. Shik et al., “Electrical properties and band diagram of InSb-InAs nanowiretype-III heterojunctions,” Journal of Applied Physics, vol. 113, pp. 104307.1–8(2013)

[58] A.W. Dey, et al., “Combining axial and radial nanowire heterostructures: radialEsaki diodes and tunnel field-effect transistors,” Nano Letters, vol. 13, no. 12,pp. 5919−5924 (2013)

[59] K. Tomioka, T. Fukui, “Recent progress in integration of III–V nanowire tran-sistors on Si substrate by selective-area growth,” Journal of Physics D: AppliedPhysics, vol. 47, no. 39, pp. 394001.1–12 (2014)

[60] L.J. Lauhon et al., “Epitaxial core-shell and core multishell nanowire hetero-structures,” Nature, vol. 420, pp. 57–61 (2002)

[61] A.B. Greytak et al., “Growth and transport properties of complementary germa-nium nanowire field-effect transistors,” Applied Physics Letters, vol. 84, no. 21,pp. 4176–4178 (2004)

[62] W. Lu et al., “One-dimensional hole gas in germanium silicon nanowire hetero-structures,”Proceedings of the National Academy of Sciences of the United Statesof America (PNAS), vol. 102, no. 29, pp. 10046–10051 (2005)

[63] J. Xiang, W. Lu, Y. Yu, Y. Wu, H. Yan, C.M. Lieber, “Ge/Si nanowire hetero-structures as high-performance field-effect transistors,” Nature, vol. 441, no. 25,pp. 489–493 (2006)

[64] S.A. Dayeh, A.V. Gin, S.T. Picraux, “Advanced core/multishell germanium/silicon nanowire heterostructures: morphology and transport,” Applied PhysicsLetters, vol. 98, no. 16, pp. 163112.1–3 (2011)

References 77

Page 94: Nanowire Transistors - CAS

[65] X. Peng, P. Logan, “Electronic properties of strained Si/Ge core-shell nano-wires,” Applied Physics Letters, vol. 96, no. 14, pp. 143119.1–3 (2010)

[66] X. Peng, F. Tang, P. Logan, “First principles study of Si/Ge core-shell nanowires– structural and electronic properties,” in Nanowires – Fundamental Research,A. Hashim (ed.) (2011), DOI: 10.5772/16298

[67] C.G. Van de Walle, J.R. Weber, A. Janotti, “Role of hydrogen at germanium/dielectric interfaces,” Thin Solid Films, vol. 517, pp. 144–147 (2008)

[68] T.E. Trammell et al., “Equilibrium strain-energy analysis of coherentlystrained core-shell nanowires,” Journal of Crystal Growth, vol. 310, no. 12,pp. 3084–3092 (2008)

[69] E. Dorne et al., “Hydrogen annealing of arrays of planar and vertically stacked Sinanowires,” Applied Physics Letters, vol. 91, pp. 233502.1–3 (2007)

[70] P.D. Cherns et al., “Electron tomography of gate-all-around nanowire transistors,”16th International Conference on Microscopy of Semiconducting Materials,Journal of Physics: Conference Series, vol. 209, pp. 012046.1–4 (2010)

[71] W. Xiong et al., “Improvement of FinFET electrical characteristics by hydrogenannealing,” IEEE Electron Device Letters, vol. 25, no. 8, pp. 541–543 (2004)

[72] T. Tezuka et al., “Observation of mobility enhancement in strained Si and SiGetri-gate MOSFETs with multi-nanowire channels trimmed by hydrogen thermaletching,” IEEE International SOI Conference Proceedings, pp. 139–140 (2006)

[73] N. Morioka, J. Suda, T. Kimoto, “Anisotropy in surface self-diffusion on Sinanowires and its impact on wire instability in hydrogen annealing,” SiliconNanoelectronics Workshop, Kyoto, Japan, pp. 91–92 (2013)

[74] H.I. Liu et al., “Self-limiting oxidation for fabricating sub-5 nm silicon nano-wires,” Applied Physics Letters, vol. 64, no. 11, pp. 1383–1385 (1994)

[75] P.-F. Fazzini et al., “Modeling stress retarded self-limiting oxidation ofsuspended silicon nanowires for the development of silicon nanowire-basednanodevices,” Journal of Applied Physics, vol. 110, pp. 033524.1–8 (2011)

[76] D.-B. Kao et al., “Two-dimensional thermal oxidation of silicon – II. Modelingstress effects in wet oxides,” IEEE Transactions on Electron Devices, vol. 35,no. 1, pp. 25–37 (1988)

[77] C.C. Büttner, M. Zacharias, “Retarded oxidation of Si nanowires,” AppliedPhysics Letters, vol. 89, pp. 263106(1–3) (2006)

[78] X. Shi et al., “Review of silicon nanowire oxidation,” ECS Transactions, vol. 34,no. 1, pp. 535–540 (2011)

[79] U. Khalilov et al., “Self-limiting oxidation in small-diameter Si nanowires,”Chemistry of Materials, vol. 24, pp. 2141−2147 (2012)

[80] E.J. Boyd, D. Uttamchandani, “Measurement of the anisotropy of Young’smodulus in single-crystal silicon,” Journal of Microelectromechanical Systems,vol. 21, no. 1, pp. 243–249 (2012)

[81] J.J. Wortman, R.A. Evans, “Young’s modulus, shear modulus, and Poisson’sratio in silicon and germanium,” Journal of Applied Physics, vol. 36, no. 1,pp. 153–156 (1965)

[82] Y. Zhu et al., “Mechanical properties of vapor-liquid-solid synthesized siliconnanowires,” Nano Letters, vol. 9, no. 11, pp. 3934–3939 (2009)

[83] X. Han et al., “Low-temperature in situ large-strain plasticity of silicon nano-wires,” Advanced Materials, vol. 19, no. 16, pp. 2112–2118 (2007)

78 Synthesis and fabrication of semiconductor nanowires

Page 95: Nanowire Transistors - CAS

[84] S.M. Cea et al., “Process modeling for advanced device technologies,” Journal ofComputational Electronics, vol. 13, pp. 18–32 (2014)

[85] P. Alekseev et al., “Measurement of Young’s modulus of GaAs nanowiresgrowing obliquely on a substrate,” Semiconductors, vol. 46, no. 5, pp. 641–646(2012)

[86] B. Lee, R.E. Rudd, “First-principles study of the Young’s modulus of Si <001>nanowires,” Physical Review B, vol. 75, pp. 041305(1–4) (2007)

[87] P.W. Leu, A. Svizhenko, K. Cho, “Ab initio calculations of the mechanical andelectronic properties of strained Si nanowires,” Physical Review B, vol. 77,pp. 235305(1–14) (2008)

[88] K.E. Petersen, “Silicon as a mechanical material,” Proceedings of the IEEE,vol. 70, no. 5, pp. 420–456 (1982)

[89] T. Ando et al., “Effect of crystal orientation on fracture strength and fracturetoughness of single crystal silicon,” Proceedings 17th IEEE InternationalConference on Micro Electro Mechanical Systems (MEMS), pp. 177–180 (2004)

[90] K. Kang, W. Cai, “Size and temperature effects on the fracture mechanisms ofsilicon nanowires: molecular dynamics simulations,” International Journal ofPlasticity, vol. 26, pp. 1387–1401 (2010)

[91] H. Sadeghian et al., “On the size-dependent elasticity of silicon nanocantilevers:impact of defects,” Journal of Physics D: Applied Physics, vol. 44,pp. 072001.1–6 (2011)

[92] H. Schlötterer, “Mechanical and electrical properties of epitaxial silicon films onspinel,” Solid-State Electronics, vol. 11, no. 10, pp. 947–956 (1968)

[93] T. Sato et al., “CMOS/SOS VLSI Technology,” in Comparison of Thin-filmTransistor and SOI Technologies, H.W. Lam, M.J. Thompson (eds.), MaterialsResearch Society Symposium Proceedings, vol. 33, pp. 25–34 (1984)

[94] M. Chu et al., “Strain: a solution for higher carrier mobility in nanoscaleMOSFETs,” Annual Review of Materials Research, vol. 39, pp. 203–229 (2009)

[95] K. Rim, A. Grill, H.S.P Wong, “Strained Si NMOSFETs for high performanceCMOS technology,” Symposium on VLSI Technology Digest of Technical Papers,pp. 59–60 (2001)

[96] J.L. Hoyt et al., “Strained silicon MOSFET technology,” Technical Digest of theIEEE International Electron Device Meeting (IEDM), pp. 23–26 (2002)

[97] S.E. Thompson et al., “A 90-nm logic technology featuring strained-silicon,”IEEE Transactions on Electron Devices, vol. 51, no. 11, pp. 1790–1797 (2004)

[98] V. Chan et al., “Strain for CMOS performance improvement,” Proceedings of theIEEE Custom Integrated Circuits Conference, pp. 667–674 (2005)

[99] F. Andrieu et al., “Strain and channel engineering for fully depleted SOIMOSFETs towards the 32 nm technology node,” Microelectronic Engineering,vol. 84, no. 9–10, pp. 2047–2053 (2007)

[100] M.V. Fischetti, S.E. Laux, “Band structure, deformation potentials, and carriermobility in strained-Si, Ge, and SiGe alloys,” Journal of Applied Physics, vol. 80,pp. 2234–2252 (1996)

[101] M.V. Fischetti, F. Gámiz, W. Hänsch, “On the enhanced electron mobilityin strained-silicon inversion layers,” Journal of Applied Physics, vol. 92,pp. 7320–7324 (2002)

References 79

Page 96: Nanowire Transistors - CAS

[102] Y.M. Niquet, C. Delerue, C. Krzeminski, “Effects of strain on the carrier mobilityin silicon nanowires,” Nano Letters, vol. 12, pp. 3545–3550 (2012)

[103] Y.M. Niquet, C. Delerue, “Carrier mobility in strained Ge nanowires,” Journal ofApplied Physics, vol. 112, pp. 084301.1–4 (2012)

[104] M. Cassé et al., “Strain-Enhanced Performance of Si-Nanowire FETs,”Electrochemical Society Transactions, vol. 53, no. 3, pp. 125–136 (2013)

[105] J.P. Raskin et al., “Mobility improvement in nanowire junctionless transistors byuniaxial strain,” Applied Physics Letters, vol. 97, pp. 042114.1–3 (2010)

[106] M.P. Persson et al., “Charged impurity scattering and mobility in gated siliconnanowires,” Physical Review B, vol. 82, pp. 115318.1–8 (2010)

[107] Y.M. Niquet, H. Mera, C. Delerue, “Impurity-limited mobility and variabilityin gate-all-around silicon nanowires,” Applied Physics Letters, vol. 100,pp. 153119.1–4 (2012)

80 Synthesis and fabrication of semiconductor nanowires

Page 97: Nanowire Transistors - CAS

4 Quantum mechanicsin one dimension

4.1 Overview

Solid-state physics is primarily concerned with the quantum mechanics of bulkmaterials and surfaces. Molecular physics and quantum chemistry are similarly theapplication of quantum mechanics to molecular problems. Bulk materials may bedescribed as three-dimensional objects, and their spatial dimensions have a significantinfluence on the allowed solutions for quantum mechanical energy states or levels.These quantum mechanical levels in three dimensions give rise to electronic bandstructures which are commonly used to define a material as a metal, insulator, orsemiconductor. Energy bands are formed from quantum mechanical states that arenearly continuous in energy. If the states that comprise a band are only partially filledwith electrons, a metal is formed. For a fully occupied band separated by a relativelysmall energy gap, a semiconductor is the result. If the energy gap between a filledband and an empty band is large, the material is described as an insulator. Moleculesare zero-dimensional objects with vanishing of the wave function in all three spatialdirections and the bound electrons do not propagate. This gives rise to a discreteenergy spectrum that is characteristic of molecules; the spacing between energy levelsis large and there is no corresponding band picture of the electronic spectrum.

Modern epitaxial growth, lithography, chemical synthesis, self-assembly, and scan-ning probe techniques allow for the fabrication of material systems that are intermediatein dimensionality to solids and molecules. When electrons or holes are confined in asingle direction and are free to propagate in two directions, a two-dimensional electronor hole gas (2DEG or 2DHG) is formed. If electrons or holes are confined in twodimensions and electrons or holes are free to move in a single spatial direction, ananowire or one-dimensional (1D) structure is formed. In the following, quantummechanics is introduced with a focus on the physics of 1D or nanowire structures withemphasis on the concepts relevant to engineering transistor structures on the nanoscale.

4.2 Survey of quantum mechanics in 1D

Quantum mechanics relies on the use of state vectors to describe a physical system andoperators are used to determine physical properties that are measurable. In quantummechanics, the systems that are subject to measurement are of the same scale as the

Page 98: Nanowire Transistors - CAS

smallest experimental probes that can be devised. Hence the act of measurementperturbs the state of a system in a non-negligible fashion and limits the amount ofinformation that can be extracted from a state vector. The fact that an arbitrarily precisemeasurement cannot be extracted from a quantum system is highlighted by the famousHeisenberg position-momentum uncertainty principle,

Δx Δp ≥ℏ=2; ð4:1Þ

which states that the uncertainty in a position measurement x times the uncertainty in amomentum measurement p is greater than or equal to Planck’s constant h divided by 4π,where the constant “h bar” is given by ħ = h/2π. Planck’s constant is the fundamentalphysical constant that sets the scale on which quantum mechanical phenomena areimportant and is given in units of action, or energy × time.

4.2.1 Schrödinger wave equation in one spatial dimension

To understand the applications of quantum mechanics in subsequent chapters, theSchrödinger equation is considered for an electron with mass m constrained tomove in one dimension in a potential energy described by a spatially varyingfunction UðxÞ where the position of the electron satisfies –∞ < x < + ∞. In other words,the electron can be found anywhere along a 1D line. According to the Schrödingerformulation of quantum mechanics, the state vector is described by a wave function inthe position representation ψ(x,t) at time t which is given by the solution of the waveequation

� ℏ2

2me

∂2

∂x2þ UðxÞ

" #ψðx; tÞ ¼ iℏ

∂∂tψðx; tÞ: ð4:2Þ

The differential operator acting on the wave function on the left-hand side of Eq. (4.2),

H ¼ � ℏ2

2me

∂2

∂x2þ UðxÞ; ð4:3Þ

is known as the energy operator or Hamiltonian, and is given by the sum of the kineticenergy and potential energy terms. Thus in quantum mechanics the kinetic energy isgiven in one spatial dimension by the second-order differential operator

T ¼ � ℏ2

2me

∂2

∂x2: ð4:4Þ

As the Hamiltonian represents the energy of the system, the time derivative of the wave

function iℏ∂∂t

is identified as the energy of the system at time t.

The probability density ϱ of finding an electron at position x and at time t is related tothe wave function by

82 Quantum mechanics in one dimension

Page 99: Nanowire Transistors - CAS

ϱðx; tÞ ¼ ψ�ðx; tÞψðx; tÞ: ð4:5Þ

However, in technology applications and charge transport problems it is more conve-nient to refer to the charge probability density; this refers to the probability densitymultiplied by the unit electron charge q:

ρðx; tÞ ¼ qψ�ðx; tÞψðx; tÞ: ð4:6Þ

The charge probability density is commonly referred to as simply the “charge density” inanalogy to a continuous charge distribution in classical electromagnetic theory.

The Schrödinger equation, Eq. (4.2), is a linear differential equation and hence thewave function ψ is determined up to an arbitrary multiplicative constant, or normal-ization. Requiring the probability of finding an electron anywhere at a given time t to beunity specifies the normalization of the wave functionðþ∞

�∞ψ�ðx; tÞψðx; tÞdx ¼ 1: ð4:7Þ

4.2.2 Electron current in quantum mechanics

The probability of finding an electron somewhere in the one-dimensional space is aconstant, but the probability density for finding an electron in a given region can changewith time. Hence a continuity equation for the charge density follows from Eq. (4.2) as

∂∂tρðx; tÞ þ ∂

∂xjðx; tÞ ¼ 0; ð4:8Þ

where jðx; tÞ denotes the charge probability current density, which is found fromEqs. (4.2) and (4.6) to be

jðx; tÞ ¼ qℏ2ime

ψ�ðx; tÞ ∂∂x

ψðx; tÞ � ψðx; tÞ ∂∂x

ψ�ðx; tÞ� �

: ð4:9Þ

The charge current density specifies the probability of charge flowing in or out of aregion per unit time, and hence the identification as the electronic current density and, in1D, the electron current and the electron current density are equal. The charge densitycan be thought as the diagonal of the “density matrix,” which is defined for a singleelectron in a pure state as

ρðx; x0; tÞ ¼ qψðx; tÞψ�ðx0; tÞ: ð4:10Þ

In the general formulation of quantum mechanics, the density matrix is useful todescribe sub-systems, mixed states and for the definition of entropy, in the context ofthe present discussion it allows the charge current to be written in terms of the “off-diagonals” of the density matrix

4.2 Survey of quantum mechanics in 1D 83

Page 100: Nanowire Transistors - CAS

jðx; tÞ ¼ qℏ2ime

∂∂x

� ∂∂x0

� �ρðx; x0; tÞjx¼x0 ; ð4:11Þ

where the differential operator acts prior to setting x ¼ x0. If the energy E of a system is

constant, the wave function is separable in space and time:

ψðx; tÞ ¼ e�iEt=ℏψðxÞ: ð4:12Þ

This allows the time-independent Schrödinger equation to be written as

� ℏ2

2me

∂2

∂x2þ UðxÞ

" #ψðxÞ ¼ EψðxÞ; ð4:13Þ

or in terms of the Hamiltonian energy operator H, it may be simply expressed as

HψðxÞ ¼ EψðxÞ: ð4:14Þ

The time-independent Schrödinger equation is seen to be an eigenvalue problem forthe differential operator H with eigenfunctions ψ and eigenvalues E. The eigenvaluesand eigenfunctions determine the allowed energy levels and their associated electroniccharge distributions can be determined using Eq. (4.6). Note that by using the separableform of the wave function as defined by Eq. (4.12), the charge density, density matrix,and current density likewise become time independent.

4.2.3 Quantum mechanics in momentum space

This introduction to quantum mechanics focuses on the position representation of theSchrödinger equation; however, there are other forms or representations in which theequations of quantum mechanics may be expressed. A form that can be useful forelectron scattering and charge transport is the momentum representation. A momentumspace representation for the (time-independent) wave function is given as the Fouriertransform of the wave function in the position representation

φðpÞ ¼ 1ffiffiffiffiffiffiffiffi2πℏ

pðþ∞

�∞ψðxÞe�ipx=ℏdx: ð4:15Þ

Hence the momentum and position are conjugate variables for the Fourier transform.Similarly, use of the Fourier transform can be made to rewrite the time-independentSchrödinger Eq. (4.13) as

p2

2meþðþp0

�p0uðp� p0Þdp0

" #φðpÞ ¼ EφðpÞ ð4:16Þ

in momentum space. To obtain this form, the property of the Fourier transform

84 Quantum mechanics in one dimension

Page 101: Nanowire Transistors - CAS

ℱp∂∂x

ψðxÞ� �

¼ 2πiℏ

pφðpÞ ð4:17Þ

was used to rewrite the kinetic energy term. The Fourier transform of a product of twofunctions is a convolution, which leads to the expression for the potential energy inmomentum space. Equation (4.17) allows us to identify the momentum operator inposition space as

p ¼ �iℏ∂∂x

; ð4:18Þ

whereas in momentum space the momentum operator leads to multiplication by p. Thislatter fact allows for the quantum mechanical kinetic energy operator to be identified asT ¼ p2=2m in analogy to the classical kinetic energy. From the momentum representa-tion, it is found that a potential functionUðxÞ local in position space becomes a non-localpotential in momentum space. Conversely, a local potential function uðpÞ in momentumspace becomes a non-local potential function in position space.

4.3 Momentum eigenstates

An electron propagating in vacuum in the absence of external electric or magnetic fieldsis referred to as a free electron. In this case, the Schrödinger equation takes the form

TψðxÞ ¼ � ℏ2

2me

∂2

∂x2ψðxÞ ¼ EψðxÞ: ð4:19Þ

Two solutions can be readily found, ψ�k ¼ expð� ikxÞwhere p ¼ ℏk with k known asthe wave number (in 2D and 3D, k is a vector) with corresponding energy eigenvalues

E ¼ ðℏkÞ2=2me. The Schrödinger equation is a linear differential equation for the casesstudied here and the general solution to Eq. (4.19) is

ψðxÞ ¼ Aeþikx þ Be�ikx; ð4:20Þ

where the constants A and B must be determined by specifying boundary conditions.Immediately it is seen that specifying the value of the wave function at�∞ is ambiguousdue to the oscillatory character of the complex exponential. However, it is possible tospecify that the electron be in a pure momentum eigenstate by noting that

pψðxÞ ¼ �iℏ∂∂x

eþikx ¼ þℏk eþikx ð4:21Þ

describes a single electron with an exactly defined momentum p ¼ þℏk in the positivedirection. Similarly,

4.3 Momentum eigenstates 85

Page 102: Nanowire Transistors - CAS

pψðxÞ ¼ �iℏ∂∂x

e�ikx ¼ �ℏke�ikx ð4:22Þ

describes an electron with a momentum p ¼ �ℏk propagating in the opposing direction.Hence it is seen that the solutions ψ�k are eigenstates of the momentum operator.

It is worthwhile at this point to recall the Heisenberg uncertainty relation for positionand momentum, and to reconsider the wave function normalization for momentumeigenstates. In Fig. 4.1, the real and imaginary components for the momentum eigenstatewith þk are displayed. Consistent with the Heisenberg uncertainty relation there is aconsequence of sharply defining the momentum: the electron is completely delocalizedthroughout the 1D space. As a result, using Eq. (4.7) to determine the wave functionnormalization for a momentum eigenstate is impossible as the integral diverges over allspace. There are two common conventions for plane wave normalization. The first isreferred to as continuous normalization and in this convention the wave function iswritten

ψkðxÞ ¼1ffiffiffiffiffi2π

p eikx: ð4:23Þ

The normalization condition then becomes

kx / 2π−1 0

cos(kx)sin(kx)

Ψ∗Ψ1

0

−1

1

Figure 4.1 Real ℜ½ψ� ¼ cosðkxÞ and imaginary Á½ψ� ¼ sinðkxÞ components of a momentum eigenstateand the associated charge density ρ½ψ�;ψ�. The momentum eigenvalue is defined exactly resultingin the wave function being delocalized over all space. The charge density for a plane wave state isuniform.

86 Quantum mechanics in one dimension

Page 103: Nanowire Transistors - CAS

ðþ∞

�∞ψ�k0 ðxÞψkðxÞdx ¼

1

ðþ∞

�∞eiðk�k

0 Þxdx ¼ δðk � k0 Þ ð4:24Þ

where δðk � k0 Þ is a Dirac delta function. Continuous normalization can be convenient

for scattering problems; however, in technology applications it may be preferable toconsider a given charge density on a finite region of space and therefore “box normal-ization” is useful. In this case, the wave function is only considered on a finite interval½0; L�. For a single electron confined on this interval the normalization condition can bewritten ðL

0ψ�ðxÞψðxÞ dx ¼ 1; ð4:25Þ

leading to a wave function ψkðxÞ ¼ expðikxÞ= ffiffiffiL

pand a constant charge density on the

interval of ρðxÞ ¼ q=L. To ensure orthogonality between wave functions with differentwave numbers, it is also necessary to quantize the wave numbers on the finite regionsuch that kn ¼ 2πn=L with n ¼ 0;�1;�2; . . . yielding a discrete set of states. Thisform of the wave vector is achieved through Born–von Kármán boundary conditionswhere it is assumed that the wave function is periodic by mathematically wrapping theend points of the interval onto each other. The periodic boundary condition is distinct toquantization on a finite region with confining potentials where the particle-in-a-boxsolution arises; both boundary conditions will subsequently be applied to the differentphysical models encountered as appropriate. In either case when treating large systems,it is often useful to take the limit L ¼ ∞ at the end of a calculation. In many technologyapplications, device or scattering regions are typically finite in extent and the quantiza-tion of the wave number is characteristic of finite systems; in many cases non-periodicboundary conditions will be applicable, or a combination of boundary conditionsconfining a particle in one or two dimensions will be used when a particle is free topropagate in either two or a single spatial dimension(s), respectively.

Returning to Eq. (4.20) and the selection of the coefficients A and B for a given set ofboundary conditions, one possibility is to select a momentum eigenstate with wavenumber þk incoming at x ¼ 0 with positive momentum resulting in the selection

A ¼ 1=ffiffiffiL

pand B ¼ 0. An alternative suggestion is to select a momentum eigenstate

entering the scattering region at L with wave number –k and negative momentum. In

this case the coefficients are chosen to be A ¼ 0 and B ¼ 1=ffiffiffiffiL:

pIt is worthwhile

observing that these two solutions are related to one another through time reversalsymmetry, t→� t: From the time-dependent Schrödinger equation, time reversal canbe shown to be equivalent to the transformation ψ→ψ�, or in the momentum representa-tion φðpÞ→φð�pÞ:

The two solutions selected for Eq. (4.20), A ¼ 1=ffiffiffiL

p, B ¼ 0 and A ¼ 0, B ¼ 1=

ffiffiffiL

pare related to each other through time reversal and with opposing momenta�ℏk as seenfrom Eqs. (4.21) and (4.22). The electronic current, remembering that current and

4.3 Momentum eigenstates 87

Page 104: Nanowire Transistors - CAS

current density are equivalent in 1D, is readily found from Eq. (4.9) and for the time-independent momentum eigenstates the current is

I ¼ � qmeL

ℏk: ð4:26Þ

The relation can be written in a familiar form by recalling that velocity is related tomomentum as v ¼ p=m ¼ ℏk=m and that the charge density is ρðxÞ ¼ q=L or, inter-preted classically, the charge at a given point in space is q=L. Hence the current relationEq. (4.26) is the quantummechanical analogy to the classical relationship that electroniccurrent is the local charge × velocity.

Returning again to the free electron solution, Eq. (4.20), a constraint on the solutioncan be imposed that the wave function is invariant under time reversal symmetry, acondition expressed as ψ→ψ as t→� t: A solution satisfying time reversal symmetry

and the box normalization condition is A ¼ �B ¼ �i=ffiffiffiffiffiffi2L

p, which leads to a real wave

function

ψkðxÞ ¼ffiffiffiffiffiffiffiffi2=L

psin ðkxÞ: ð4:27Þ

Equation (4.27) is recognized formally as the eigenfunction for the “particle-in-a-box”problem for which the boundary conditions are normally specified as the vanishing of thewave function at x ¼ 0 and x ¼ L. In this case, the quantization conditions for k differbetween Born–von Kármán and “particle-in-a-box” boundary conditions leading to awave vector in the latter case satisfying kn ¼ πn=L with n = 1, 2, 3,. . .. The quantummechanical current for the wave function Eq. (4.27) is I ¼ 0 and it can be shown that thecurrent calculated from any real wave function will be zero; this is also true of any wavefunction that can be made real by a complex rotation expðiθÞ. It follows that to have acurrent-carrying state on a finite region, it is necessary to introduce boundary conditionsthat break time reversal symmetry, or what are otherwise known as open systemboundary conditions [1].

The energy for a free electron in Eq. (4.19) is E ¼ ðℏkÞ2=2me and a plot of the energyversus wave number k or energy dispersion is shown in Fig. 4.2. The parabolic relation-ship between energy and momentum is characteristic of a free electron and this relation-ship will be made use of when defining effective masses for “quasi-free” charge carriers.

4.4 Electron incident on a potential energy barrier

A standard problem when introducing the quantum mechanical theory of scattering isthe treatment of an electron incident onto a piecewise linear potential energy barrier. A“rectangular barrier” as shown in Fig. 4.3(a) is often considered to introduce the conceptof quantum mechanical tunneling; here the related problem of electron transmission at a“step potential” as depicted in Fig.4.3(b) is examined. In contrast to the case of arectangular barrier where the incident and transmitted electrons see the same potential

88 Quantum mechanics in one dimension

Page 105: Nanowire Transistors - CAS

for regions far away from the center of the scattering region (usually taken to beU ¼ 0),for the step potential there is a difference in potential between the incident and trans-mitted electrons which is more representative of the boundary conditions applied to atransistor channel where the source and drain regions are held at different voltages. Aslightly better approximation to a physical device is the case of a linear ramp voltage asdepicted in Fig. 4.3(c), where the voltage drop along a channel region is approximated asa linear voltage or constant electric field; this case is studied in detail in [2]. The steppotential is presented here as the essential features of the scattering problem are providedand introduces the concept of scattering states needed in the description of chargetransport in nanometer-scale transistor structures.

In the following, it is convenient to consider a scattering region ½�L=2;þL=2� and toplace the potential step at x ¼ 0. The step potential is described by

UðxÞ ¼ 0 x < 0;U x > 0:

ð4:28Þ

A general solution of the 1D Schrödinger equation with the potential Eq. (4.28) is

ψðxÞ ¼ Aeþikx þ Be�ikx x < 0;

Ceþik0x þ De�ik

0x x > 0;

ð4:29Þ

and continuity of the wave function is ensured by requiring Aþ B ¼ C þ D: Thefact that energy eigenvalue is a constant independent of where the electron is locatedimplies

Wave vector [2π/a]

−40

2

4

Ene

rgy

[h2 /

2ma

2 ]

6

8

−3 −2 −1 0 1 2 3 4

Figure 4.2 Free electron dispersion: parabolic energy vs. wave number characteristic of free or“quasi-free” electrons.

4.4 Electron incident on a potential energy barrier 89

Page 106: Nanowire Transistors - CAS

k ¼ffiffiffiffiffiffiffiffiffiffiffiffi2me

ℏ2 E

rand k

0 ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2me

ℏ2 ðE � U

rÞ; ð4:30Þ

indicating that the electron momentum and velocity change across the regions where thepotential energy is changing value, as is true for classical mechanics.

Consider an electron incoming from the left in Fig. 4.3(b). The electron can bebackscattered or transmitted through to the region x > 0. However, as there is no furtherscattering potential in the region x > 0, the electron cannot reverse direction and have an

(c)

(b)

(a)

Figure 4.3 Electrons incident on a potential barrier. (a) Rectangular potential – describes as a firstapproximation a device with a gate bias applied at zero drain–source voltage. (b) Step potential –the difference in energy between left and right corresponds to application of a drain–sourcevoltage. The discontinuous jump in voltage at x ¼ 0 does not represent well the voltage profile in achannel. However, the model is useful for considering the implications of non-zero drain–sourcevoltage, and is useful for investigating the asymmetric scattering between source and drainelectrons. (c) Ramp potential – the linear voltage profile in the channel represents a betterapproximation to the channel voltage in the absence of a gate electric field. The scattering problemin this case is described in detail in [2].

90 Quantum mechanics in one dimension

Page 107: Nanowire Transistors - CAS

incoming component from the right. Hence from physical boundary conditions wecan ascertain for a single incoming electron that A≠ 0 and D ¼ 0. If the incomingelectron flux is chosen such that the charge density on the scattering region is ρ ¼ 1=Lwhen U ¼ 0 (on average, one electron on ½�L=2;þL=2� in the absence of scattering),

then A ¼ 1=ffiffiffiL

p. From the continuity condition and imposing that the first derivative of

the wave function be continuous leads to

Aþ B ¼ C;ðA� BÞk ¼ C k

0:

ð4:31Þ

From Eq. (4.31) the two coefficients B and C can be deduced:

BA¼ k � k

0

k þ k 0 ≡rl;

CA¼ 2k

k þ k 0 ≡tl; ð4:32Þ

with rl and tl defined as the reflection and transmission scattering amplitudes, respec-tively, for a plane wave incident on the step potential barrier from the left. Thesecoefficients are defined relative to the incoming electron flux normalization coefficientA. The resulting solution is known as a scattering wave function

ψlðxÞ ¼Aðeþikx þ rle�ikxÞ x < 0;

Atleþik0x x > 0

ð4:33Þ

The same form for a scattering wave function is obtained for an electron incidentfrom the right, but now the electron experiences a potential drop as it traversesfrom right to left. The reflection and transmission coefficients take on differentvalues in this case, and determining their values is left as an exercise for thereader.

Using the expression Eq. (4.11) for the electron current, and recalling that current andcurrent density are the same in one dimension, the electron current can be calculated tothe left of the potential step to obtain

I ¼ jðx < 0Þ ¼ qℏmeL

ð1� jrlj2Þk; ð4:34Þ

where the incoming wave function normalization has been chosen to be A ¼ 1=ffiffiffiL

p.

Similarly, the current on the right-hand side of the step barrier is found to be

I ¼ jðx > 0Þ ¼ qℏmeL

jtlj2k 0: ð4:35Þ

Current conservation implies

ð1� jrlj2Þℏk ¼ jtlj2ℏk 0: ð4:36Þ

4.4 Electron incident on a potential energy barrier 91

Page 108: Nanowire Transistors - CAS

This equation relates the scattering amplitudes rl and tl that determine the scatteringwavefunction. The left-hand side of Eq. (4.36) represents the incoming momentum of a planewave being partially cancelled by the reflected component of the electron’s momentum dueto the presence of the potential barrier. Relabeling the reflected component of the incoming

momentum asRl ¼ jrlj2, which gives the probability that an electron is reflected, then thetransmitted fraction of the incoming momentum can be defined as

ð1� RlÞℏk ¼ Tlℏk; ð4:37Þ

with a transmission probability defined as

Tl ¼ k0

kjtlj2: ð4:38Þ

This allows current conservation to be expressed concisely as

Rl þ Tl ¼ 1; ð4:39Þ

and likewise the current is readily expressed in terms of the incomingmomentum and thetransmission as

I ¼ qℏmeL

Tlk: ð4:40Þ

Although not explicitly expressed as such, transmission is a function of both theincoming electron energy and the height and shape of the potential barrier. Equation(4.40) is a fundamental relationship, relating electronic current to transmission and to theboundary conditions; in this example, the flux normalization and momentum of theincoming plane wave.

4.5 Electronic band structure

Nanowires are strictly speaking not one-dimensional objects: even an atomic chainhas two spatial dimensions normal to the chain axis. However, many of the proper-ties of nanowires can be understood by considering electrons as though they areconfined to one spatial dimension. Later in this chapter, the effect of including thetwo additional degrees of freedom normal to a nanowire’s principal axis and theeffects of quantum confinement will be considered. But to begin discussion of bandstructures in nanowires, the simpler problem of a chain of “atoms” in strictly onespatial dimension is studied. In this model, atoms are spaced at a distance a and, inanalogy with two- and three-dimensional crystal structures, a is labeled the latticespacing. Due to the construction of the model, it is inherent that the potential seen byan electron arising due to the nuclei and charge cloud of the “other” electrons satisfiesUðxþ aÞ ¼ UðxÞ. This is certainly true for an infinite crystal, but real materials are finitein extent and have surfaces. To avoid considering the effects of a surface is one of the

92 Quantum mechanics in one dimension

Page 109: Nanowire Transistors - CAS

reasons to introduce the Born–von Kármán boundary conditions previously mentioned.The idea is to introduce a finite number of atomsN creating a chain of length L ¼ Na andthen introduce periodic boundary conditions. In essence, this is equivalent to wrappingthe atomic chain onto a ring and assuming that for large enough N the local curvaturedoes not deviate significantly from that of a linear chain. This choice of boundarycondition introduces an additional symmetry to the potential Uðxþ LÞ ¼ UðxÞ. In thelimitN ; L→∞ an infinite linear atomic chain model with periodic boundary conditions isobtained.

4.5.1 Brillouin zone

As a first approximation, the atomic structure and lattice spacing is ignored, or in otherwords the potential is initially selected to be U ¼ 0, and the solution of the Schrödingerequation in the one-dimensional space becomes the plane wave solutions alreadyencountered

ψknðxÞ ¼1ffiffiffiL

p eiknx; ð4:41Þ

with the periodicity L giving rise to the quantization of the wave vector

kn ¼ 2πnL

where n ¼ 0;�1;�2;�3;…: ð4:42Þ

The result Eq. (4.42) was taken as defined on a finite region and it is now seen that thequantization condition for the wave vector implies periodicity on L. The atomic latticespacing can be re-introduced by insisting that the length is an integer multiple of thelattice spacing L ¼ Na, although for the time being the potential is assumed to beU ¼ 0.The wave vector can be rewritten

kn ¼ Gm þ k: ð4:43Þ

Labeling a new integer m ¼ Intð2n=NÞ, and recalling that the integer n may be zero,positive, or negative, implies that likewise m ¼ 0;�1;�2;�3;…. The first term in Eq.(4.43) is called the reciprocal lattice number and may be expressed as

Gm ¼ m2πa: ð4:44Þ

It is straightforward to show that

� πa≤ k ≤ þ π

a: ð4:45Þ

Due to the periodicity of the wave function, replacing kn→k in Eq. (4.41) leaves thevalue of the wave function, and hence other properties, unchanged. Equation (4.45)defines the first Brillouin zone in a one-dimensional lattice and plays a special role in the

4.5 Electronic band structure 93

Page 110: Nanowire Transistors - CAS

theory of electronic band structures. Any wave vector such that jkj > π=a can bemappedback to the first Brillouin zone by the transformation

k ← k � Gm; ð4:46Þ

allowing for a scheme representing the simple parabolic band structure given in Fig. 4.2within the first Brillouin zone. In Fig. 4.4, the free electron’s dispersion or energy versuswave vector curve is plotted in a reduced zone scheme with the wave numbers mappedback into the first Brillouin zone using Eq. (4.46), and the energy becomes multi-valuedfor each value of k:

E mk ¼ ℏ2

2meðk þ GmÞ2: ð4:47Þ

4.5.2 Bloch wave functions

A point to be made about the electron dispersion is that although the points at the edge ofthe first Brillouin zone reflect the symmetry due to the lattice spacing, the plane wavesolutions at an arbitrary value of k do not. For the present discussion, the periodicity ofthe Brillouin zone has been chosen to reflect the spacing of the atoms in a linear chain.The effect of a periodic potential Uðxþ aÞ ¼ UðxÞ arising from the atoms at the latticepoints on the form of the electronic wave functions is considered next. For a non-zeroand varying potential, it is seen immediately that the plane wave solutions are no longersolutions to the Schrödinger wave equation and the parabolic dispersion relating theenergy to the wave vector will no longer hold. However, due to the periodic form for thepotential, wave functions satisfying the condition

Wave vector [2π/a]

3

2

1

0–0.5 0.0 0.5

En

erg

y [h

2 ⁄ 2m

a2 ]

Figure 4.4 Free electron energy dispersion in the reduced zone scheme: the energy band diagram becomesmapped back to the first Brillouin zone and the energy becomes multi-valued at a given k-point.

94 Quantum mechanics in one dimension

Page 111: Nanowire Transistors - CAS

ψðxþ aÞ ¼ CψðxÞ ð4:48Þ

are sought with C a constant. Recalling that the Born–von Kármán boundary conditionsrequire that ψðxþ LÞ ¼ ψðxÞ and L ¼ Na, implies that

ψðxþ LÞ ¼ CNψðxÞ: ð4:49Þ

This requires that CN ¼ 1 and is satisfied by C ¼ expði2πn=NÞ with n ¼ 0;�1;�2;….Periodicity of the wave function is then maintained for any solution that satisfies

ψðxÞ ¼ ukðxÞ exp ði2πnx=NaÞ; ð4:50Þ

as x=a is an integer by construction at atomic lattice points. An additional requirement

ukðxþ aÞ ¼ ukðxÞ ð4:51Þ

is imposed to ensure that Eq. (4.48) is maintained. Rewriting Eq. (4.50) allows the wavefunction to be expressed in the Bloch form as

ψkðxÞ ¼ ukðxÞ exp ðikxÞ: ð4:52Þ

A Bloch wave function is the product of a function symmetric in the lattice spacingand a plane wave component. As the plane wave component is not required to have thesymmetry of the underlying lattice, the overall wave function for an arbitrary value ofthe wave number does not reflect the lattice symmetry. The Bloch form is suggestive inthat the wave function is given by a plane wave solution modulated by a function that islattice periodic. It is straightforward to show that the lattice periodic term in the wavefunction satisfies a Schrödinger-like equation

ℏ2

2me�i

ddx

þ k

� �2

þ UðxÞ" #

ukðxÞ ¼ EkukðxÞ: ð4:53Þ

The plane wave component of the Bloch function acts as a “boost” to the momentumoperator p→pþ ℏk and hence the wave number is associated with a “crystal momen-tum.” To understand the energy bands that result from the above equations in more detailand to determine a band structure for a simple physical model of an atomic chain, thetight binding approximation is introduced next.

4.6 LCAO and tight binding approximation

4.6.1 Linear combination of atomic orbitals (LCAO)

A common means for numerically solving the Schrödinger equation is to intro-duce the linear combination of atomic orbitals (LCAO) approximation [3]. Whensolving the Schrödinger equation of the hydrogen atom, the spherical symmetry of

4.6 LCAO and tight binding approximation 95

Page 112: Nanowire Transistors - CAS

the atom gives rise to a set of electronic states that can be categorized by theirprincipal quantum number n, angular momentum number l, magnetic quantum numberml, and spin quantum number ms [4]. Each of these single-electron states can be labeledas an electron orbital, magnetic effects will not be considered, so only the quantumnumbers ðn; l;msÞ will be needed. Similarly for a general description of atoms, electronorbitals can be generated as single-electron states that are found by treating all otherelectrons in an atom by a mean field approximation. A set of single-electron states orhydrogen-like orbitals can be computed. These states are typically categorized innumerical calculations using spectroscopic notation for angular momentum as s-typefor l ¼ 0, p-type for l ¼ 1, d-type for l ¼ 2, and so forth. These single-electron states arethose that are used to define the electronic configurations for atoms and their occupan-cies are given by the Aufbau principle. The LCAO uses these atomic orbitals to buildsolutions for molecular and solid state electronic structures. The wave function ψnð~rÞforthe nth electronic state is expanded in terms of a set ofm atomic orbitals φnij placed at theith atomic position~Ri as

ψnð~rÞ ¼XN

i¼1

Xm

j¼1cnijφijð~r �~RiÞ; ð4:54Þ

which expresses the LCAO in equation form. All the information about the nth eigen-function is contained within the expansion coefficients cnij. The number of orbitals peratomic site m determines the quality of an approximation. In selecting a set of orbitals aminimum basis would be a single s-type orbital and three p-type orbitals to describesilicon’s valence electron structure of [Ne]4s24p1x4p

1y , and by convention a “minimal

basis set” is a single atomic orbital for each angular momentum state occupied in theatom. By adding additional atomic orbitals per atomic site, the approximation can beimproved. Atomic orbitals with angular momenta higher than that occupied in the atomare referred to as polarization functions and add to the variational freedom needed todescribe chemical bonding in solids and molecules. Indeed for treating silicon’s con-duction band, it is found necessary to introduce polarization functions whichprovide additional flexibility to the trial wave function by adding excited s-type states(denoted s� [5]) or through the addition of functions with higher angular momentum(d; f ; g;…). As an alternative to the use of a localized basis, such as atomic orbitals, theproblem may be formulated in terms of a plane wave basis and indeed it is this latterapproach which is followed in many modern electronic structure methods [6]. However,for our purposes of introducing the electronic structure of nanowires, a localized basisapproach highlights the essential features of the problem and reflects the requirement forlocalized orbitals, as opposed to plane waves, as required by commonly applied methodsfor the calculation of charge transport in nanowires.

To simplify the problem, a chain of atoms with a single atomic orbital per site isconsidered. The LCAO is rewritten in this case as

ψnð~rÞ ¼XN

i¼1cni φið~r �~RiÞ: ð4:55Þ

96 Quantum mechanics in one dimension

Page 113: Nanowire Transistors - CAS

The example of the single-electron Hamiltonian H is considered, and the eigenvalueequation is projected onto a single atomic orbital asð

φið~r � ~Ri ÞHψnð~rÞd3r ¼ En

ðφið~r � ~RiÞψnð~rÞd3r

XN

j¼1cnj

ðφið~r � ~RiÞHφjð~r � ~RjÞd3r ¼ En

XN

j¼1cnj

ðφið~r � ~RiÞφjð~r � ~RjÞd3r: ð4:56Þ

It is convenient to define the matrix elements

Hij ¼ðφið~r � ~Ri ÞHφjð~r �~RjÞd3r; Sij ¼

ðφið~r �~RiÞφjð~r �~RjÞd3r; ð4:57Þ

where Hij is referred to the LCAOHamiltonian matrix (also referred to as a Fockmatrix)in the atomic orbital basis and Sij is the overlap matrix for the atomic orbitals.Projecting onto each distinct atomic orbital as in Eq. (4.56) results in a set oflinear equations that may be written as a generalized eigenvalue problem given inmatrix form as

H~c ¼ EnS~c: ð4:58Þ

The formulation as a generalized matrix eigenvalue problem is one of the primarymotivations for the LCAO approximation as the problem becomes readily accessible tonumerical methods and solution using computers. Since there are N expansion func-tions: the matrix eigenvalue problem is N � N : The N eigenvalues and eigenvectorsobtained from the numerical solution of the matrix eigenvalue problem form approx-imations to the N lowest energy levels and their wave functions. In general, solution of

Eq. (4.58) will scale as the order OðN3Þ unless additional approximations or simplifica-tions are made.

4.6.2 Tight binding approximation

At this point it is convenient to make such a further approximation. The first is to assumethe overlap matrix is diagonal Sij ¼ δij, reducing the generalized matrix eigenvalueequation to the more familiar matrix eigenvalue form

H~c ¼ En~c: ð4:59Þ

The diagonals of the overlap matrix for normalized atomic orbitals are unity but there arenon-zero off-diagonal terms due to the overlap between the atomic basis functions atdifferent sites. However, the wave functions can be made orthonormal through aprocedure called Boys localization [7], or similarly chosen to be Wannier functions[8]; for these choices the overlap matrix is strictly diagonal and details constructingmaximally localized basis sets can be found in [9]. As will be seen, the explicit form ofthe atomic orbitals does not need to be specified in a tight binding approximation, hence

4.6 LCAO and tight binding approximation 97

Page 114: Nanowire Transistors - CAS

the assumption the overlap matrix is diagonal is justifiable when working with localizedorthonormal basis sets. The next set of approximations is to express the energy matrixelements in the tight binding approximation; within this approximation there are onlytwo types of non-zero Hamiltonian matrix elements for the case of identical atoms

Hii ¼ αi;Hij ¼ βij;

ð4:60Þ

where the αi are referred to as the on-site matrix elements and the βij are the hoppingmatrix elements and are taken to be zero unless j ¼ i� 1, or in other words, the hoppingmatrix elements are assumed to be zero unless the interactions are between neighboringsites. Within the tight binding approximation of a linear atomic chain with a single basisfunction per site, the matrix eigenvalue problem takes a particularly simple form and thesecular equation for the eigenvalues can be written

α� E β 0β α� E β

0 β α� E

� � � 0

..

. . .. ..

.

0 � � �α� E β 0β α� E β

0 β α� E

0BBBBBBBBBB@

1CCCCCCCCCCA¼ 0: ð4:61Þ

The parameters α; β can be calculated, but are often fitted to empirical data or used asadjustable parameters to consider the effects of different hopping and on-site matrixelements. The matrix eigenvalue problem in this form is easily solved. Solution of thesecular equation yields the N energy levels for the atomic chain, which are given by

En ¼ α� 2β cosð2πn=NÞ; n ¼ �N2;…; 0;…;þN

2� 1; ð4:62Þ

with the resulting energy band plotted in Fig. 4.5. From the matrix eigenvalue problem, arecursion relation for the expansion coefficients is found and is given by

βcnj�1 þ ðα� EnÞcnj þ βcnjþ1 ¼ 0: ð4:63Þ

The Born–von Kármán boundary conditions can be implemented by the requirementthat c nj ¼ c njþN and the expansion coefficients are then found to be

cnj ¼1ffiffiffiffiN

p ei2πnj=N ; ð4:64Þ

which when multiplied by the atomic orbitals is a discrete version of the plane wavemodulation of a Bloch wave function. The integer j can be thought of as labeling eachatomic position through xj ¼ ja, with a the lattice spacing and the length of the chaingiven by L ¼ Na. Then the wave number kn ¼ 2πn=L can be again introduced, allowingthe energies and wave functions to be expressed as

98 Quantum mechanics in one dimension

Page 115: Nanowire Transistors - CAS

En ¼ α� 2β cosðknaÞ; n ¼ �N

2;…; 0;…:;þN

2� 1;

ψnð~rÞ ¼1ffiffiffiffiN

pXn

j¼1eiknxjφjð~r �~RjÞ: ð4:65Þ

Although a simple example, the tight binding model for an atomic chain displaysmany of the features inherent in the electronic structure of more realistic systems. Thebandwidth (the difference between maximum and minimum energies within a single

Wave number [π ⁄a]–1 0 1

0

1

2

3

4

En

erg

y [β

]

Figure 4.5 Energy dispersion for the tight binding model of a finite atomic chain for α ¼ 2β withoutperiodic boundary conditions.

n = 1

n = 2

n = 3

n = 6

Figure 4.6 Examples of the wave functions for a linear atomic chain. The nodal structure of the wave functioncorresponds to the sinusoidal envelope given for the case of a “hard wall” confinement potential asopposed to the case of a “periodic” linear chain. Lighter regions depict values where the wavefunction is positive and darker regions represent regions where the wave function is negative (orvice versa as energies and other properties are invariant with respect to a constant phase of thewave function).

4.6 LCAO and tight binding approximation 99

Page 116: Nanowire Transistors - CAS

band) is 4β, hence weaker interactions cause weaker splitting in the energy levels andstronger interactions cause larger splitting between levels. At fixed interaction strengthand increasing number of atoms, the band width remains the same whereas the energyseparation between levels decreases. If the interaction between sites is completelydecoupled by letting β→0, all the energy levels become equal or degenerate and reduceto En ¼ α. In this case, the energy dispersion is described as a “flat band” as the energy isconstant as function of wave vector. Hence a flat band is indicative of a weaklyinteracting set of atoms or defects, whereas bands with large curvatures are indicativeof strong interactions between atoms. Another feature of the tight binding band structurefor the atomic chain is the fact that unlike the free electron dispersion relationship, theband is not parabolic. However, expanding the energy for small values of the wavevector, the energy can be approximated as

En ≈ α� 2βþ βðknaÞ2; ð4:66Þ

which for sufficiently small displacements in the wave vector about the energyminimumis parabolic. Of course for larger values of the wave number “non-parabolicity” (higher-order terms in the Taylor series expansion of the cosine term) are required to describe theelectronic structure. However, for any energy band with a minimum there will always bea region about the minimum that is parabolic. For this parabolic region the dispersion issimilar to the free electron dispersion and the electrons in the vicinity of a minimummaybe treated as free electrons with a modified or effective mass. If electrons only occupyenergies within the region where the band can be described as approximately parabolic,then the “quasi-free” electron description is a suitable approximation. Recalling the freeelectron dispersion relation, it is noted that the mass is related to the curvature of theenergy band or equivalently the second derivative of the energy with respect to wavenumber. Generalizing this relationship to the vicinity of an energy minimum witharbitrary curvature, the effective mass m� is defined by

∂2E∂k2

¼ ℏ2

m� : ð4:67Þ

In the effective mass approximation and for energies that are sufficiently close to theminimum, all effects arising from the interactions between the atoms are included in theparameter m�; in all other respects the electron behaves as a free electron.

4.7 Density of states and energy subbands

4.7.1 Density of states in three spatial dimensions

The 3D Schrödinger equation in the absence of a potential energy term is

100 Quantum mechanics in one dimension

Page 117: Nanowire Transistors - CAS

� ℏ2

2m� ∇2ψðx; y; zÞ ¼ Eψðx; y; zÞ: ð4:68Þ

Using the technique of separation of variables the wave function can be written as theproduct of independent wave functions, with each solving a free electron problem,resulting in

ψðx; y; zÞ ¼ 1

L3=2eikxxeikyyeikzz; ð4:69Þ

with the wave numbers in each spatial dimension satisfying Eq. (4.42). The energy isgiven by

E ¼ ℏ2

2m� ðk2x þ k2y þ k2z Þ: ð4:70Þ

If a system of many non-interacting electrons or what is known as the free electron gasis considered, then a sphere of volume

Volume ¼ 4

3πk3 ð4:71Þ

can be defined where the norm of all the wave vectors within the sphere satisfyj~k j ¼ jðkx; ky; kzÞj≤ k. Along the three axes, the spacing between the different discretek-points is given by Δk ¼ 2π=L: Hence each distinct point representing a wave vectorcan be considered to occupy a volume of ð2π=LÞ3. The number of distinct states withinthe sphere is given by

N ¼ 2� Volume=ð2π=LÞ3; ð4:72Þ

where a factor of two has been introduced to account for the two spin states of anelectron. The number of states in the sphere is given by

N ¼ k3L3

3π2¼ ð2m�EÞ3=2

ℏ3

L3

3π2: ð4:73Þ

The density of states (DoS) is now defined as the number of states per unit energy perunit volume of a material sample

DoS ¼ 1

L3dNdE

; ð4:74Þ

where in this example the volume of the sample is L3: Then for a 3D electron gas thedensity of states is found to be

DoSj3D ¼ 1

2π2ð2m�Þ3=2

ℏ3

ffiffiffiffiE

p: ð4:75Þ

4.7 Density of states and energy subbands 101

Page 118: Nanowire Transistors - CAS

The key feature of the DoS for the 3D free electron gas is a continuous, monotonic

increase asffiffiffiffiE

p. The unit for the 3D DoS is number of states per unit energy and per unit

volume. Other units to described the 3DDoS is number of states per unit energy obtainedby omitting the division by volume in Eq. (4.74).

4.7.2 Density of states in two spatial dimensions

Next the case of a two-dimensional electron gas is considered. A two-dimensionalsystem can be, for example, obtained by growing a thin layer of aluminum galliumarsenide (AlGaAs) on a gallium arsenide (GaAs) substrate by molecular beam epitaxy orrelated methods [10]. The conduction band offset between the substrate and the thinAlGaAs layer acts as a confining potential as does the surface of the AlGaAs layer itself.In this case, electrons can be confined within the thin layer parallel to the substrate. If thelayer is sufficiently thin (typically 10 nm or less), it is possible to produce a 2DEG foruse in high electron mobility transistors (HEMTs). In this case, the Schrödinger equationcan again be written using the method of separation of variables, but with the two spatialdegrees of freedom parallel to the substrate treated with periodic boundary conditions,whereas the spatial coordinate normal to the substrate axis may be modeled as aconfining potential as for the case of a particle-in-a-box. The Schrödinger equation inthis simplified model may be written as

� ℏ2

2m� ∇2 þ UðxÞ

" #ψðx; y; zÞ ¼ Eψðx; y; zÞ; ð4:76Þ

where the confining potential has been introduced asUðxÞ: The energies for the particle-in-a-box problem with vanishing of the wave function at the boundaries of a hard wallpotential with width L are given by

En ¼ n2h2

8m�L2n ¼ �1;�2;�3;…; ð4:77Þ

with wave functions

ψnxðxÞ ¼ffiffiffi2

L

rsin

nxπL

x� �

; ð4:78Þ

where L is the thickness of the confining region. The solutions with negative nare related to positive n by a sign change and therefore have equal energies and thewave functions are related by a phase rotation. Hence the solution with �n are notlinearly independent and the convention is to take the solutions with n ¼ 1; 2; 3;… asthe set of eigenfunctions for the particle-in-a-box problem. With knowledge of the freeparticle and the particle-in-a-box eigenfunctions, the solution to Eq. (4.76) may bewritten

102 Quantum mechanics in one dimension

Page 119: Nanowire Transistors - CAS

ψðx; y; zÞ ¼ffiffiffiffiffiffiffiffiffiffiffiffiffi

2

LxLyLz

ssin

nxπLx

x

� �eikyyeikzz; ð4:79Þ

leading to energies

E ¼ nx2h2

8m�L2xþ ℏ2

2m� ðk2y þ k2z Þ

¼ Enx þℏ2

2m� ðk2y þ k2z Þ:ð4:80Þ

Each new energy Enx defines the onset of a contribution from a subband to the DoS, witheach subband corresponding to the energy levels in the confinement direction asdepicted in Fig. 4.7. Following the same set of steps as leading to the three-dimensionaldensity of states but now applied to the case of the two-dimensional electron gas leads tothe following expression for the density of states:

DoSj2D ¼X

n

m�

πℏ2 ΘðE � EnÞ; ð4:81Þ

where the Heaviside step function satisfies ΘðE ≥ 0Þ ¼ 1 and ΘðE < 0Þ ¼ 0: The den-sity of states for a 2DEG displays a staircase-like structure, with the steps correspondingto the onset of additional contributions to the DoS from each subband as the energy isincreased. The units are given as number of energy states per unit energy per unit area orsimply number of energy states per unit energy for a given 2D sample.

n = 1

n = 2

n = 3

U = |q|VU = |q|V

+x–x

Figure 4.7 Energy levels in a one-dimensional confinement potential. The hard wall potential corresponds tothe limit where the potential well depth U ¼ jqjV becomes infinite. The energy levels within awell of finite depth are indicated by the dashed lines.

4.7 Density of states and energy subbands 103

Page 120: Nanowire Transistors - CAS

4.7.3 Density of states in one spatial dimension

If confinement potentials are introduced in two spatial dimensions, a one-dimensionalelectron gas or nanowire is formed. As discussed in Chapter 3, such nanowire structuresmay be formed from top-down lithographic techniques or by chemical self-assemblymethods. The Schrödinger equation for a nanowire constraining a free electron gas toone dimension is in analogy with the 2DEG case written as

� ℏ2

2m� ∇2 þ UðxÞ þ UðyÞ

" #ψðx; y; zÞ ¼ Eψðx; y; zÞ; ð4:82Þ

where the confinement potential has been separated into the two terms UðxÞ and UðyÞconstraining propagating electrons to the z direction. In the case of a free electron gasconfined to one spatial dimension, the eigenfunctions are

ψðx; y; zÞ ¼ffiffiffiffiffi4

L3

rsin

nxπL

x� �

sinnyπL

y� �

eikzz; ð4:83Þ

leading to energies

E ¼ Enx þ Eny þℏ2

2m� k2z : ð4:84Þ

Each ðnx; nyÞ pair corresponds to an energy subband and a conduction channel in thez direction. The lowest subband is found for nx = ny = 1. Following again the stepsleading to the calculation of the DoS but in this instance for a nanowire leads to

DoSj1D ¼X

nx;ny

ðm�Þ1=2πℏ

1ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2½E � ðEnx þ EnyÞ�

q Θ½E � ðEnx þ EnyÞ�; ð4:85Þ

and is given in units of number of states per unit energy per unit length or, similar to the3D and 2D cases, as number of states per unit energy for a given one-dimensionalsystem.

4.7.4 Comparison of 3D, 2D, and 1D density of states

The behavior for the 3D, 2D, and 1D electron gas DoS as a function of energy is

shown schematically in Fig. 4.8. The 3D DoS as noted behaves asffiffiffiffiE

p; whereas

in the 2D and 1D case the DoS within a subband is constant or decreases as

1=ffiffiffiffiE

p, respectively. Clearly the ability to structure materials on nanometer-scale

lengths results in dramatic changes in the electronic band structure of a material,which can be to advantage or disadvantage when considering transistor designs.

104 Quantum mechanics in one dimension

Page 121: Nanowire Transistors - CAS

4.8 Conclusions

This chapter is intended to highlight key points for the physics of low-dimensional systems, emphasizing quantum mechanics in one dimension.Fundamental relationships related to device physics such as electron momentumand velocity, electronic current, electron scattering, electronic band structure, andthe density of states have been introduced and demonstrated using simple phy-sical models. These concepts are built upon in more detail in Chapters 5 and 6,where they are applied to a more realistic description of semiconductor nanowirestructures as relevant to technology design.

Energy

3D D

ensi

ty o

f S

tate

s

(a)

2D D

ensi

ty o

f S

tate

s

Energy

(b)

(c)

Energy

1D D

ensi

ty o

f S

tate

s

Figure 4.8 Density of states for an electron gas in (a) three dimensions, (b) two dimensions, and (c) onedimension. For the 2D DoS shown in (b), the first subband associated with the confinementpotential acting on the electrons in one spatial dimension has an onset at E1 and the onset of thesecond subband is at E2. For the 1D system the confinement potential restricts the electrons in twospatial dimensions, and due to confinement in two dimensions the first subband occurs at energyE1;1 and the second subband begins at E2;1 as shown in (c).

4.8 Conclusions 105

Page 122: Nanowire Transistors - CAS

Further reading

Quantum mechanics

E. Merzbacher, Quantum Mechanics, New York: John Wiley, 1998.

Physics in one dimension

R. Gilmore, Elementary Quantum Mechanics in One-Dimension, Baltimore, MD: TheJohns Hopkins University Press, 2004.

References

[1] W.R. Frensley, “Boundary conditions for open quantum systems driven far fromequilibrium,” Rev. Mod. Phys., vol. 62, pp. 745–791, 1990.

[2] M.J. Kelly, “Transmission in one-dimensional channels in the heated regime,”J. Phys.: Condens. Matter, vol. 1, pp. 7643–7649, 1989.

[3] C.C.J. Roothaan, “New developments in molecular orbital theory,” Rev. Mod.Phys., vol. 23, pp. 69–89, 1951.

[4] G. Herzberg, Atomic Spectra and Atomic Structure, New York: Dover Books,2010.

[5] J.C. Slater and G.F. Koster, “Simplified LCAO method for the periodic potentialproblem,” Phys. Rev., vol. 94, pp. 1498–1524, 1954.

[6] M.C. Payne, M.P. Teter, D.C. Allan, T.A. Arias, and J.D. Joannopoulos, “Iterativeminimization techniques for ab initio total energy calculations: moleculardynamics and conjugate gradients,” Rev. Mod. Phys., vol. 64, pp. 1045–1097,1992.

[7] J.M. Foster and S.F. Boys, “Canonical configuration interaction method,” Rev.Mod. Phys., vol. 32, pp. 300–302, 1960.

[8] G.H. Wannier, “The structure of electronic excitations in insulating crystals,”Phys. Rev., vol. 52, pp. 191–197, 1937.

[9] N. Marzari, A.A. Mostofi, J.R. Yates, I. Souza, and D. Vanderbilt, “Maximallylocalized Wannier functions: theory and application,” Rev. Mod. Phys., vol. 84,pp. 1419–1475, 2012.

[10] B.A. Joyce, “Molecular beam epitaxy,” Rep. Prog. Phys., vol. 48, pp. 1637–1697,1985.

106 Quantum mechanics in one dimension

Page 123: Nanowire Transistors - CAS

5 Nanowire electronic structure

5.1 Overview

The electronic structure of a semiconductor nanowire can vary substantially with respectto bulk material properties due to orientation, diameter, strain, quantum confinement,and surface effects. Before introducing the electronic structure of nanowires, thecrystal structures of common group IV and III-V binary compounds are introduced.Semiconductor nanowires, even for diameters of a few nanometers, can retain thebonding characteristic of their bulk crystalline forms. This permits classification ofnanowires by the crystal orientation aligned to the nanowire long, axial, or “growth”axis. To determine electronic structures of materials generally requires a combination ofexperimental and theoretical approaches in a fruitful collaboration whereby the strengthsof several methods are used to complement one another. Elementary analysis of bandstructures is considered in relation to the observed properties of materials leading totheir categorization as insulators, semiconductors, semimetals, and metals. These basicmaterial categories are the fundamental building blocks for nanoelectronic devices. Abrief discussion of experimental and theoretical methods for the determination ofelectronic properties is given to provide background on the state-of-the-art for electronicstructure characterization and calculations. The electronic band structures of commonbulk semiconductors are presented for reference. Atomic scale models for nanowiresoriented along different crystal directions are introduced with the relationship betweenconfinement normal to a nanowire’s long axis and electronic structure expressed in termsof band folding. Representative electronic band structures are then introduced fordifferent nanowire systems based on diameter and orientation to highlight the key effectsof reduced dimensionality on electronic structure.

5.2 Semiconductor crystal structures: group IV and III-V materials

5.2.1 Group IV bonding and the diamond crystal structure

Silicon crystallizes in a cubic crystal structure that has the same symmetry as thediamond form of carbon. This structure is referred to as the diamond cubic crystalstructure or sometimes more colloquially as the “diamond lattice.” The local bondingcharacteristic of the diamond crystal structure is largely retained when nanowires are

Page 124: Nanowire Transistors - CAS

patterned from crystalline silicon or grown from bottom-up processes such as thosedescribed in Chapter 3. In the diamond structure, each atom is tetrahedrally bonded tofour nearest neighbor atoms. Many materials can also exist in amorphous form wherebythe long-range order of a crystal is lost. There is a degree of short-range order in thesematerials, but for the amorphous forms of the Group IV materials carbon, silicon, andgermanium, the local bonding environment deviates from tetrahedral bonding, and allatoms are not necessarily four-fold coordinated. Although the amorphous form of siliconand germanium do find applications such as in low-cost photovoltaic cells and amor-phous carbon in diamond-like carbon (DLC) form finds application in thin film coatingsto harden materials for use in tooling, the vast majority of nanometer-scale transistordesigns rely on the use of highly crystalline materials and hence the crystalline form ofvarious semiconductors and nanowires is the focus in this chapter.

Tetrahedral bonding gives rise to the diamond lattice structure and is a result of atomicorbital hybridization. The atomic ground state of the valence electrons in silicon has a

configuration ½Ne�3s23p1x3p1y where ½Ne� denotes the 10 inert core electrons of the siliconatom with occupancy isoelectronic with neon. In the silicon atomic ground state, thereare two unpaired electrons readily available for bonding. The first electronic excitedstate of silicon is denoted as Si� and is represented by the electronic structure

½Ne�3s13p1x3p1y3p1z whereby a valence 3s-orbital is excited to a higher energy, unoccupied3pz-orbital. Quantum mechanically it is found that the energy gained by making anadditional two unpaired electrons available for bonding can exceed the energy requiredto promote an electron from a 3s-orbital. In this situation, the four atomic orbitals3s; 3px; 3py, and 3pz can hybridize to form four equivalent linear combinations of atomicorbitals or molecular orbitals giving rise to the four equivalent bonds, resulting in thetetrahedral bonding structure depicted in Fig. 5.1(a). This bonding motif is designated as

sp3 hybridization and the resulting diamond crystal structure shown in Fig. 5.1(b) is the3D crystalline form of carbon, silicon, and germanium. The diamond form for the groupIV materials carbon, silicon, and germanium is due to their similar valence electronic

structures. The carbon atom’s valence electronic structure is given by ½He�2s22p1x2p1y andgermanium’s valence electronic structure is represented as ½Ar�4s24p1x4p1y ; for silicon,

109.47°

(a) (b)

Figure 5.1 (a) Tetrahedral bonding. (b) Diamond crystal structure.

108 Nanowire electronic structure

Page 125: Nanowire Transistors - CAS

these atoms form sp3 hybridized bonds and can thus crystallize in the diamond structure.Carbon, silicon, and germanium are all semiconductors with band gaps of 5.48 eV,1.17 eV, and 0.74 eV [1] at 0 K, respectively. At room temperature these energiesbecome 5.47 eV, 1.11 eV, and 0.66 eV, respectively. Following the sequence down thegroup IV column in the periodic table, the next element is tin (Sn) with a valence

electronic structure ½Kr�4d105s25p1x5p1y . At room temperature, tin crystallizes in a tetra-

gonal structure known as β-tin and is a metal. At temperatures below 13 °C, tin crystallizesand is stable in the diamond lattice [2]. This phase is known as α-tin and it is neither asemiconductor nor a metal, but rather is a semimetal. There is no band gap as the valenceand conduction bands meet but there is a low density of states at the Fermi level resultingin lower conduction than typical for the coinage metals gold, silver, nickel, and copper.

Other bonding motifs are possible for group IV elements and these are seen often inmaterials and compounds containing carbon. If it is energetically favorable for an

s-electron to be excited to form C� then the carbon atom will also bond through sp3

hybridization. However, other possibilities for mixing of the atomic orbitals can beenergetically favorable, particularly in the case of carbon materials. If with excitation to

the C� state, only two of the valence p-orbitals mix with the valence s-orbital, sp2

hybridization results. Bonds formed by sp2 hybridization are characteristic of the planarforms of carbon such as the hexagonal structure of benzene, the hexagonal layers thatform graphite, the isolated, two-dimensional, single-atom-thick sheets of graphiteknown as graphene, and the closed, cylindrical sheets of graphene that result in carbonnanotubes; other examples of two-dimensional materials will be introduced inSection 5.2.3.

Given the similar chemical structures of the group IVelements, it is not surprising thatthey may be alloyed and that they remain energetically and thermodynamically stableover a range of compositions. Silicon and germanium can be alloyed together inarbitrary composition and a random lattice structure is formed which remains approxi-mately in the diamond crystal form. Each atomic site is occupied by either a Si or Geatom with a probability that is proportional to the crystal’s stoichiometry and with eachatom forming four nearest neighbor bonds. For an alloy composition SixGey with

(a) (b) (c)

Y

XZ

Figure 5.2 Diamond crystal structure viewed along the (a) <100>, (b) <110>, and (c) <111> directions inthe direct lattice vectors (coordinate space).

5.2 Semiconductor crystal structures 109

Page 126: Nanowire Transistors - CAS

xþ y ¼ 1, the fractional probability of a site being occupied by a silicon atom is x andthe fractional probability that the site is occupied by germanium is y. Since the localenvironment in terms of nearest neighbors is random, there is some distortion from aperfect crystal resulting in a loss of symmetry and small splitting in the energy bands [3].Similarly, Sn can be alloyed with Ge: Introduction of atoms of different atomic radiiinto a crystal introduces local stress fields. Hence alloying is often used as a “stressor” toremove degeneracies in the electronic band structure to achieve reduction in intravalleyscattering and thereby increase mobility, or alternatively as a means for matching latticeconstants between two layers to reduce strain across interfaces in heterostructures.Solids composed of silicon and carbon produce a wealth of structures referred to assilicon carbide polymorphs; in general these structures are not random alloys but arecrystalline. Common polymorphs of silicon carbide (SiCÞ form hexagonal lattices,although there is a stable structure that forms a structure similar to diamond known asthe zincblende structure, which will be discussed next in the context of gallium arsenideand other group III-V compounds.

5.2.2 III-V compounds and the zincblende structure

The compound semiconductor gallium arsenide (GaAs) forms a zincblende crystalstructure whereby group III gallium atoms and group V arsenic atoms arrange on twodistinct sub-lattices. The overall structure is similar to diamond in that each atom in thecrystal forms four nearest neighbor tetrahedral bonds as shown in Fig. 5.3. However, inthe zinc blend structure each gallium atom bonds to four nearest neighbor arsenic atomsand each arsenic atom bonds to four nearest neighbor gallium atoms. Charge is trans-ferred from the group III atoms to group Vatoms in a Lewis picture of chemical bonding,

Figure 5.3 Tetrahedral bonding in the zincblende structure. Dark grey atoms occupy the gallium (cation)sub-lattice and light grey atoms occupy the arsenic (anion) sub-lattice. Each Ga atom bonds to fournearest neighbor As atoms, and each As atom bonds to four nearest neighbor Ga atoms.

110 Nanowire electronic structure

Page 127: Nanowire Transistors - CAS

hence in GaAs the gallium atoms are said to form a cation sub-lattice and the arsenicatoms form an anion sub-lattice.

Unlike silicon and germanium, GaAs is a direct band gap material. In a direct bandgap material, the conduction band minimum and valence band maximum energies occurat the same point in the Brillouin zone as depicted in a simplified representation of theelectronic structure of indirect and direct transition semiconductors in Fig. 5.4. In anintrinsic semiconductor with a band gap energy significantly larger than the thermalenergy kBT, the valence band states will be occupied and the conduction band states willbe unoccupied. To promote an electron from a valence to a conduction state requiresadditional energy. If the electron is excited by the absorption of a photon with an energyEg, to reach the bottom of the conduction band from the valence band maximum in anindirect band gap semiconductor also requires a change in crystal momentum asdepicted in Fig. 5.4(a). Changes in crystal momentum must be included to preserveoverall momentum conservation. At the threshold for light absorption there is nomomentum available to be transferred to the crystal lattice to allow an electron to beexcited to the band gap minimum in an indirect band gap material. Hence two processesare necessary to promote an electron from the valence band maximum to the conductionband minimum such as photon absorption and coupling to phonon modes. The prob-ability for a two-step process is much lower than for a single, direct process as depictedin Fig. 5.4(b) where no change in crystal momentum is required. Hence the probabilityof light absorption at the band gap energy is generally much higher in a direct band gapmaterial such as GaAs, and it is the case that many other III-V materials also possess adirect band gap. The same considerations apply to the complementary process ofelectron-hole recombination. For a direct band gap material, electron-hole recombina-tion accompanied by the emission of a photon for energy conservation is a direct processnot requiring coupling to phonons or other degrees of freedom to conserve momentum,

Figure 5.4 Schematic representation of (a) an indirect band gap semiconductor and (b) a direct band gapsemiconductor. The grey regions indicate the occupied electron states near the valence bandmaximum and the empty parabola represents the unoccupied conduction band states for anintrinsic semiconductor at low temperature.

5.2 Semiconductor crystal structures 111

Page 128: Nanowire Transistors - CAS

and can therefore occur with a larger probability amplitude relative to indirect processes.Hence the III-V materials are often the material of choice for designing semiconductorlasers, light emitting diodes, and optical amplifiers [4].

An electron mobility in highly crystalline bulk GaAs of 240 000 cm2=Vs at atemperature of 77 K [5] has been reported; this is significantly higher than mobilitiesfor silicon at comparable conditions. It remains true that the GaAs mobilities at roomtemperature and for doped materials remain multiples larger than the values for compar-able silicon samples. Electron mobility arises from many competing effects as will bediscussed in Chapter 6; in a polar solid such as GaAs there are influences from polaroptical phonon, acoustic phonon, piezoelectric, ionized, and neutral impurity scattering.These effects can be heuristically categorized by a relaxation time and a spatiallyaveraged isotropic electron effective mass. Mobility is proportional to the ratio of theeffective relaxation time to the charge carrier’s effective mass. Hence in GaAs theconduction band edge electron effective mass of m� ¼ 0:067me [6] offers a simpleexplanation for the improved electron mobility in GaAs relative to Si or Ge whencoupled with the assumption of similar scattering effects leading to similar magnitudesfor relaxation times. The corresponding isotropic effective masses for electrons nearconduction band minimum in silicon and germanium are quoted to be typically 1:08me

and 0:56me, respectively. Note, however, that the values for hole effective masses inIII-V materials are not dramatically different from those found for Si and Ge, and in factthe lower hole effective mass in germanium implies there is no significant advantage tothe use of III-V materials to enhance hole mobilities. The higher electron mobility ofGaAs combined with a band gap of 1.43 eV at 300 K [6] suggests its potential use toincrease mobility and switching times for use in n-channel field-effect transistors [7].

However, there are both technological and fundamental obstacles to the use of III-Vmaterials in modern integrated circuit manufacturing. The first of these relates tomaterial science: the native oxides of most III-V materials do not form a low defectdensity interface to the semiconductor when compared to the very low defect densitiesthat can be achieved for the silicon/silicon dioxide interface of 1011/cm2 or lower,roughly corresponding to a single surface defect per 105 surface bond sites. However,

(a) (b) (c)

Figure 5.5 Zincblende structure depicted for the case of the gallium arsenide structure viewed along the(a) <100>, (b) <110>, and (c) <111> directions in the direct lattice vectors (coordinate space). Fornanowires, the truncation of the infinite crystal leads to different surface compositions varyingbetween arsenic “rich” to gallium “rich.”

112 Nanowire electronic structure

Page 129: Nanowire Transistors - CAS

processing recipes for depositing high-κ oxides onto silicon substrates and multi-gatestructures have been developed. It is possible that material combinations and advancedprocessing conditions will be found that can eliminate the high interface defect densitiesfound at III-V/oxide interfaces [8]. A second issue for transistor design is that a lowereffective mass as mentioned implies a higher mobility and a faster switching time fortransistors; however, a lower effective mass also implies a lower density of states, whichcan be seen for example in Eq. (4.85) for a 1D system. As will be seen in Chapter 6, thelower density of states in nanowire transistor design leads to limitations for currentdrive. As transistor channels become extremely small, direct source–drain tunnelingbecomes a serious impediment to the ability to turn a transistor to an OFF state and thussmaller effective masses can also lead to higher tunneling currents in the OFF state.

Notwithstanding the potential challenges and limitations, the search to find a highmobility n-channel material for high-speed electronics leads to the III-V ternary alloyIn0.53Ga0.47As as a possible candidate to replace silicon [9,10]. This alloy composition islattice matched to InP and InP substrates are available to allow growth of high-qualityIn0.53Ga0.47As layers. The ternary compound InxGa1-xAs is stable in a zincblende-likestructure with the indium and gallium atoms distributed randomly on the cation sub-lattice and the room-temperature band gap of 0.75 eV is well suited for electronicapplications. The low effective mass of the conduction electrons of 0:041me [6]

leads to a room-temperature electron mobility of 8450 cm2=V � s at 300 K and 27,700

cm2=V � s at 77 K [10] in processed samples.

5.2.3 Two-dimensional materials

Semiconductor nanowires are quasi-one-dimensional systems; however, the actualthree-dimensional structure of a semiconductor nanowire can retain properties of itsparent bulk structure in terms of chemical bonding and crystal symmetry, although thelatter is of course reduced due to the introduction of confinement in directions normal tothe nanowire long axis. The chemical bonding in semiconductors is three-dimensional instructure as reflected in the tetrahedral bonding arrangement depicted in Fig. 5.1(a) andthis three-dimensional bonding network is found in many nanowires fabricated by eithertop-down or bottom-up methods. Similarly, two-dimensional electron and hole gasesmay be thought of as semiconductor materials that have been thinned or grown to thenanometer range in one spatial dimension, but these layers largely retain the chemicalbonding characteristics of the bulk.

Not all materials have strong three-dimensional bond networks. For example, somesolids are composed of “layers” with strong chemical bonds in essentially two-dimensional sheets with weak interlayer interactions or van der Waals forces holdingthe layers together in the bulk form. Perhaps the best known example of this class of

material is graphite, composed of carbon layers in a hexagonal sp2 hybridized bondingarrangement as shown in Fig. 5.6(a). Until recently it was believed that a two-dimensional isolated material of single-atom thickness would be thermodynamicallyunstable, but in 2004 a teamwas able to isolate by mechanical exfoliation (effectively by

5.2 Semiconductor crystal structures 113

Page 130: Nanowire Transistors - CAS

“peeling”) single layers from graphite to form an isolated single monolayer or graphene.This discovery led to the awarding of the Nobel prize in 2010 to Novoselov and Geim[11]. A single layer carbon sheet or graphene is of interest due to its stability and thecapability to study the atomic scale limit of a material. Graphene is therefore of interestfor exploring the ultimate limits to nanoelectronics scaling. This 2D material gives riseto novel physics due to its semimetal character, with nearly linear dispersion at thebottom of the conduction band and top of the valence band, yielding very lowmass charge carriers and extremely high carrier mobilities [12]. Although a band gapcan be induced in a graphene sheet by forming “ribbons,” the application of graphene inconventional transistor design is limited. However, there are novel strategies for devel-oping new nanometer scale device designs with graphene. For example, the use ofself-assembly of organic molecules such as alkanes without significant disruption to asingle atomic layer graphene channel can be used to introduce a stable dielectric layer tosubstitute the role of an oxide layer in a transistor gate stack [13]. However, potentiallythe most attractive feature of graphene materials is to explore non-classical switching

(a)

(b) (c)

(d) (e)

Figure 5.6 (a) The planar hexagonal structure of graphene, (b) side view of an (8,8) armchair carbonnanotube, (c) perspective view of an (8,8) armchair carbon nanotube, (d) side view of an (8,0)zigzag carbon nanotube, (e) perspective view of an (8,0) zigzag carbon nanotube.

114 Nanowire electronic structure

Page 131: Nanowire Transistors - CAS

elements that do not rely on electric field effects to control switching, that is for transistordesigns that are not dependent on the field effect.

Carbon nanotubes (CNTs) are graphene strips rolled onto hollow cylinders and aretherefore effectively closed-form two-dimensional materials [14]. The CNTs shown inFig. 5.6 are formed by wrapping a single graphene sheet into itself and onto a cylindricalshape. Nanotubes formed in this way are referred to as single walled carbon nanotubes(SWCNTs). Although not difficult to produce, SWCNTs were not observed experimen-tally until 1991 [15], but pre-date the discovery of graphene. Graphene can be wrappedinto a cylindrical shape in different ways, referred to as the chirality or “handedness” ofthe nanotube. Specific chiralities give rise to different structures that are categorized as“armchair,” “zigzag,” or simply “chiral”; see Fig. 5.6. The different structures give riseto different electronic properties for a specific CNTwhich may be insulating, semicon-ducting, or metallic. A lack of a high degree of control over the chirality during growth isthe critical limiting factor for introducing these materials into nanoelectronics manu-facturing. SWCNTs can have diameters of less than 1 nm but are typically found within arange of 1 to 3 nm, whereas their lengths can be on the order of centimeters. The atomicstructure of a carbon nanotube is essentially defect-free. This nearly perfect structureresults in quasi-ballistic transport for charge carriers with little or no scattering along thetube length and even in the presence of defects scattering lengths can remain orders ofmagnitude larger than modern transistor lengths [16]. Similarly, their defect-free struc-ture make CNTs efficient for phonon transport resulting in high thermal conductivitiesalong the tube axis. The high charge carrier capability and phonon transmission in CNTsmake them attractive for nanoelectronics applications. Nanotubes are able to carrycurrent densities up to three orders of magnitude larger than typical conductors suchas copper and aluminum making them extremely attractive for applications in nanoelec-tronic interconnects if issues surrounding their controlled growth and integration intomanufacturing processes can be found.

There are processes for fabricating CNTFETs within laboratory settings includingdeposited gate oxides and gate electrodes with metallic source drain regions leading toSchottky junction formation [17,18]. CNTFETs have been fabricated and compared tosilicon MOSFETs and it is found that the CNTFETs can have lower switching delayscompared to transistors with other material sets and with similar ON–OFF current ratios.CNTFET device layout and fabrication has not been fully optimized for high-frequencybehavior. There are theoretical predictions for high carrier velocities achievable withCNTs, and measurements for high-frequency performance on non-optimized structuresindicate that ballistic limited CNTFETS should outperform ballistic limited Si FETs[19]. A comparison for the performance of junctionless gate-all-around silicon nanowiretransistors with similar transistors with the channel material replaced with a semicon-ducting CNT indicates that due to the smaller band gaps in the CNTs and resultingambipolar effects, junctionless transistors with a Si nanowire channel will have lowerOFF state currents and comparatively better subthreshold slopes [20]. In order to achievethe promise of CNTs, however, in any large-scale integration scheme, progress isrequired in the placement and controlled growth of nanotubes with pre-selected electro-nic character.

5.2 Semiconductor crystal structures 115

Page 132: Nanowire Transistors - CAS

Graphene is not the only two-dimensional material that can be exfoliated fromgraphite bulk to form isolated monolayers. Any material that displays strong in-planebonding but with layers held together by weaker van der Waals forces is a candidate forisolation of stable monolayers [21]. An example of this class of materials are transitionmetal dichalcogenides (TMDC) and single layers of MoS2, WS2, MoSe2, and WSe2, forexample, have been prepared by exfoliation. Due to the bonding in these layers, theTMDC monolayers are not a single atomic thickness as shown in Fig. 5.7 for the case ofmolybdenum disulfide. Viewed normal to the monolayer surfaces a hexagonal-likepattern is seen as in Fig. 5.7(b), whereas a side view into the layer reveals that thebonding of the sulfur atoms to transition metal is such that a central metal layer bonds tosulfur layers above and below, as revealed in Fig. 5.7(c). Unlike graphene, these two-dimensional materials can have significant energy band gaps, and the indirect band gapsobserved for some bulk TMDCs become direct band gaps in their two-dimensional form.The reasonable values found for their band gap energies has spurred interest in the use ofthese materials for nanoelectronics applications, and the emergence of a direct band gapsuggests the materials may be useful in photonic devices such as photodetectors andelectroluminescent devices. However, fabrication of layers of the quality needed forlarge-scale nanoelectronics integration and the ability to form reliable electrical contactsto these materials remain a challenge and an area for continued exploration.

(a)

(b)

(c)

Figure 5.7 Three views of a single layer of molybdenum disulfide: (a) an off-axis perspective of a singlelayer, (b) a top view normal to a single layer, (c) a side view of a single layer.

116 Nanowire electronic structure

Page 133: Nanowire Transistors - CAS

5.3 Insulators, semiconductors, semimetals, and metals

Electrical resistance can vary by up to 24 orders of magnitude ranging from effectivelyzero in a superconductor to a yotta-ohm in insulators. Classification of a material as ametal, semimetal, semiconductor, or insulator is primarily related to the ability toconduct electricity. A fundamental measure of a material’s ability to conduct electricityis the density of states at the Fermi energy. Electronic structure can be described in“reciprocal vector” or “k-space” as energy bands. The allowed quantum mechanicalenergy states in a material collectively describe a material’s “band structure.”At 0 K, thelowest lying electronic states are filled up to the Fermi energy. As temperature isincreased, the lowest lying unoccupied energy states can become filled as governed bythe Fermi–Dirac distribution function.

In a band model of a material, an energy range about the minima or maxima of thedispersion, or band energy versus wave vector, can be described as parabolic. The rangeover which this approximation is valid depends on the explicit form of a band at energieshigher and lower than the band minima and maxima, respectively. However, given thefact the band edges are extrema, they can always be approximated as parabolas oversome energy range. In Fig. 5.8 a qualitative representation of the electronic structure ofdifferent materials is presented with models consisting of two parabolic bands or, in thecase of a metal, a single band. The grey areas within the dispersion curves depict theoccupied states that are filled at a temperature of 0 Kelvin.

Insulator(SiO2, HfO2)

Semiconductor(Ge, Si)

Semimetal(graphene a-tin)

Semimetal(bismuth, antimony)

Metal(aluminium, copper)

Figure 5.8 Simple energy band models for insulators, semiconductors, semimetals, and metals. The greyregions denote energy levels that are filled at temperatures of 0 K. At the two extremes areinsulators and metals, and intermediate to these are semiconductors and semimetals. There are twocategories of semimetals. The first of these may be thought of as a direct semiconductor with a“zero band gap” energy, and the second category may be viewed as an indirect semiconductor witha “negative band gap” energy.

5.3 Insulators, semiconductors, semimetals, and metals 117

Page 134: Nanowire Transistors - CAS

For insulators and semiconductors, there exists a forbidden energy range about theFermi level where there are no electronic states. The states below the energy gap that arefully occupied at 0 K are the valence states and the states fully unoccupied at 0 K abovethe energy gap are the conduction states. For materials such as silicon dioxide (SiO2) orhafnium dioxide (HfO2) used as dielectric insulators, the band gap is relatively speakinglarge, typically greater than 5 eV. The role of a dielectric in a MOSFET is to act as aninsulating layer and in general, in addition to chemical stability and a low number ofelectrical defects, the ideal insulator has the largest possible band gap. A material istypically considered a semiconductor if it has an energy band gap in the range of 0.1 eVto 4 eV. Hence the distinction between a semiconductor and an insulator is somewhatarbitrary with a material such as diamond used as an insulator or a semiconductordepending on the application. Semiconductors have the property that their conductivitiescan be changed by orders of magnitude by the introduction of defects, impurities ordopants with energy levels near the conduction or valence band edges, but indeed manyinsulators such as oxides can share this property too.

In a metal, the Fermi level lies in the middle of an energy band or there are manyoverlapping energy bands at the Fermi level. The electrons in a partially filled band aremobile. As electrons are delocalized in a metal and since many unoccupied states areavailable to the electrons at the Fermi energy, metals have a high conductivity. Theconductivity of a good metallic conductor such as aluminum or copper is approximately10 orders of magnitude higher than that of intrinsic silicon.

If the band gap energy is small compared to the value of kBT at a giventemperature, electrons will be thermally excited from the valence band to the conductionband. In contrast to a good conductor, there would be a relatively low density of states atenergies near the Fermi level as the top of the valence band and the bottom of theconduction band are the only states accessible. If the band gap were to become zero, thevalence and conduction band edges would meet and the density of states would remainlow. A “zero band gap” material with a low or vanishing density of states at the Fermilevel describes a semimetal. Like a metal, there is no energy band gap but unlike a metalthere are only a small number of electrons to conduct at the Fermi level. Graphene is asemimetal, although it has the unusual property that its energy dispersion at the Fermilevel is not parabolic but rather is linear. A similar band structure is found for tin in the α-phase.

Another type of band structure that can lead to semimetal behavior can be found inmaterials such as bismuth and antimony. This category of semimetal may be consideredas an indirect band gap semiconductor, but where the conduction band minimum isbelow the valence band maximum. Clearly as seen in Fig. 5.8 the definition of valenceband and conduction band has been blurred as there are unoccupied “valence states” andoccupied “conductance states” at a temperature of 0 K. Often these types of metalsare referred to as having a “negative band gap,” although this is intended only to bedescriptive of the band structure, as technically the band gap is zero. The band structureresults in two partially filled bands at the Fermi level and a density of states that is higherthan the density of states in graphene or α-tin which approach zero at the Fermi level.The low density of states at the Fermi level implies a lower conductivity than for good

118 Nanowire electronic structure

Page 135: Nanowire Transistors - CAS

metallic conductors, and indeed the conductivity found for semimetals at room tem-perature can be two to four orders of magnitude smaller than for copper. However, for amaterial like graphene with a linear dispersion at the Fermi level, the effective massesfor charge carriers are approximately zero. Hence very high mobilities matching orexceeding good metallic conductors can in principle be achieved with semimetals.

This is a simplified representation of a material’s electronic band structure andthe relationship to conductivity. Detailed band structures for materials will beconsidered in Sections 5.6 and 5.7. Nonetheless, the simplified models do capturethe essential physics that permits a distinction between insulators, semiconductors,semimetals, and metals based solely on characteristics of their electronic bandstructures. To understand the differences between, for example, two semiconduct-ing materials requires an explicit knowledge of the differences between theirindividual band structures.

5.4 Experimental determination of electronic structure

The experimental determination of electronic band structure for a material can inferproperties either by extracting parameters to describe a measurement, or by directmeasurement of quantities that can be interpreted in terms of the electronic bandstructure. In general, experimental determination of the electronic structure of materialscan be characterized as either electrical or optical measurements. Electrical and opticaldata can be used to extrapolate data and to estimate a band gap and infer whether a bandgap is direct or indirect. Other methods or techniques allow for a direct determinationof a band gap such as scanning probe microscopy (SPM) or photoelectron spectroscopy.For detailed mapping of the electronic structure throughout the Brillouin zone, opticalmeasurements in the form of angle resolved photo-emission spectroscopy can beapplied. A complete determination of the electronic structure of a material may bemeasured at a few physically important regions in the Brillouin zone or at points ofhigh symmetry. Experimental measurements combined with theoretical calculations canprovide a detailed understanding of electronic bands and the physical properties that canbe extracted from a band structure. In the following, a short survey of experimentaltechniques is provided to provide a glimpse at how various methods can be applied andthe type of information that can be obtained from the measurements. In Section 5.5, thesubject of calculating electronic structure from the principles of quantummechanics willbe discussed.

5.4.1 Temperature variation of electrical conductivity

Straightforward means for estimating band gaps in semiconductors can be obtainedfrom extrapolation approaches relying on the measurement of the temperaturevariation of conductivity. Electrical conductivity for a semiconductor sample maybe obtained through two-point and four-point probe measurements, or by Hallmeasurements. For an intrinsic semiconductor with a band gap one to two orders

5.4 Experimental determination of electronic structure 119

Page 136: Nanowire Transistors - CAS

of magnitude larger than the thermal energy at room temperature, the conductanceof a sample increases moderately as the temperature is raised from low valuesprimarily due to the thermal excitation of electrons from the valence band into theconduction band. In doped semiconductors, electrons are excited into the conduc-tion band from the impurity or dopant states, and free-flowing elections arecreated. Conversely for impurities accepting electrons from the valence bandedge, hole states are created. As temperature increases, impurity states occurringin the band gap will become fully ionized and the conductivity will remainconstant as a function of temperature. As the temperature increases further, elec-trons can be thermally excited from the valence band across the energy band gapto the conduction band. At the onset of this process, the conductivity begins toincrease again with temperature. The probability of an electron being occupied isgiven by the Fermi–Dirac distribution function, which will be discussed further inChapter 6. The electron distribution function is then expressed as

fDðEÞ ¼ 1

eðE�μFÞ=kBT þ 1 ; ð5:1Þ

where E is the electron energy, μF is the Fermi energy which for an intrinsic material ismidgap or at Eg=2 relative to the valence band edge taken as the zero of energy, and kBTis the thermal energy. For reasonable values of the band gap energy (i.e. sufficiently largewith respect to kBT) and at typical measurement temperatures of 300–500 K, theprobability of an electron being occupied at the conduction band edge EC can beapproximated by a Boltzmann factor

fBðECÞ ∝ expð�Eg=2kBTÞ: ð5:2Þ

As the conductivity is proportional to the number of free carriers, the temperaturedependence of the conductivity can be expressed as

σðTÞ ¼ σ0 expð�Eg=2kBTÞ: ð5:3Þ

For semiconductor materials typically used in electronics, this approximation appliedto the temperature range between 300 and 500 K serves as a reasonable description.Plotting the natural logarithm of the conductivity versus the inverse temperature leads toextraction of a value for the band gap energy. It is assumed in this simple derivation theenergy band gap is independent of temperature whereas it varies, albeit relatively slowly,over the temperature ranges over which the measurements are typically performed.Within the approximations made, this simple approach can lead to energy band gapestimates that are typically within tens of millielectron-volts of values as determinedfrom more accurate experiments.

The extraction of band gaps for doped materials is not as straightforward as forintrinsic semiconductors. However, if a pn junction can be formed, a similar approachcan be applied to the determination of the energy band gap. A pn junction’s ability torectify is expressed by the Shockley or diode equation

120 Nanowire electronic structure

Page 137: Nanowire Transistors - CAS

IðVÞ ¼ I0 expqVkBT

� �� 1

� �; ð5:4Þ

which describes the characteristic that there is a large flow of charge with a forwardvoltage bias but limited charge in the reverse bias direction given by the reversesaturation current I0. The reverse saturation current arises from different mechanisms:diffusion currents, carrier generation inside the depletion region, surface leakage effects,and tunneling of carriers between states in the band gap. The latter two effects can beeliminated or reduced and may be in a first approximation neglected and carrier genera-tion is generally much lower than the diffusion currents, thus the reverse saturationcurrent can be primarily attributed to the minority carriers entering the depletion regionand being swept across the junction by the built-in electric field. In this case, theexpression for the reverse saturation current can be expressed as

I0 ¼ AT3 þ γ=2expð�Eg=kBTÞ; ð5:5Þ

where A is a material related constant, γ is related to the temperature dependence of themobility, and all other variables and parameters are as previously defined [22]. Re-expressing the Shockley equation using Eq. (5.4) for the reverse saturation current leadsto

qV þ 3þ γ2

� �kBT lnðTÞ ¼ Eg þ kBT lnðI=AÞ: ð5:6Þ

As lnðTÞ is a slowly varying function over the temperature range of interest, a plot ofqV at fixed current versus temperature is approximately linear with the zero temperatureintercept approximating the band gap energy. For more accurate approximations, thesecond term on the left-hand side can be used to correct the voltage expression leadingagain to estimates of the band gap within tens of millielectron-volts of energies obtainedfrom more accurate measurement techniques.

The electrical measurements presented provide relatively straightforward means forextracting band gap energies and provide reasonable accuracy. However, relying on anextrapolation procedure can introduce relatively large experimental uncertainties in theband gap energies. Furthermore, extracting more detailed electronic structure beyondthe band gap energy from electrical characterization data is difficult.

5.4.2 Absorption spectroscopy

If the value of a band gap for a semiconductor is required, absorption spectroscopy isoften a preferred choice to obtain a measurement as the experiments are relativelystraightforward and reasonable accuracy for band gap energies can be obtained. If amore accurate determination is required, additional techniques such as reflection spec-troscopy and measurement of photo-diffusion currents can be used in conjunctionwith absorption spectroscopy to improve the accuracy of measured band gaps. Thefundamental assumption in absorption spectroscopy is that a material follows the

5.4 Experimental determination of electronic structure 121

Page 138: Nanowire Transistors - CAS

Beer–Lambert law, which states that the amount of light transmitted through a materialdecays exponentially with a material’s thickness. Thus the light transmittance defined tobe the intensity of incident light to light transmitted through a thin sample is given by

T ¼ I=I0 ¼ expð�αlÞ; ð5:7Þ

where T is the light transmittance, I0 is the incident light intensity, I is the transmittedintensity, α is the absorption coefficient governed by the mechanisms for light interac-tions with a sample, and l is the length the light travels through the material, i.e. thethickness of the material sample. The exponential law is simply the mathematicalstatement that the probability for absorption of light within a differential length dl isassumed constant throughout the sample. A simplified view of an absorption experimentis shown in Fig. 5.9 for a single beam configuration. However, most experiments willhave a dual beam set-up to measure the incident and transmitted beams simultaneouslyto compensate for instrumental drift during the course of a measurement [23].

In many absorption measurements, a powder form of a material is prepared anddissolved into a solvent with corrections to the Beer–Lambert law to account for thesize of the cell containing the solution and to account for the concentration of thesolvated sample. Clearly for nanoelectronics applications, absorption through thinfilms on transparent substrates can in many cases be readily achieved but similarexperiments for general nanostructured materials can be much more challenging. Inthese cases sophisticated experimental set-ups are required to perform an absorptionmeasurement; however, for dense nanowire arrays similar experiments can be per-formed. In some instances, reflection spectroscopy can simplify the measurements.However, it is instructive to consider the fundamental concept of relating light

entranceslit

broad frequencylight source

monochromator

exitslit

sample

detector

Figure 5.9 A graphical depiction of an absorption spectrometer. The monochromator is used to direct lightwith varying frequency onto a sample with transmitted light measured at a photodetector. Thedifference between intensities with and without the absorbing sample allows for determination ofthe absorption.

122 Nanowire electronic structure

Page 139: Nanowire Transistors - CAS

transmission through a sample to band gap energies, as well as to ask if additionalinformation about a sample’s electronic structure can be extracted from absorptionmeasurements.

The fact that a band gap energy can be extracted by repeating electrical measurementsas a function of temperature was discussed in Section 5.4.1. In an optical absorptionexperiment, the band gap is determined by varying the energy of the incident light usinga monochromator as shown in Fig. 5.9. For a semiconductor, if the energy of the incidentphotons are less than the material’s band gap, there are no electronic states accessible towhich the light can interact and hence the light cannot be absorbed. The incident andtransmitted intensities for this energy range will ideally be equal. For incident photonenergies greater than the material’s band gap, the transmitted light intensity becomesattenuated and the absorption coefficient increases. A plot of the absorption coefficientversus incident photon energy then shows a threshold that indicates the onset ofabsorption. This onset threshold corresponds to the material’s band gap energy. Abovethe band gap energy, the behavior of the absorption coefficient can also be used todetermine if the sample is a direct or indirect semiconductor. For an incident photonfrequency of ν or energy hν where h is Planck’s constant, the following relation can beused for determining both the band gap energy and whether band gap is either direct orindirect

αhν ∝ ðhν� EgÞn; ð5:8Þ

where it can be shown an exponent of n ¼ 1=2 corresponds to a direct gap material withallowed transitions at the band gap energy, and n ¼ 2 is for transitions involving anindirect band gap [24]. A graph of Eq. (5.8) is known as a Tauc plot and is commonlyapplied to determine both the value of the band gap and the nature of photo-excitationsoccurring at the band gap energy. The difference in the value of the exponent betweendirect and indirect photo-transitions arises from energy conservation and the fact thatfor a direct transition no accompanying momentum change is required at the onset ofabsorption, whereas an indirect transition requires additional quasi-particle momentumchanges to account for the accompanying crystal momentum change as indicated inFig. 5.4.

5.4.3 Scanning tunneling spectroscopy

There are electrical measurements that can be performed on a semiconductor to directlydetermine the band gap energy without relying on a numerical extrapolation and thesemeasurements belong to a family of techniques known as scanning tunneling spectro-scopy (STS). In Chapter 4, scattering off a step potential was considered. A relatedproblem is scattering through a potential barrier with finite spatial extent such as for therectangular potential barrier depicted in Fig. 4.3(a) that is often used to demonstrate thequantum mechanical phenomenon of tunneling. Tunneling processes occur whenthe energy of an incident electron is lower than the energy of a potential barrier forwhich the corresponding classical process it would be found the probability of finding an

5.4 Experimental determination of electronic structure 123

Page 140: Nanowire Transistors - CAS

electron crossing the barrier region would be zero. In quantum mechanics, there is afinite probability that an electron incident from one side of the potential barrier can“tunnel” through the classically forbidden region under the potential energy barrier andemerge on the opposite side. The calculation outlined in Section 4.4 for the scatteringoff a step potential can be repeated for the case of a rectangular potential profile withincident electron energies less than, equal to, and greater than the potential barrierheight. These three energy ranges each lead to different behavior for the electrontransmission. Focusing on the quantum mechanical solution for the transmission ofelectrons with incident energy less than the height of a rectangular potential, it is foundthat in contrast to the classical case the transmission probability for electrons withenergies less than the barrier height is non-zero and the tunneling component of thewave function increases exponentially as the barrier width is decreased.

In scanning tunneling microscopy (STM), a conducting probe is brought within lessthan a nanometer of a surface. The conducting probe is typically a metal that is fashionedinto an apex or “tip,” although conducting carbon nanotubes can also be used as probes.The spatial gap between the probe tip and sample gives rise to a potential barrier toelectron flow. If the tip approaches close enough to a surface, electrons from either the tipor the surface can tunnel across the barrier from occupied states into empty states. Figure5.10 demonstrates the basic idea. In Fig. 5.10(a), the metal probe with a continuousdensity of states is shown on the left and an intrinsic semiconductor with a Fermi level atmid-band gap is shown on the right. A potential barrier due to the spatial gap is situatedbetween probe and sample. The different work functions for the materials result in anenergy offset between the materials, and at zero voltage bias there are no empty states forelectrons from the probe tip to tunnel into the semiconductor, or vice versa. InFig. 5.10(b), a voltage is applied across the junction. If the reference voltage is takento be the probe tip, then it is seen that the semiconductor states are shifted down in energyresulting in the empty semiconductor conduction band aligning to the Fermi level of themetal and a tunnel current can flow. As the electrons flow from the metal tip to thesemiconductor, the semiconductor has a forward voltage bias applied with respect tothe probe tip. Reversing the voltage bias results in the configuration of Fig. 5.10(c),whereby the semiconductor states shift upwards with respect to the metal probe tipstates, and the highest energy filled valence states in the semiconductor can tunnel intothe unoccupied metal states of the probe tip with energies above the Fermi level.

A defining feature of scanning probe techniques is the tunneling current is exponen-tially sensitive to the spatial gap between the probe tip and sample. Even though a probetip may possess roughness on an atomic scale, it is only the protrusions of the tip nearestthe surface that lead to significant tunneling currents. Hence STMmethods can measurewith atomic scale resolution and, with use of the technique, the local density of states atsurfaces can be determined. Many different applications of SPMmethods have led to anincredible variety of surface images or related measurements whereby probe tips arescanned or “rastered” across a sample to map surface atomic positions as inferred fromthe local density of states as measured at the Fermi energy [25]. Using scanning probetechniques, the emergence of the parabolic energy dispersion in atomic chains ofincreasing length has been determined [26], and it is even possible to image

124 Nanowire electronic structure

Page 141: Nanowire Transistors - CAS

energy-resolved local density of states allowing the charge density associated to single-electron orbitals to be observed [27,28].

This qualitative description of scanning tunneling microscopy can be given a theore-tical basis by considering the transfer Hamiltonian approach developed by Bardeen forthe description of tunneling between twometal films separated by a thin oxide layer [29].In this approach, a many-electron state for the metal regions is constructed from

DOS(E)

DOS(E)

U

EEU

U

–x +x

–x +x

–x +x

(c)

(b)

(a)

Figure 5.10 Schematic of a scanning tunneling microscope (STM). On the left is the density of states for ametallic STM tip and on the right is the density of states for a semiconductor surface. Blackregions in the density of states signify occupied states and grey areas indicate unoccupied states.The central region indicates the tunneling barrier due to the gap between the tip and surface. (a) Novoltage bias applied between probe tip and sample. (b) The semiconductor sample is positivelybiased with respect to the tip. (c) The semiconductor sample is negatively biased with respect tothe tip.

5.4 Experimental determination of electronic structure 125

Page 142: Nanowire Transistors - CAS

quasi-particles localized in the metals on either side of the oxide tunneling barrier. Atransition from an electron in an occupied state on one side of the barrier to anunoccupied state on the other side of the barrier is treated as a small perturbation tothe overall many-electron state. The analysis leads to an expression for the tunnelingcurrent that may be written for the case of a probe tip and surface as

I ¼ 4πjqjℏ

ðþ∞

�∞

�fDðEF � qV þ EÞ � fDðEF þ EÞ�ρSðEF � qV þ EÞρTðEF þ EÞjM j2dE;

ð5:9Þ

where fD are the Fermi–Dirac distribution functions for the electrons in the sample andtip, and ρS and ρT are the density of states in the sample and tip, respectively. M is thetransition probability matrix element that governs the probability an electron will tunnelfrom a state in the STM tip to the sample or vice versa. Bardeen argued that this matrixelement can be assumed approximately constant for many relevant tunneling conditions.At low temperatures the tunneling current can be expressed as

I ∝ðqV0

ρSðEF � qV þ EÞ ρTðEF þ EÞ dE; ð5:10Þ

which is the convolution of the tip and sample density of states over the energy rangedetermined by the voltage applied between the probe and sample. For metal probe tipsand for small voltage biases, it is often reasonable to approximate the STM tip density ofstates as constant. Hence the current is found to be proportional to the sample density ofstates summed over the voltage bias window. As the probe tip can achieve sub-atomicresolution, the tunneling current can be directly related to the local density of states in asample.

Scanning tunneling microscopies are extremely powerful methods for the character-ization of nanowire structures. The ability to resolve atomic positions allows a determi-nation of the faceting of semiconductor nanowire surfaces allowing the deduction of thecrystal orientation along a wire’s long axis. And as can be anticipated from the precedingdiscussion, the onset of current peaks when scanning with forward and reverse voltagebiases results in large current onsets that are signatures of the valence and conductionband edges allowing for a direct determination of the band gap energy [30]. Using thistechnique, a study of silicon nanowires with diameters in the 1 to 7 nm range with thenative oxide removed and the surface subsequently re-passivated with hydrogen [31]was performed. Using scanning tunneling techniques, the surfaces of grown nanowireswere imaged and the surface facets and nanowire orientations determined. The bandgaps for the materials were determined with the 7 nm wires having essentially a bulksilicon value with a band gap energy of 1.1 eV, increasing due to quantum confinementmonotonically up to 3.5 eV for 1.3 nm diameter nanowires. The measurements areconsistent with theoretical expectations for the confinement effect. In addition toobtaining structural and electronic information, the stability of the surfaces was alsoinvestigated by performing the measurements under vacuum and in atmosphere over

126 Nanowire electronic structure

Page 143: Nanowire Transistors - CAS

time, suggesting that the surface chemistry of the nanowires can be more stablein atmosphere relative to similarly treated planar silicon surfaces.

5.4.4 Angle resolved photo-emission spectroscopy

To go beyond determining band gap energies and limited additional information such asif a band gap is direct or indirect requires a technique that can simultaneously determinethe energy and momentum change of an excited electron or hole during a photo-transition. A method that allows mapping of the energy dispersion for a given crystalorientation is angle resolved photo-emission spectroscopy (ARPES). The photoelectriceffect is a well-known technique for determining the work function of material bymeasuring the energy of photons incident to the surface at which the onset of photo-emitted electrons is observed. By measuring both the kinetic energy and angulardistribution of photo-emitted electrons from a sample, the energy and momentum ofelectrons propagating within a sample can be deduced, and hence can be used to infer amaterial’s electronic band structure.

Light incident on a sample can photo-excite electrons, some of which may gainenough energy that electrons can travel to the surface and escape. At the threshold forthis process, the emitted electron kinetic energy is given by

EKE ¼ hν� Φ; ð5:11Þ

where EKE is the electron kinetic energy, hν is the incident photon energy, and Φ is thematerial’s work function. This is the maximum kinetic energy for a photo-emittedelectron for a given incident photon energy. If an electron is excited from a lowerbound state, the kinetic energy of the emitted electron will be given by

EKE ¼ hν� Φ� jEBj; ð5:12Þ

where EB is the bound state energy of the electron in the solid referenced to the Fermienergy. Momentum conservation requires that

ℏ~khν ¼ ℏ~k f � ℏ~k i; ð5:13Þ

where ℏ~khν is the incident photon momentum, ℏ~k f is the photo-emitted electron’s orfinal momentum, and ℏ~k i is the momentum of the electron in the sample or initialmomentum. Hence if the photo-emitted electron’s kinetic energy and momentum can bemeasured, the energy dispersion of single electrons in a solid can be determined. Theequations describe photo-emission from valence states, assumptions can be maderegarding the nature of conduction states and the method can be extended to describeunoccupied bands. For a more accurate determination of conduction band states, inversephoto-emission spectra can be applied whereby electron attachment processes arestudied.

The experimental configuration for an ARPES measurement [32] is shown inFig. 5.11. A beam of monochromatized light is introduced incident upon a material

5.4 Experimental determination of electronic structure 127

Page 144: Nanowire Transistors - CAS

sample. Most ARPES measurements are performed in the vacuum ultraviolet spectrum(photon energies of approximately 6–124 eV) and hence the most common light sourcesused are synchrotron radiation. High-quality, crystalline materials carefully aligned tothe incident photon beam along a chosen symmetry axis are required for characterizationof the dispersion. The incident light is of sufficient energy to photo-excite electrons andthose with sufficient kinetic energy can escape from the surface in directions governedby momentum conservation and symmetry. An electron lens is used to collect emittedelectrons at a given solid angle relative to the sample and to focus the electrons onto ahemispherical analyzer. The analyzer acts as a filter for electrons of a given kineticenergy by holding plates of a hemispherical capacitor at a constant voltage allowing onlyelectrons within a narrow range of kinetic energies to traverse between the plates andonto a two-dimensional electron detector situated at the exit of the kinetic energyanalyzer.

Having determined the kinetic energy of the emitted electrons, the magnitude of the

emitted electrons’momentum p ¼ ℏkF ¼ ffiffiffiffiffiffiffiffiffiffiffiffiffiffi2mEKE

pis also known. The experiments are

designed to allow the azimuthal φ and polar ϑ angles of the detector with respect to thesample to be varied, allowing the components of the electron momentum to bedetermined:

entranceslit

broad frequencylight source

monochromator

exitslit

sample

2D detector

electronlens

hemisphericalanalyzer

Figure 5.11 Schematic for an angle resolved photo-emission spectroscopy measurement. Note that the solidlines leading to the sample from the light source indicate photons, whereas the lines leaving thesample denote photo-emitted electrons. Electrons emitted by the photo-electric effect are guidedby an electrostatic lens and enter a hemispherical energy analyzer. The measurement allows for adetermination of the energies and momenta of emitted electrons and this information is sufficientto build a picture of a material’s band structure.

128 Nanowire electronic structure

Page 145: Nanowire Transistors - CAS

ℏkx ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffi2mEKE

psin ϑ cos φ;

ℏky ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffi2mEKE

psin ϑ sin φ;

ℏkz ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffi2mEKE

pcos φ:

ð5:14Þ

Given these relations and the energy and momentum conservation laws, Eqs. (5.12) and(5.13) allow for the determination of both the binding energy and crystal momentum ofelectrons in a solid sample yielding electron dispersion relationships. By selectingdifferent crystal orientations to align with the incoming light beam, the energy banddiagram throughout the Brillouin zone can be resolved.

The application of ARPES to nanowire structures is in its infancy. There are sig-nificant experimental difficulties associated with measurement of arrays of nanowires,signal strength and background signals, adsorption depths, and the fact that surfaceemission is a 3D problem in nanowires. However, the information that ARPES canpotentially provide is valuable for understanding how nanowire electronic structuresvary with confinement dimensions. Although it can be tedious and difficult to determineelectronic structures throughout a Brillouin zone from a set of samples, having accurateexperimental data at key values such as in the vicinity of band maxima and minima inenergy ranges close to the Fermi level to validate and calibrate theoretical calculations isanticipated to provide a valuable contribution for development of new technologiesusing nanowires, once experimental challenges are overcome.

5.5 Theoretical determination of electronic structure

Modern electronic structure methods can complement experimental determination ofband structures for solids and low-dimensional systems. A combined experimental andtheoretical study of a material’s electronic properties and band structures can lead to acomprehensive knowledge of both macroscopic and microscopic behavior andresponses of materials to external probes such as light, pressure, voltage, and heat.Theoretical electronic structure methods also allow the changes in a material’s proper-ties to be determined as physical dimensions are scaled. To complement the introduc-tion of experimental methods that can determine electronic structure properties, thissection provides an overview of theoretical means to study many-electron systems.Computation of material properties using the laws of quantum mechanics invariablyinvolves making simplifying assumptions and approximations to reduce the overalltime needed to complete a calculation. Several of the more common theoreticalapproximations are described, as well as limitations inherent in the approximationsare presented.

A valuable principle that underpins many of the theoretical treatments of many-electron systems is the variational theorem. The principle is a powerful statement andallows for the exact solution of a problem by considering arbitrary variations Ψtrial ¼Ψ0 þ δΨ about an exact solution Ψ0. It can be shown that a reasonable trial wavefunction will satisfy the following relationship:

5.5 Theoretical determination of electronic structure 129

Page 146: Nanowire Transistors - CAS

ðdτ Ψ�

trialðτÞH ΨtrialðτÞ=ðdτ Ψ�

trialðτÞΨtrialðτÞ ≥E0; ð5:15Þ

where E0 is the exact energy. In Eq. (5.15), τ represents all relevant degrees of freedom ina wave function such as spatial coordinates and spin. The variational principle leads toassessment of the quality of various approximations and leads to equations to determineapproximate solutions that are accessible by computation.

5.5.1 Quantum many-body Coulomb problems

Up to this point, treatment of electron energies has been from a single-electron approx-imation in which electrons are assumed to move under the influence of a fixed, externalpotential: the motion of an individual electron in no way alters the value of the potentialenergy governing the solution of the Schrödinger equation. Clearly this is an approx-imation as the potential energy must arise from the presence of other electrons andnuclei. The motion of an electron will couple back to the other particles therebyinfluencing the potential energy each electron “sees.” The quantum mechanics of themutual interactions of many electrons and nuclei is one example of a quantummany-body problem.

The non-relativistic Coulomb Schrödinger equation for the hydrogen atom can bewritten

TN þ Te þ Uð~re;~RNÞh i

Ψð~re;~RNÞ ¼ EΨð~re;~RNÞ; ð5:16Þ

where the total electronic and nuclear energy is E; the kinetic energy operators areTN ¼ �½ℏ2=2MN�~∇2

~RNand Te ¼ �½ℏ2=2me�~∇2

~re for the nucleus of massMN and position~RN, and electron of massme and position~re, respectively. The two-body equation for thehydrogen atom displays important characteristics of quantum many-body Coulombproblems: the system’s total kinetic energy operator is the sum of the individualone-particle kinetic energies

Ttotal ¼Xno: of particles

i¼1Ti; ð5:17Þ

and the total potential energy operator is given by the two-body Coulomb potentialgoverning the pair-wise interactions between the negatively charged electron andthe positively charged nucleus, in general for an arbitrary number of chargedparticles

Utotal ¼Xno: of particles

i¼1

Xno: of particles

j>1Uij: ð5:18Þ

Neutral atoms consist of a nucleus with a positive charge Z and number of electronsNe ¼ Z, where Z is the atomic number. Using the above prescription for writing theHamiltonian operator, the energy operator for an atom is

130 Nanowire electronic structure

Page 147: Nanowire Transistors - CAS

H ¼ �½ℏ2=2MN�~∇2~RN

�XNe

i¼1½ℏ2=2me�~∇2

~riþXNe

i¼1Ue�nð~ri;~RNÞ

þXNe

i¼1

XNe

j>iUe�eð~ri;~rjÞ; ð5:19Þ

where~ri denotes the ith electron and the potential energy terms are given by the Coulombinteraction between the nucleus with all electrons and between all pairs of electrons,respectively. Explicitly, the electron–nucleus Coulomb interactions are given by

Ue�nð~ri;~RNÞ ¼ � Zq2

4πε0j~RN �~rij; ð5:20Þ

where the interaction is negative, indicating that it is attractive, and ε0 is the permittivityof free space. The electron–electron interactions are given by

Ue�eð~ri;~rjÞ ¼ þ q2

4πε0j~ri �~rjj; ð5:21Þ

where the interaction between the ith and jth electrons is positive as their interaction isrepulsive. It is straightforward to extend the Hamiltonian operator to the case ofmolecular systems by allowing for multiple atoms:

H ¼ �XNN

A¼1ℏ2=2MA

h i~∇2

~RA�XNe

i¼1ℏ2=2me

h i~∇2~riþXNN

A¼1

XNN

B > AU

n�nð~RA;~RBÞ

þXNe

i ¼ 1

XNN

A ¼ 1Ue � nð~ri;~RAÞ þ

XNe

i¼1

XNe

j > iUe�eð~ri;~rjÞ; ð5:22Þ

withNN nuclear positions labeled by the indices A;B. The explicit form for the Coulombinteraction between two nuclei is

Un�nð~RA;~RBÞ ¼ þ ZAZBq2

4πϵ0j~RA �~RBj; ð5:23Þ

and since the nuclei are both positively charged, the interaction is repulsive. For a solid,theHamiltonian Eq. (5.22) is extended to an infinite set of atoms. Using the symmetry of acrystal applied to the wave function, the many-body problem for an infinite set of atomscan be replaced by a Hamiltonian defined in a Brillouin zone with an infinite number ofk-points. It is the latter form that is used in electronic structure calculations with periodicboundary conditions, along with further approximations as required to reduce the com-plexity of the problem. The Schrödinger equation can be expressed concisely as

HΨ f~rig; f~RAg� �

¼ ETΨ f~rig; f~RAg� �

; ð5:24Þ

where f~rig and f~RAg denote the set of 3Ne and 3NN spatial electronic and nucleardegrees of freedom and ET is the total energy for the system of interacting electrons and

5.5 Theoretical determination of electronic structure 131

Page 148: Nanowire Transistors - CAS

nuclei described by the many-body wave function Ψ. Analytical solutions for quantummechanical many-body problems interacting through two-body Coulomb potential havenot been found. Hence much of the effort in solving problems in atomic, molecular, andsolid state physics focus on reducing the number of degrees of freedom that need to beexplicitly treated and to introduce simplifying physical approximations and efficientmethods for numerical solutions. The need to reduce the degrees of freedom in quantumCoulomb problems was recognized early during the development of quantummechanicsand the Born–Oppenheimer approximation was formulated to separate the electronicand nuclear degrees of freedom [33]. The approximation is motivated by the fact that theratio of the mass of a proton to that of an electron is roughly 1836:1. Hence the timescales governing the motion of the nuclei are expected to be much longer than that of theelectrons, suggesting that the kinetic energy of the nuclei can be decoupled from theelectronic degrees of freedom as a first approximation. This simple physical argumentsuggests the separation of the nuclear and electronic degrees of freedom in the many-body wave function as

Ψ f~rig; f~RAg� �

≈ Ψe f~rig; f~RAg� �

ΦN f~RAg�:

�ð5:25Þ

It can be shown that, under appropriate conditions, a Schrödinger equation can bedefined to treat the position of the nuclei as “fixed,” or what is sometimes referred to asthe clamped atom approximation, and this is given by

�XNe

i¼1½ℏ2= 2me�~∇2

~riþXNN

A¼1

XNN

B>AUn�nð~RA;~RBÞ þ

XNe

i¼1

XNN

A¼1Ue�nð~ri;~RAÞ

hþXNe

i¼1

XNe

j > iUe�eð~ri;~rjÞ

iΨe f~rig; f~RAg� �

¼ Ee f~RAg� �

Ψe f~rig; f~RAg� �

; ð5:26Þ

where Ee denotes the total electronic energy plus nuclear–nuclear repulsions. Equation(5.26) is known as the electronic Schrödinger equation and it should be noted that thenuclear degrees of freedom are fixed, and hence act as scalar quantities as they arenot operators. The attractive electron–nuclei potential function Ue�nð~ri;~RAÞ becomes aone-electron operator and the nuclear–nuclear repulsion Un�nð~RA;~RBÞ terms are scalarsand can simply be added to the solution of the equation at the end of the calculation. Thenuclear degrees of freedom act as parameters to the eigenvalues and eigenfunctionswithin the Born–Oppenheimer approximation to the electronic energy. The solution ofthe eigenvalue problem leads to an effective equation for the quantum mechanicalbehavior of the nuclei:

�XNN

A¼1½ℏ2=2MA�~∇2

~RAþ Ee f~RAg

� �h iΦN f~RAg� �

¼ EB�OΦN f~RAg� �

; ð5:27Þ

where EB�O is the Born–Oppenheimer approximation to the total energy including thenuclear kinetic energy. Note that the electronic energy Ee f~RAg

� �serves the role of a

potential energy for the nuclei. Hence vibrational and phonon spectra can be obtained

132 Nanowire electronic structure

Page 149: Nanowire Transistors - CAS

through second-order differentials with respect to the atomic positions (nuclear coordi-nates) of the electronic energy at local minima leading to determination of “forceconstants.” The electronic energy defines a potential energy surface (PES) as a functionof the atom positions f~RAg for a molecule or solid, with minima on the PES determiningstable configurations.

Although motivated by the ratio of the mass of the proton to the electron, if this wasthe only condition for the validity of the Born–Oppenheimer approximation Eq. (5.27)would be a good approximation in all circumstances. However, this is not the case. Forthe approximation to be valid, the following conditions must also hold:

∂=∂~RAΨe f~rig; f~RAg� �

≈ 0; ∂=∂~RAΦN f~RAg� �

≈ 0: ð5:28Þ

Of course, what is meant by “approximately zero” defines the quality of the approx-imation. In general, for most electronic structure calculations the Born–Oppenheimerapproximation is accurate if the kinetic energy of the nuclear degrees of freedom (i.e. themotion of the atoms) is small relative to the kinetic energies of the electrons. There arecircumstances where the above terms are not negligible, and their proper treatment mustbe addressed as for chemical reactions involving coupling between different many-electron PESs and high-energy molecular scattering problems.

Measurements on materials systems are seldom concerned with total energies, butdetermine differences in system energies after absorption/emission of photons, orelectrons, or changes in energy and momentum that can occur during scattering pro-cesses. Defining the total electronic energy of a system of Ne electrons as EðNeÞ; theenergy to remove an electron or the ionization potential can be defined as

EIP ¼ EðNe � 1Þ � EðNeÞ; ð5:29Þ

similarly an electron attachment process yields the electron affinity as

EEA ¼ EðNe þ 1Þ � EðNeÞ; ð5:30Þ

and an electronic excitation is the difference in energy between the initial and final N-electron states,

E� ¼ E�ðNeÞ � EðNeÞ: ð5:31Þ

From themany-electron states, excitations for single electrons and their properties canbe defined. The excitations and electron attachment and removal energies can often bedescribed as “quasi-particles.” These are not free electrons but electrons that are“dressed” through the many-body interactions in the system. In many cases the quasi-particles can be treated as solutions to an effective Hamiltonian energy operator, but dueto the interactions with the system these particles are characterized by an energy broad-ening or resonance that results in a finite particle lifetime.

Although the Hamiltonian operators for Coulomb systems are straightforward towrite down, they have proven to be difficult to solve even using numerical methods.

5.5 Theoretical determination of electronic structure 133

Page 150: Nanowire Transistors - CAS

The difficulty in solving quantum many-body terms can be traced back to the form of

the Coulomb interaction q2=4πε0j~ri �~rjj. This form of potential energy does not allowfor a separation of variables when attempting solution to quantum Coulomb problems,hence for example in a two-electron problem the wave function is not separable:

H Ψð~r1;~r2Þ ≠H f ð~r1Þgð~r2Þ: ð5:32Þ

Nevertheless, making such an ansatz is a useful approximate method of proceeding andwill serve as the basis for many of the subsequent methods to be discussed that have beendevised to provide approximate solutions to quantum many-body problems: mostapproximate solutions to the quantum many-body Coulomb problem rely on a single-particle picture which is realized mathematically by factorizing a many-body wavefunction into the product of functions of a single variable. Finally, it is noted that thesolution of the many-electron Hamiltonian, and therefore to obtain all electronic proper-ties of a molecular or solid state system, is the ultimate goal of electronic structuretheory.

5.5.2 Self-consistent field theory

Following the introduction of quantum mechanics in its modern form during the years1925–26 [34,35,36], Hartree introduced a procedure for the approximate solution ofmany-electron atomic problems [37]. Hartree’s method is based upon Born’s interpreta-tion of the square of the wave function as the probability density of finding a particlewithin a region

ρð~rÞ ¼ qψ�ð~rÞψð~rÞ; ð5:33Þ

and the form for the classical potential energy for a charge distribution

UHð~rÞ ¼ qðρð~r 0Þ= 4πε0j~r �~r 0j½ �d3r0; ð5:34Þ

where the charge density in the following discussion is for the Ne � 1 “other” electronsinteracting with a given electron. This term is called the Hartree potential. Note that theterm Hartree potential is also used to describe the electrostatic potential arising from allNe electrons and the specific meaning must be applied within the appropriate context.The Schrödinger equation for an electron moving in the potential field of the Ne � 1other electrons and the electrostatic potential arising from the atomic nuclei UNð~rÞnucleus can be written as

�½ℏ2=2me�~∇2~r þ UNð~rÞ þ UHð~rÞ

h iψð~rÞ ¼ Eψð~rÞ; ð5:35Þ

where UNð~rÞ is the potential of the single electron in the Coulomb field from all nuclei.This is an approximation for a single electron of energy E moving in the electrostaticpotential of all other electrons and fixed nuclei in a molecule or a solid. As presentedthere are three clear weaknesses in Eq. (5.35). The first is that to determine the

134 Nanowire electronic structure

Page 151: Nanowire Transistors - CAS

potential in which an electron moves requires knowledge of the charge distributionsfor all the other electrons, and the second is that a frozen charge distribution for all“other” electrons does not allow the “other” electrons to react to the charge distribu-tion that emerges from the solution of the eigenvalue equation. Third, the potential isdifferent for each electron, hence the single-electron states are not eigenfunctions ofthe same Hamiltonian operator and hence will not be mutually orthogonal in thisapproximation.

To overcome the first two problems, Hartree proposed the self-consistent field(SCF) approach for solution of the effective many-electron Schrödinger equation.The SCF method allows for the charge distributions of all the electrons in an atom tore-arrange in a “self-consistent” manner by refining the electronic wave functions andthe Hartree potential in an iterative way. To begin an SCF calculation, an initial guessis made for each of the individual single-electron wave functions. For simplicityconsider an atomic problem. The initial guess could be hydrogen-like wave functionsscaled in a manner appropriate for the atom being studied, or another initial guesscould result from calculations on a related atomic system. Assuming the availability ofa reasonable initial guess, the contribution to the Hartree potential from each electronin the atom and for the electron charge cloud interacting with the nucleus is calculated.For atomic systems in the absence of magnetic fields, the quantum numbers labelingthe electrons will be the principal quantum number, the angular momentum and spinstate α ¼ ðn; l;msÞ collectively denoted by a single Greek letter, and the atomic levelsare occupied according to the Aufbau principle. The effective Schrödinger equation foroccupied electron states is solved holding the potential energy due to the other electronsfixed

HX

β ≠ αψ�βψβ

h iψα

0 ð~rÞ ¼ Eαψa0 ð~rÞ; ð5:36Þ

where in this form it is highlighted that the Hamiltonian is a function of the chargedensity arising from all the other occupied electrons. The prime on the new wavefunction indicates that the new set of wave functions is determined from aHamiltonian calculated using the wave functions from a previous iteration. It is seenthat the SCF equation is not a linear differential equation. Given the new set of wavefunctions fψα

0 g, a new potential energy is constructed for each electron using either thenew wave functions, or a “mixture” which is a weighted average of the old and newsolutions. The procedure is iterated until the new and previous wave functions agree towithin a prescribed tolerance. In this way, the electronic wave functions and potentialenergies are brought into self-consistency. Without embarking on a mathematical dis-cussion of the convergence properties for SCF procedures, it may be remarked that forreasonable initial guesses, the procedure converges well for most atomic, molecular, andsolid state systems.

It can be shown that Hartree’s approximation follows from a variational principle[38]. The many-electron wave function is written as a simple or Hartree product

5.5 Theoretical determination of electronic structure 135

Page 152: Nanowire Transistors - CAS

Ψeð~r1;~r2; . . . ;~rNeÞ ¼ ∏Nei ψαið~riÞ: ð5:37Þ

The total energy is written as usual as an expectation value of the Hamiltonianoperator

Ee ¼ð∏Ne

i dr3i� �

Ψ�eð~r1;~r2; . . . ;~rNeÞH Ψeð~r1;~r2; . . . ;~rNeÞ

=

ð∏Ne

i dr3i� �

Ψ�eð~r1;~r2; . . . ;~rNeÞΨeð~r1;~r2; . . . ;~rNeÞ; ð5:38Þ

with the denominator included to assure normalization. It is convenient to introduce theDirac “bra” and “ket” notation where the integration over space and implicitly over spinis written concisely as

Ee ¼ ⟨ΨejH jΨe⟩=⟨ΨejΨe⟩: ð5:39Þ

The idea is to minimize the total electronic energy with respect to arbitrary variationsof the single-electron wave functions

δEe=δψαi ¼ 0: ð5:40Þ

Each variation leads to an equation of the form of Eq. (5.35) once the constraint oforthonormality of the single-electron wave functions is introduced.

It is instructive to explicitly write the energy for a wave function being approximatedas a Hartree product. For appropriately normalized wave functions, the classical electro-static or Hartree energy can be written for the example of an atom, in which forconvenience the nucleus is assumed to be situated at the origin, as

⟨ΨejH jΨe⟩ ¼XNe

α

ðd3rψ�

αð~r Þ � ℏ2

2me∇2

~r þZ

4πε0j~rj

" #ψαð~rÞ

þXNe

α

XNe

α<β

ðd3r d3r

0ψ�αð~rÞψ�

βð~r 0Þq2

4πε0j~r �~r0 jψαð~rÞψβð~r 0Þ: ð5:41Þ

The first summation of the right-hand side is over a one-electron operator in the squarebrackets that is denoted h1 and the second term is over a two-electron operator, theCoulomb interaction, which is written simply as v2. Dirac notation is introduced for theone-electron terms as

⟨αjh1jα⟩ ¼ðd3r ψ�

αð~rÞ � ℏ2

2me∇2

~r þZq2

4πε0j~rj

" #ψαð~rÞ; ð5:42Þ

and for the two-electron terms the notation is

136 Nanowire electronic structure

Page 153: Nanowire Transistors - CAS

⟨αβjv2jαβ⟩ ¼ðd3r d3r0ψ�

αð~rÞψ�βð~r 0Þ

q2

4πε0j~r �~r 0jψαð~rÞψβð~r 0Þ: ð5:43Þ

The total energy can be rewritten as

⟨ΨejH jΨe⟩ ¼XNe

α⟨αjh1jα⟩þ

XNe

α

XNe

α < β⟨αβjv2jαβ⟩: ð5:44Þ

The Hartree single-electron energy can be expressed as

Eα ¼ ⟨αjh1jα⟩þXNe

β ≠ α⟨αβjv2jαβ⟩: ð5:45Þ

The sum of the single-particle energies is not equal to the energy of the many-electron state due to the over-counting of two-body terms that occurs in a sum overeigenvalues.

The Pauli exclusion principle is introduced in an ad hoc manner in non-relativisticquantum mechanics: two electrons are simply forbidden to occupy a state with the sameset of quantum numbers. This simple rule has far-reaching implications. Electrons arefermions and, unlike bosons, are not able to condense into a single low-energy state; theappropriate quantum statistics for fermions is the Fermi–Dirac distribution. It should benoted that the fermion nature of particles can be attributed to the fact that the classicallimit of a quantum theory of fermions is essentially a particle theory, whereas theclassical limits for quantum theories of bosons governed by Bose–Einstein statisticsare classical field theories. Although both fermions and bosons display the well-knownquantum phenomenon of wave-particle duality, it should be held in mind that the correctbehavior, either particle or wave, in the classical limit is preserved by the imposition ofquantum statistics.

An equivalent expression of the Pauli exclusion principle for electrons is obtained byrequiring the many-electron wave function to be anti-symmetric under exchange ofelectrons:

Ψeð~r1;~r2; . . . ;~rNeÞ ¼ �Ψeð~r2;~r1; . . . ;~rNeÞ: ð5:46Þ

Dirac [39] and Slater [40] suggested a simple function that exhibits the correct anti-symmetric behavior under exchange of particle labels:

Ψeð~r1;~r2; . . . ;~rNeÞ ¼X

permð�1Þ℘∏Ne

i ψαið~riÞ; ð5:47Þ

where the symbol ℘ expresses the order of a permutation of the single-electron wavefunctions. Amore transparent way of writing Eq. (5.47) is as a determinant of the single-particle wave functions. A normalized many-body wave function so constructed isreferred to as a Slater determinant:

5.5 Theoretical determination of electronic structure 137

Page 154: Nanowire Transistors - CAS

Ce ~r1;~r2; . . . ;~rNeð Þ ¼ 1ffiffiffiffiffiffiffiNe!

p

ψα ~r1ð Þ ψβ ~r1ð Þψα ~r2ð Þ ψβ ~r2ð Þ

� � � ψ� ~r1ð Þ� � � ψ� ~r2ð Þ

..

. ...

ψα ~rNeð Þ ψβ ~rNeð Þ. .. ..

.

� � � ψ� ~rNeð Þ

: 5:48

The Slater determinant is obtained by applying the anti-symmetrizing operator to aHartree product and normalizing. Just as for the Hartree approximation, a Slaterdeterminant relies on approximating a many-electron wave function by separationof variables in the electronic positions. Hence the Slater determinant cannot be anexact solution to a many-electron problem. The superiority of approximating thewave function by a Slater determinant as opposed to a Hartree product is that itdisplays the correct fermion anti-symmetry properties. It will be shown that theSlater determinant shares with the Hartree product that it too introduces a single-particle picture.

Using the many-electron Hamiltonian, it is straightforward to calculate theenergy of a system whose wave function is being approximated by a Slaterdeterminant

Ee ¼XNe

α⟨αjh1jα⟩þ

XNe

α

XNe

β>α½⟨αβjv2jαβ⟩� ⟨αβjv2jβα⟩�: ð5:49Þ

The anti-symmetry has introduced a notable difference as compared to thecorresponding expression arising from the Hartree approximation: the third sum-mation includes exchange terms arising from the anti-symmetry of the wavefunction. The exchange terms are purely quantum mechanical in nature and resultfrom the fact that electrons are indistinguishable particles. Within the Hartreeapproximation, a set of quantum numbers are attached to an electron label. TheSlater determinant removes this limitation by considering all possible permutationsof electrons assigned to all quantum numbers labeling the various states whilerespecting the anti-symmetry constraint required by the Pauli principle. The resultis the exchange terms. The origin of the name exchange terms is perhaps best seenexplicitly. A Coulomb two-body term is

⟨αβjvjαβ⟩ ¼ðd3r d3r0ψ�

αð~rÞψ�βð~r 0Þ

q2

4πε0j~r �~r 0jψαð~rÞψβð~r 0Þ; ð5:50Þ

whereas the corresponding exchange term is written

⟨αβjvjβα⟩ ¼ðd3r d3r0ψ�

αð~rÞψ�βð~r 0Þ

q2

4πε0j~r �~r 0jψβð~rÞψαð~r 0Þ: ð5:51Þ

The difference in the two terms is shown in the diagram of Fig. 5.12. In Fig. 5.12(a), aCoulomb or Hartree diagram is depicted, and these terms are also sometimes referred toas direct interactions. The electrons interact with each other through the Coulomb

138 Nanowire electronic structure

Page 155: Nanowire Transistors - CAS

potential shown as a “wavy” line. If the coordinate of the electron wave functions underthe integral are matched, the states labeled by either α or β remain unchanged and thediagram corresponds to Eq. (5.50). The diagram in Fig. 5.12(b) depicts an exchangeintegral. If the coordinates under the integral are matched, it is seen that the states of theelectrons are “exchanged,” i.e. α↔β. This is purely a quantum mechanical effect arisingfrom indistinguishability and Fermi–Dirac statistics. The Coulomb interaction isspin independent; it follows that the states of electrons with different spins cannotbe exchanged in the single Slater determinant approximation. Hence a spin con-vention is introduced within the two-electron integrals. If performing the integra-tion for a direct term, the single-electron wave functions under the integral withthe same spatial coordinate will also have the same quantum state and thus therewill be no change in the electron’s spin. Within an exchange integral, integratingover space attaches two orbital terms with different quantum states to the samespatial coordinate. The spin convention states that an integral is zero if theintegration over a spatial coordinate connects two orbitals or states of differentspin. Hence the integral Eq. (5.51) depicted graphically in Fig. 5.12(b) will be non-zero only when α and β have parallel spins.

The total electronic energy in a single Slater determinant can be rewritten as

ESD ¼XNe

α⟨αjh1jα⟩þ 1=2

XNe

α

XNe

β½⟨αβjv2jαβ⟩� ⟨αβjv2jβα⟩�; ð5:52Þ

where the restriction on the two-electron summation is relaxed, but a factor of 1=2 isintroduced with respect to Eq. (5.49) to eliminate over-counting of integrals arising fromthe two-body nature of the Coulomb interaction and making use of the fact that⟨αβjv2jαβ⟩ ¼ ⟨βαjv2jβα⟩ and ⟨αβjv2jβα⟩ ¼ ⟨βαjv2jαβ⟩. Another key point about theform of Eq. (5.52) is that when α ¼ β, the direct and exchange integrals are equal andexactly cancel. The interaction of an electron with itself is unphysical and is termed theself-interaction energy. A key feature of the Hartree–Fock approximation to be intro-duced next is that self-energy interactions cancels exactly.

Given the energy of a system described by a Slater determinant as an approximatewave function, the question becomes: how to solve for the best set of single-particlewave functions or orbitals which minimize the total energy? A procedure of minimizingthe total energy with respect to the single-particle orbitals by applying the variationalprinciple is again followed. The constraint that the orbitals are normalized and ortho-gonal is introduced:

α αβ β

Figure 5.12 Diagrammatic representation of (a) Coulomb and (b) exchange integrals. The circles representelectrons propagating and interacting via a Coulomb interaction given by the “wavy” line. Notethe exchange terms are only non-zero when the states α and β have parallel spins.

5.5 Theoretical determination of electronic structure 139

Page 156: Nanowire Transistors - CAS

ðd3r ψ�

αð~rÞψβð~rÞ ¼ δαβ: ð5:53Þ

Equation (5.53) is imposed by adding a set of Lagrangian multipliers to the variationalprocedure for the total energy ESD in a Slater determinant:

δ=δψα� ESD �

Xαβ

Eαβ

ðd3rψ�

αð~rÞψβð~rÞ � δαβ

� �� �¼ 0: ð5:54Þ

Variation with respect to the single-electron wave functions leads to a set of equations thatdefine the Hartree–Fock approximation. It can be shown that the matrix of Lagrangianmultipliers is a Hermitian matrix and can therefore be brought into diagonal form as

h1ψαð~r Þ þXocc

β

ðd3r0

q2

4πε0j~r �~r 0jψ�βð~r 0Þψβð~r 0Þψαð~rÞ

�Xocc

β

ðd3r0

q2

4πε0j~r �~r 0jψ�βð~r 0Þψαð~r 0Þψβð~rÞ ¼ EHF

α ψαð~rÞ; ð5:55Þ

where the summations are restricted to electron states that are occupied in the Slaterdeterminant. At first glance, the equations do not appear to be eigenvalue equations dueto the third term on the left-hand side. However, introducing an operator Pð~r↔~r

0 Þwhichexchanges the positions of two electrons allows the Hartree–Fock equations to bewritten as

h1 þXocc

β

ð

d3r0 q

2ψ�βð~r

0 Þψβð~r0 Þ

4πε0j~r �~r0 j

24�Xocc

β

ðd3r

0 q2ψ�

βð~r0 ÞPð~r↔~r

0 Þψβð~r0 Þ

4πε0j~r �~r 0j

#ψαð~rÞ ¼ EHF

α ψαð~rÞ; ð5:56Þ

which is shorthand notation for Eq. (5.55). The Hartree–Fock eigenfunctions can bemade orthonormal.

The Lagrangian multipliers may be identified as single-particle energies. The physicalmotivation for treating them in this way is due to a property known as Koopmanstheorem [41]. Consider the energy obtained from a Slater determinant constructed fromNe orbitals and denote this energy EðNeÞ. A single electron is removed and the energy forthe Ne � 1 electron system is calculated. Denote this energy as EαðNe � 1Þ. Koopmansshowed that the ionization potential or energy required to remove a single electron fromstate α is given by

EHFα ¼ EαðNe � 1Þ � EðNeÞ: ð5:57Þ

Energy differences between the approximate many-electron states allow for identifi-cation of the Lagrangian multipliers as single particles or “quasi-particles.” Similar

140 Nanowire electronic structure

Page 157: Nanowire Transistors - CAS

relations hold for the electron affinities when adding electrons into unoccupied orbitals.Koopmans’ interpretation, although useful conceptually, is flawed in that the same set oforbitals must be used in the calculation of the ðNe � 1Þ and Ne electron states. However,in a physical system the remaining orbitals re-arrange upon ionization to account for thechange in charge introduced to a system. Hence the energy calculated throughKoopmans theorem overestimates the ionization potential and underestimates the elec-tron affinity as it does not account for orbital relaxation or, perhaps more accurately,reorganization in the ionized system [42].

The Hartree–Fock approximation has been widely applied in quantum chemistry andsolid state physics. It has shortcomings, but the errors introduced are well documentedand in most instances well understood. The Hartree–Fock approximation generallyserves as a low-order or “zeroth-order” approximation in many-body theories formore accurate treatments of the electronic structure problem such as in the GW approx-imation, many-body perturbation theory, coupled cluster, or configuration interactionmethods [43]. The Hartree–Fock method is still widely employed in practical calcula-tions and as a reference point from which to gauge other calculations and as a theoreticaldescription fromwhich much of the language of electronic structure theory is based. Onevery useful definition is identification of the difference between the exact non-relativisticenergy for a system of electrons and the energy calculated from the Hartree–Fockapproximation as

Ecorr ¼ Eexact � EHF; ð5:58Þ

and is referred to as the electron correlation energy. Much of the modern work inelectronic structure theory is focused on calculation of the correlation energy accuratelybut within a tractable computational time. To determine accurate electronic bandstructures, treatment of the electron correlation energy is required.

For a homogeneous electron gas it can be shown that the majority of the correlationenergy can be attributed to electrons sharing the same quantum numbers except for theirspins [44]. This fact can be anticipated from the Hartree–Fock equations: the Coulombterms include interactions with electrons of all spins whereas the exchange terms arenon-zero only for electrons with parallel spins. There is an asymmetry in the treatment ofelectrons with parallel and anti-parallel spins inherent in the Hartree–Fock approxima-tion. Electrons of parallel spin can interact through the “exchange charge density,”whichacts to reduce the charge density in the vicinity of an electron. This behavior gives rise toa phenomenon known as the Fermi hole. In this sense, distributions of electrons withparallel spin are more “correlated” than electrons of anti-parallel spin. Improvement ofthe interactions between electrons with anti-parallel spin requires explicit treatment ofthe electron correlation energy.

It was noted in the early days of quantummechanics that the wave function, a functionof all 3Ne electronic coordinates, seemed to contain much more information than neededfor the solution of the quantum mechanics of systems interacting via two-body poten-tials. The Thomas–Fermi model is an attempt to write the Hartree energy in Eq. (5.41)

5.5 Theoretical determination of electronic structure 141

Page 158: Nanowire Transistors - CAS

solely as a function of the electron density. It is straightforward to write the totalelectrostatic energy of an Ne-electron system as

EH½ ρ� ¼ 1

2

ðd3r d3r0

q2ρð~rÞρð~r 0 Þ4πε0j~r �~r

0 j ; ð5:59Þ

and likewise the total energy of an electron charge density interacting with the collectivepotential arising from NN atomic nuclei is

Eext½ ρ� ¼X

NN

A

ðd3r

Zq2ρð~rÞ4πε0j~RA �~rj: ð5:60Þ

The potential in Eq. (5.60) is labeled as “ext” energy as it is due to the interaction ofelectrons, not with other electrons, but with the fixed, external potential of the“clamped” nuclei. The energies have been written explicitly as functionals of the totalelectron density to highlight that the charge density is the only dependence.

The Thomas–Fermi model relies on approximating the electronic kinetic energy inatoms by the known kinetic energy of a system of non-interacting electrons [45,46]. Akinetic energy density may be defined as

TKE½ ρ� ¼ðd3r tKE½ρ�; ð5:61Þ

and can be obtained from applying the kinetic energy operator directly to free electronwave function in three dimensions and relating the result to the charge density. The totalkinetic energy can be shown to be

TKE½ ρ� ¼ CTF

ðd3r ρ5=3ð~rÞ; ð5:62Þ

where CTF is a constant. The idea is to minimize the energy as a functional of the densitywhile maintaining a constant total number of particles. A Lagrangian multiplier μ isintroduced and variations with respect to the charge density are considered

δ=δρ E½ ρ� � μðd3rρð~rÞ � Ne

� �� �¼ 0: ð5:63Þ

Unlike the Hartree and Hartree–Fock approximations, a single-electron Schrödingerequation does not result. Rather an equation for the total charge density follows, whichcan be written compactly as

δ=δρ E½ ρ� ¼ μ: ð5:64Þ

The Lagrangian multiplier again enters the theory in a physical way – it is the chemicalpotential for the charge distribution. The Thomas–Fermi model can be expressed as aPoisson’s equation which is amenable to numerical solution. Although the

142 Nanowire electronic structure

Page 159: Nanowire Transistors - CAS

approximation had some success in expressing atomic properties solely in terms of theelectronic density, there are several severe limitations: the electronic shell structure ofatoms is not reflected in the charge density and, perhaps most severely, the approxima-tion cannot be extended as it does not predict binding of atoms to form molecules. Asidebeing of historical interest, the method highlights the motivation for the density func-tional theory (DFT) formulation of electronic structure in which it can be mathemati-cally shown that the total energy can be given exactly as a functional of the chargedensity [47].

As noted, only having to find the charge density for many-electron problems is a greatsimplification as it reduces the problem from having to solve for a many-electron wavefunction (3Ne spatial degrees of freedom) to that of solving for the total charge density(three spatial degrees of freedom) to determine the total electronic energy. This goal isformally achieved in density functional theory. However, in practical calculations a setof single-electron equations known as the Kohn–Sham equations [48] is introduced suchthat when the charge densities of the individual electron solutions are summed, the exacttotal charge density for the Ne electron system is obtained. These single-electronequations are often taken to be “quasi-particles” for the interacting many-electronsystem and their energies and eigenfunctions are often used to determine approximateband structures for solids and lower dimensional systems such as nanowires. As will beseen, the total electronic energy can be exactly expressed as a functional of the chargedensity, but to solve for the energy requires an exchange-correlation functional whoseexplicit form is unknown. This introduces a level of approximation into practical DFTcalculations. Furthermore, the single-particle energies in Kohn–Sham theory are atheoretical tool to help arrive at the exact charge density and they are not “quasi-particles” in the sense of providing approximations to differences in many-electronenergies, other than for the eigenvalue of the highest occupied state which can be shownto give the first ionization potential in a metal. Nonetheless, their general interpretationas excitation and ionization energies is widespread and can be a useful interpretation, ifthe limitations to their use are borne in mind.

There are two basic tenets to DFT. The first of these is that for a system of interactingelectrons moving in an external potential Uextð~rÞ, the ground-state electronic densityρð~rÞ uniquely determines the external potential up to an additive constant. The immedi-ate implication is that the energy is fully determined up to the same constant. The secondis that if the total energy is a functional of the charge density, then variation of the energywith respect to density will lead to the exact ground state energy. A functional of thedensity for the total energy is written as

E½ ρ� ¼ FHK½ ρ� þðd3r Uextð~rÞρð~rÞ þ Un�n; ð5:65Þ

where the Hohenberg–Kohn universal functional FHK½ρ� is introduced and contains allinternal energies of the interacting electron system and Un�n is the scalar nuclear–nuclear Coulomb repulsion. In practice, approximate energy functionals which havebeen constructed from studies of the many-electron problem accounting for the effects

5.5 Theoretical determination of electronic structure 143

Page 160: Nanowire Transistors - CAS

of the Coulomb interactions, exchange, and correlation between electrons are used todevelop accurate approximations to FHK.

The universal exchange correlation functional is not specified in the theory andremains unknown. Kohn and Sham subsequently introduced the idea of replacing theoriginal many-electron problem with an auxiliary system of non-interacting electrons[48]. The Kohn–Sham approach enables approximations to be developed for anexchange-correlation functional as the difference between the exact universal functionaland a functional consisting of the kinetic and electrostatic, or Hartree, potential terms:

EXC½ ρ� ¼ FHK½ ρ� � TKE½ ρ� þ 1

2

ðd3r d3r0

q2ρð~rÞρð~r 0 Þ4πε0j~r �~r

0 j

" #: ð5:66Þ

Kohn and Sham made the assumption that the ground state density of an auxiliarynon-interacting set of electrons is approximately equal to that of the interacting system.This leads to a set of soluble independent-particle equations in which all the many-electron effects are partitioned into EXC½ρ� and that are known as the Kohn–Sham (KS)equations. The KS auxiliary Hamiltonian contains a kinetic energy operator and aneffective potential UKSð~rÞ given by

hKS ¼ � ℏ2

2me∇2 þ UKSð~rÞ; ð5:67Þ

where

UKSð~rÞ ¼ Uextð~rÞ þ UHð~rÞ þ UXCð~rÞ: ð5:68Þ

The first term is the external or Coulomb potential for the electrons excludingelectron–electron interactions, i.e. the interactions of the electrons with the charges ofthe nuclei, andUHð~rÞ is the Hartree potential for all electrons. The last term on the right-hand side is the exchange-correlation (XC) potential and is found from the variation ofthe XC energy with respect to the density:

UXCð~rÞ ¼ δEXC

δρ: ð5:69Þ

Solutions of the KS equation define a set of orbitals φα, with corresponding eigenva-lues Eα. The ground state density corresponds to the lowest eigenvalues of the auxiliaryHamiltonian and with one electron per spin orbital, the ground state density can bewritten in terms of the eigenfunctions as

ρð~rÞ ¼XNe

αjφαð~rÞj2: ð5:70Þ

Since the potentials are determined by the solutions of the KS equations through the totaldensities, the eigenvalue problems must be solved self-consistently. The kinetic energytakes the form

144 Nanowire electronic structure

Page 161: Nanowire Transistors - CAS

TKS ¼ � ℏ2

2me

XNe

α

ðd3r φ�αð~rÞ∇2

~rφαð~rÞ: ð5:71Þ

Note that the kinetic energy is calculated from the set of fictitious Kohn–Sham electronsand the error made in making this assumption is taken to be included into the XCfunctional as an additional “correlation” effect. The total energy is obtained from

E½ ρ� ¼ TKS½ ρ� þðd3rUextð~rÞρð~rÞ þ 1

2

ðd3rd3r0

q2ρð~rÞρð~r 0 Þ4πε0j~r �~r

0 j þ EXC½ ρ� þ Un�n: ð5:72Þ

Therefore, if the exact XC functional EXC½ρ� is known including corrections to thekinetic energy term, then the exact ground state density and total energy of the truemany-electron system can be found. Unfortunately, the exact EXC½ρ� is not known butapproximate forms have been developed. The KS approximation to DFT provides inmany instances reasonable predictions for many-body ground state properties. Awidelyused approximation is made by noting that in limited cases, solids can be treated as closeto the limit of a homogenous electron gas and within this limit, exchange and correlationeffects can be considered local in character. Hence, the XC energy can be written as anintegral over space of the product of density and XC energy per electron ϵXC½ ρ� as

EXC½ ρ� ¼ðd3r ϵXC½ ρ�ρð~rÞ; ð5:73Þ

where ϵXC½ρ� equals the XC energy per electron of a homogenous electron gas computedusing the local density about a point. This is the local density approximation (LDA) forthe XC functional. As a first approximation, LDA reproduces many measurable chemi-cal properties accurately, especially if local variations in the density are small. There areseveral different approximations to XC functionals such as generalized gradient approx-imation (GGA) and hybrid functionals. The former rely on expansions about the LDA tobetter describe inhomogeneity in the charge density while the latter functionals empiri-cally mix in “exact” exchange to correct predictions against a measured physicalparameter such as the band gap energy for a specific semiconductor.

Due to ignorance of the exact XC functional, DFT suffers from a number of weak-nesses which lead to systematic errors in the computation of physical properties. Thereare two main sources of these limitations: self-interaction of electrons and a derivativediscontinuity in approximate XC functionals. Unlike in Hartree–Fock theory, the LDAcancellation between the unphysical self-interaction term in the Hartree potential in Eq.(5.66) which uses the total charge density and the exchange interaction is only approx-imate. The spurious self-interactions can be partially corrected for in the LDA XCfunctional. These self-interaction corrections (SIC) do not fully resolve the limitationsof approximate DFT and there remain elements of semi-empiricism to the correctedcalculations. Typically approximate DFT methods lead to an underestimation of bandgaps in semiconductors such as Si, Ge, andGaAs, and inmany cases predict a small bandgap semiconductor to be metallic. Just as the Hartree–Fock approximation can serve as a

5.5 Theoretical determination of electronic structure 145

Page 162: Nanowire Transistors - CAS

zeroth-order approximation to many-electron calculations, approximate DFT electronenergies and wave functions obtained from the Kohn–Sham procedure can be used as theinput to more accurate methods such as theGW approximation, which can be successfulin correcting band gap energies in semiconductors toward experimental values.Approximate DFT theories are also poor at describing charge transfer at interfaces andthus lead to poor predictions for band alignments in heterostructures. Unfortunately bothknowledge of the band gap energy due to confinement effects and the electronic proper-ties of interfaces and contacts are important for the development of nanowire transistors.Approximate DFT is a fruitful starting point for electronic structure investigationsof nanowires but in some instances empirical calibrations or additional work is requiredto refine theoretical results to the point of being predictive or for direct comparison toexperiment.

5.5.3 Optimized single determinant theories

The Hartree–Fock approximation yields the lowest total energy for a many-electronsystem described by a single determinant ansatz. In a similar vein, the Kohn–Shamapproximation yields the best electron density from a single determinant in the sense thatthe sum of orbital densities in Eq. (5.70) is the same as would be obtained from adeterminant constructed with the Kohn–Sham orbitals – although calculating the energyin a single Slater determinant consisting of Kohn–Sham orbitals as in Eq. (5.49) wouldyield an energy higher than that obtained from a single Slater determinant composed ofHartree–Fock orbitals as ensured by the variational principle. Hence the Hartree–Fockapproximation is the single determinant theory that minimizes the total energy and theKohn–Sham theory is the single determinant theory that provides the best chargedensity.

A fundamental goal of the theoretical study of nanowire transistors is to achieve anaccurate treatment of the electronic structure of nanometer scale structures together withthe ability to describe charge transport. As discussed, Hartree–Fock theory predicts bandgaps that are too large whereas approximate density functional theories tend to predictband gaps that are too low. Typically in charge transport problems these deficienciestranslate into electrical currents that are predicted to be too low from Hartree–Focktheory and too large when obtained by using Kohn–Sham states as quasi-particle states[49], often by an order of magnitude or more. This implies that the ability to describe theionization potentials and electron affinities that determine band gaps and electronega-tivity accurately within single-electron theory, or single-determinant theory, is importantfor the prediction of currents on nanometer length scales.

Single determinant theories constructed to optimize other properties in a many-electron problem can be devised. Of particular relevance to predictions for nanowiresand other nanometer scale structures is the correlated independent particle modeldeveloped from many-electron wave function considerations [50]. The model proceedsby insisting that the principal ionization potentials (IPs) and electron affinities (EAs) aredescribed correctly in terms of a reference many-electron theory such as coupled clustertheory. Theories such as coupled cluster theory are the most accurate, predictive

146 Nanowire electronic structure

Page 163: Nanowire Transistors - CAS

quantum mechanical methods for many-electron systems that exist and are based uponexplicit consideration of the intrinsic two-electron interactions. In most cases theaccuracy of a many-electron electrostatic Hamiltonian relies on the accuracy of thedescription of the two-electron interactions. In the alternative approach of the correlatedindependent particle model, the equations and properties from coupled cluster theory areused to develop a single-electron Hamiltonian whose eigenvalues correspond to theexact principal IPs and EAs, such that the electronegativity (IP-EA)/2 is correct. Thisproperty not only corrects the band gaps for a material, but it is also the electronegativitythat controls charge transfer at heterostructure interfaces. Hence this “correlated” singledeterminant method offers the opportunity to retain the computational advantages ofsingle-electron theory [51] while optimizing the quantities of interest for designingnanowire technologies.

5.5.4 GW approximation

The correlated independent particle model highlights that in many applications, a goodapproximation to quasi-particle excitations can be sufficient to describe importantphysical properties. If an accurate description of a single electron interacting within amany-electron environment can be defined and correctly give electron excitations todefine the band gaps, electron ionization potentials, and electron affinities to describeelectronegativity, many of the important properties needed to describe many-electronsystems for nanoelectronics applications then become available.

Quasi-particles can be defined for a weakly interacting system of particles and can beused with appropriate conditions to describe the excitation, ionization, and electronattachment spectra that emerge from a system of strongly interacting particles. A bareelectron inside a solid repels other electrons and becomes surrounded by a net positivelycharged polarization cloud. If the correct conditions are met, the bare electrons and theirinteractions can be described as quasi-particles interacting via a screened Coulombpotential and their eigenenergies and eigenstates are solutions of an effectiveHamiltonian [52]. As the Hamiltonian can be non-Hermitian, quasi-particle energiescan be complex signifying that their lifetimes are finite, with the state lifetime inverselyproportional to the imaginary part of the complex eigenenergy. The finite lifetime arisesdue to the residual interaction between quasi-particles and also potentially from theboundary conditions applied to a system. The complex energy arises as a non-local,energy dependent, non-Hermitian self-energy Σ that describes the exchange and correla-tion interactions of a single electron in a many-electron system. The self-energydescribes the difference between a quasi-particle or “dressed” electron and a non-interacting or “bare” electron. An equation governing the behavior of the quasi-particlescan be written with the aid of the self-energy as

� ℏ2

2me∇2 þ VHð~rÞ þ Vextð~rÞ

" #Φαð~rÞ þ

ðd3r0 Σð~r;~r 0;ΩαÞΦαð~r 0Þ ¼ ΩαΦαð~rÞ; ð5:74Þ

5.5 Theoretical determination of electronic structure 147

Page 164: Nanowire Transistors - CAS

where Ωα is a complex energy and Φα is a quasi-particle eigenfunction. The self-energycan be related to a Green’s function G that describes the injection or removal of anelectron into a many-electron state and a screened interaction W that replaces theelectron–electron interactions to the self-energy by

Σð~r;~r 0;ΩαÞ ¼ iðdΩ0Gð~r;~r 0;Ωα þ Ω0ÞWð~r;~r 0;Ω0Þ; ð5:75Þ

and this form of the self-energy is seen to be the origin of the name “the GW approx-imation.” The propagation of particles and quasi-particles through space and time can bedescribed by a single-particle Green’s function G with more to be said about the use ofGreen’s functions in Chapter 6. From G one can obtain the quasi-particle excitationspectrum, life times, and expectation values of single-particle operators in the groundstate including the electron density and kinetic energy expectation values, and Green’sfunctions can be related to the calculation of electronic currents. The screened interac-tion describes the way the environment about electrons acts to screen the bare Coulombinteraction and can be described with the use of the inverse dielectric function ε�1 as

Wð~r;~r 0;ΩÞ ¼ðd3r″ε�1ð~r;~r″;ΩÞvð~r″;~r 0Þ: ð5:76Þ

Most of the effort in the GW approximation is in the theoretical determination andcalculation of the screened interaction. Practical implementations of the method do notattempt to solve for W directly, but use physically motivated arguments to find thecontributions to the screening based on many-electron perturbation expansions.

Quasi-particle energies can be determined directly through solution ofEq. (5.74). Just as the Hartree–Fock approximation can serve as a first- orzeroth-order approximation to many-electron calculations, DFT in the LDA orsimilar approximations can also provide a starting point for more accuratemethods such as the GW approximation. The resemblance between Eq. (5.74) andthe KS equations suggests a means for achieving improvements to LDA eigenvalues andto enable their use as quasi-particle energies. Assuming the overlap between a Kohn–Sham wave function φα and a quasi-particle state Φα is large ⟨φαjΦα⟩ffi1 enables a first-order perturbation correction to be applied, leading to the following approximation forthe GW energies:

Ωα ffi εα þ Z⟨φαjΣðεαÞ � UXCjφα⟩; ð5:77Þ

where the “quasi-particle weight Z” is given by

Z ¼ 1� ∂ΣαðΩÞ∂Ω

jΩ¼εα

� ��1

: ð5:78Þ

Using the GW approximation corrections for the self-energy, band gaps in sp bondedsemiconductors can be improved systematically to be within a few percent of

148 Nanowire electronic structure

Page 165: Nanowire Transistors - CAS

experimental values [53]. For example, the fundamental energy band gap using quasi-particle corrections for GaAs results in a value of 1.77 eV compared to an experimentalband gap of 1.52 eVat 0 K, whereas DFT/LDA predictions can result in values as low as0.21 eV. The use of the GW approximation to correct energy level alignments betweenmolecular levels at metal electrodes has been explored in the context of correctingcurrent flow through one-dimensional systems and shown to be important to capturecorrections to align energy levels between materials, and thus enabling accurate predic-tions of conductivity. The level of improvement for charge transport can be by an orderof magnitude or more relative to uncorrected conductivities obtained using energy levelscorrected by GW [54,55] compared to the use of approximate DFT/LDA or DFT/GGAenergy levels.

5.6 Bulk semiconductor band structures

Figure 5.13 shows a calculated band structure for silicon obtained from a densityfunctional theory (DFT) calculation in the local density approximation (LDA) – approx-imate DFT theories typically underestimate the band gap for semiconductors.Remarkably, the Kohn–Sham (KS) procedure with approximate exchange correlationfunctionals can provide a reasonable description of semiconductor band structures byinterpreting the eigenvalues of the “fictitious”KS electrons as quasi-particles if the band

(010)

(b)(a)

(100)

(001)

–5L X

–3

–1

En

erg

y (e

V)

1

3

5

G

Figure 5.13 (a) Energy band diagram for bulk silicon from an approximate DFT calculation. In the figure, theband gap has been corrected to 1.1 eV by shifting all the conduction band states by a constant tocorrect for the XC error. Other than this well-known deficiency associated with typicalapproximations to DFT, the method leads to a good description of the conduction and valencebands with reasonable estimates for electron and hole effective masses. The zero of energy isreferenced to the top of the valence band energy. The conduction band minimum occurs in thedirection of the X symmetry point and the indirect nature of the band gap is seen. Data courtesy ofDr. L. Ansari. (b) Energy ellipsoids at the six equivalent conduction bandminima in silicon locatedalong the ð100Þ and equivalent directions in reciprocal space. The band minima, corresponding tothe centers of the ellipsoids, are 85% of the way to the Brillouin-zone boundaries. The long axis ofan ellipsoid corresponds to the longitudinal effective mass of electrons in silicon of m�

l ¼ 0:92me

while the short axis corresponds to the transverse effective mass of m�t ¼ 0:19me.

5.6 Bulk semiconductor band structures 149

Page 166: Nanowire Transistors - CAS

gap energy is empirically corrected. The correction of the band structures in this way issometimes referred to as the “scissors operator,” as the correction consists of adding aconstant energy shift to the unoccupied conduction states as though the band structurehad been “cut” in the energy gap and then conduction states shifted upwards in energy.Approximate DFT methods can provide reasonable estimates for electron effectivemasses, and in general these estimates are best when the conduction and valencebands are not strongly interacting, such as can happen in direct semiconductors withsmall energy band gaps. Hence the curvature of the energy bands and their relativepositions with respect to each other either in the conduction bands or within the valencebands can serve as a useful approximation. For more accurate representations of thebands, application of the GW approximation or other many-body perturbation correc-tions can often provide the appropriate level of accuracy required.

The X and L labels in Fig. 5.13(a) indicate symmetry axes through the bulk siliconlattice in three-dimensional k-space [56].The silicon band structure is characterized by a

valence band maximum at ~k ¼ ð0; 0; 0Þ or “Γ-point.” At the valence band maximum,there are two degenerate “heavy hole” (HH) bands and a single “light hole” (LH) band.The HH band refers to the fact that the curvature of the degenerate bands is less than theLH band, resulting in larger effective masses for the HH band. The conduction bandminimum in bulk silicon is along the ½100� direction towards the X symmetry pointgiving an indirect band gap. From the symmetry of the silicon crystal lattice, the

following six directions are equivalent: ð100Þ; ð010Þ; ð001Þ; ð100Þ; ð010Þ, and ð001Þ,where a bar is introduced per convention to denote the negative of a unit vector ink-space. Around these energy minima, surfaces of constant energy can be identified. Forsmall displacements away from the minima, the energy dispersion is parabolic.However, for displacements normal to and along the symmetry axis, the curvaturesabout the minima are different, hence the effective masses for different directions aboutthe minima vary (in general, a mass tensor is defined and the preceding statements holdoff-axis). This allows for constant energy ellipsoids to be defined about the minima andthese surfaces are given by the following relationship for minima along the ð001Þ and(001Þ directions:

Eð~kÞ ¼ Eð~k0Þ þ ℏ2½ðkx � kx0Þ2 þ ðky � ky0Þ2�2m�

t

þ ℏ2ðkz � kz0Þ22m�

l

; ð5:79Þ

where Eð~k0Þ is the conduction band minimum at the point ~k0 ¼ ðkx0; ky0; kz0Þ and~k ¼ ðkx; ky; kzÞ is a point on the ellipse, m�

t and m�l are the effective masses transverse

to and longitudinal to the symmetry axis, respectively. The band minimaabout ð010Þ; ð010Þ and ð100Þ; ð100Þ are similarly expressed. For silicon, the valuesfor the effective masses for the conduction band minimum are typically quoted to bem�

t ¼ 0:19me andm�l ¼ 0:92me: The energy ellipsoids for the silicon bulk band structure

are shown in Fig. 5.13(b). At a higher energy, there is also a conduction band minimumalong the L symmetry direction which describes the eight equivalent directions given byð111Þ; ð111Þ; ð111Þ; ð111Þ; ð111Þ; ð111Þ; ð111Þ; and ð111Þ.

150 Nanowire electronic structure

Page 167: Nanowire Transistors - CAS

In Fig. 5.14(a) the band structure for germanium is shown. The valence band structureis similar to silicon with the energy maximum occurring at the Γ-point with doublydegenerate HH bands and a single LH band, although the energy differences between thebands near the valence edge are larger than for silicon. Similar to silicon, the location ofthe conduction band minima results in an indirect band gap but unlike the siliconconduction band, the minima lie along the L symmetry directions. This leads to eightequivalent energy ellipsoids or valleys for the germanium conduction band minimaalong the eight symmetry equivalent L axis.

Alloys of silicon germanium will display either a “silicon-like” behavior with aconduction band minimum near the X -valley or “germanium-like” with the conductionband minimum occurring at the L-valley as shown in Fig. 5.14(b). The transitionbetween these two regimes occurs for alloy compositions 15% silicon and 85% germa-nium. For alloy compositions with less than 85% germanium content the material is“silicon-like” whereas for alloy compositions with greater than 85% germanium con-tent, the material becomes “germanium-like.”

The band structures for gallium arsenide (GaAs) along the high symmetry directionsX and L are shown in Fig. 5.15(a). In contrast to the silicon and germanium bandstructures, GaAs displays a direct band gap occurring at the Γ-point. The valence bandalso displays heavy and light hole energy bands. A notable distinction relative to thesilicon and germanium band structures is the much higher curvature at the conductionband minimum. This leads to an effective electron mass of 0:067me. This very loweffective mass leads to a large electron mobility in gallium arsenide leading to con-sideration of III-V materials for nanoelectronics applications. However, it should be

(a) (b)

–5

–3

–1

En

erg

y (e

V)

1

3

5

L XG

(111)

(11–1)

(1–11)

(1–1–1)

Figure 5.14 (a) Energy band diagram for germanium from an approximate DFT calculation. In the figure, theband gap has been corrected to its experimental value of 0.67 eV by shifting all the conductionband states by a constant to compensate for the XC error. Note due to the smaller band gap ingermanium, many approximations to germanium predict a metallic or semimetallic band structureand there can be unwanted coupling between the conduction and valence band energies. Note thatalthough a conduction bandminimum is correctly predicted along the L symmetry axis, the Γ pointor direct gap is predicted to be too low in energy. Data courtesy of Dr. L. Ansari. (b) Energyellipsoids at the conduction band minima in germanium along the ð111Þ and equivalent directionsin reciprocal space. The constant energy ellipses in germanium lie along the body diagonals of thecubic Brillouin zone in reciprocal space.

5.6 Bulk semiconductor band structures 151

Page 168: Nanowire Transistors - CAS

noted that the low effective mass implies a higher band curvature, which also implies alower density of states at the conduction band minimum. Hence use of materials such asGaAs, particularly in nanometer scale transistors with limited scattering in the channel,must consider design trade-offs between low charge carrier masses and hence higherelectron velocities against a lower density of states and hence a lower number of electrons.

5.7 Applications to semiconductor nanowires

The electronic properties of semiconductor nanowires are examined for the example ofsilicon. A key feature of a nanowire is a large surface-to-volume ratio which influencesmechanical, chemical, thermal, and electrical properties. The Fermi wavelength ofcharge carriers in silicon is of the order of 10 nm and hence when a nanowire isgrown or fabricated on length scales below this, confinement effects set in due to thepotentials introduced by the surface and the effects of surface chemistry. The electronicstructure changes substantially with respect to the bulk band structures, and fundamentalquantities such as energy band gaps and charge carrier effective masses are altered. Inthe case of silicon and other indirect semiconductors, the effects of quantum confine-ment can lead to an electronic band structure with a direct band gap. In this sense silicon,other semiconductors, and in general all materials patterned with dimensions of a fewnanometers “are” effectively different materials from their corresponding bulk forms.

5.7.1 Nanowire crystal structures

Although nanowires are grown or patterned with structures that reflect the bondingbetween semiconductor atoms in the bulk, three distinct new features arise when making

(a)

–5

–3

–1

En

erg

y (e

V)

1

3

5

L XG

(001)(b)

(100)

(010)

Figure 5.15 (a) Energy band diagram for gallium arsenide from an approximate DFTcalculation. In the figure,the band gap has been corrected to its experimental value of 1.43 eV by shifting all the conductionband states by a constant to compensate for the XC error. The direct band gap for GaAs ispredicted although the positions of other low lying conduction states or “satellite valleys” are notpredicted to the accuracy needed for accurate device simulation. Data courtesy of Dr. G. Greene-Diniz. (b) The energy isosurface at the conduction band minimum is approximately spherical andcentered about the Γ-point.

152 Nanowire electronic structure

Page 169: Nanowire Transistors - CAS

finite structures. The crystal symmetry is broken, surfaces or facets are created, and theinfinite bonding of the crystal is interrupted leaving unsaturated or dangling bonds at thesurface of the nanowire.

The formation of a nanowire implies that the repeating pattern of atoms found in thecrystal can only occur in one direction and this is the nanowire’s long axis. Theorientation of the parent crystal aligned along the nanowire’s long axis is referred toas the orientation and is denoted by a direction in position space per the standardcrystallographic notation ½x; y; z�.

Different nanowire orientations result in different surfaces, and in many cases theseplanes of atoms will have the characteristics of the corresponding surface cleaved fromthe bulk. The facets are denoted by the direction vector normal to the surface and theequivalent set of facets is denoted by fx; y; zg. In Fig. 5.16, the surface facets for a<110>-oriented nanowire are given for the case of a silicon or germanium nanowire asidentified in [31] by STM imaging. The faceting of a nanowire can depend strongly onthe fabrication or growth method and surface preparation. The stability of differentnanowires with different facets depends on a balance between the bulk energy, surfaceenergy including the borders between facets or edges, and strain. Note that the surfaceenergy will include a chemical component when surface bonds are saturated or bondedto a dielectric or other encapsulating layer.

Figure 5.17 shows small diameter semiconductor nanowires oriented along the <100>and <110> crystal directions with tetrahedral bonding and hydrogen used to saturate or“passivate” surface dangling bonds. The surfaces for the nanowires with differentorientations have different densities of silicon surface atoms, and hence differentdensities of surface bonds when passivated. The nanowire orientations shown in Fig.5.17 for the ½100� and ½110� orientations are shown with all surface bonds passivated byhydrogen atoms and it is seen that different surfaces have different densities of thesurface bonds. For smaller nanowires the curvature of the surface or at the edgesbetween facets introduces steric effects and strains that are generally not present at anideal surface. Hence even for a highly idealized nanowire structure, bonding configura-tions to a surrounding oxide or steric hindrance for surface passivation can varysubstantially with respect to the corresponding planar surfaces. Clearly, as a nanowire’sdiameter is increased an approach to bulk surfaces behavior occurs. In FinFET struc-tures, the surfaces can often be approximately considered as planar surfaces or taperedlayers with consideration of the geometry of the edges.

[1–11

–] [1

–11]

[001]

[110]

[001–]

[11–1–] [11

–1]

Figure 5.16 Facets on a [110]-oriented silicon nanowire as identified by STM imaging in [31].

5.7 Applications to semiconductor nanowires 153

Page 170: Nanowire Transistors - CAS

For binary and ternary semiconductors or in general for alloys the surface termina-tions and composition can vary based upon the nanowire’s orientation and growthconditions. Different orientations of alloyed semiconductor nanowires can lead tosignificant variations in the surface composition, and strong variations in surfacecomposition can exist between different nanowires ostensibly fabricated or grownwith the same composition. For example, gallium arsenide nanowires can be grownwith either gallium-rich or arsenic-rich surfaces. Control of the surface composition ofthe nanowires can enhance the ability to grow specific oxides or to eliminate defectsoccurring due to bonding to an oxide or other layer in which a nanowire is embedded.

5.7.2 Quantum confinement and band folding

When forming a two-dimensional system, one spatial dimension is formed on a scaleless than the electron or hole Fermi wavelength λF ¼ 2π=kF; where kF corresponds towave vector or wave number at the Fermi energy. For a nanowire, two spatial dimen-sions are such that the electrons are confined to a region less than a Fermi wavelength.This phenomenon is known as quantum confinement and significantly influences theelectronic structure of a nanometer scale system. As will be seen subsequently, theenergy minimum in the direction of the confining potential can be “folded” back tothe center of the Brillouin zone or “Γ-point,” fundamentally altering the behavior of amaterial when formed or patterned as a nanowire.

An example of a low-dimensional electron gas can be found at the semiconductor-oxide interface in a planar MOSFET. If the channel length is taken aligned to the xdirection, and the width of the channel is aligned to the y direction, the gate stack will beoriented along the z direction. As a gate voltage is applied, inversion occurs near thesemiconductor-oxide interface and electrons become trapped in a potential well at the

(a) (b)

Figure 5.17 Examples of cross-section views of nanowires with hydrogen surface passivation. Dark grey:silicon atoms, light grey: hydrogen atoms. (a) <100>-oriented silicon nanowire of approximately1 nm diameter. (b) <110>-oriented silicon nanowire with a diameter of approximately 2 nm.

154 Nanowire electronic structure

Page 171: Nanowire Transistors - CAS

interface that is often approximated as a triangular potential well. In this approximation,the semiconductor-oxide interface forms a “hard wall” potential and the electric field inthe channel is linear forming a well that traps charge carriers near the interface. For thepurposes of discussion, the channel length and width will be assumed to be large withrespect to the inversion layer thickness. Hence the electrons are effectively trapped in aplane parallel to the interface with a continuum of states available for propagationin-plane. For the confinement potential perpendicular to the interface with a widthsmall compared to the Fermi wavelength of the charge carriers, the electronic statesare quantized and subbands form. The inversion layer is an example of a two-dimensional electron gas (2DEG).

One way to view the formation of the 2DEG is to consider that in the directionsparallel to the semiconductor-oxide interface the charge carriers in the inversion layerretain their bulk band structures, but in the z direction normal to the interface theelectronic band structure is folded back onto the Γ-point creating non-propagating,standing wave states that give rise to energy subbands [57]. Figure 5.18 provides agraphical view of the resulting electronic band structure for the 2DEG formed at a silicon½001� surface. The constant energy ellipses about the conduction band minima or valleysin bulk silicon along the X symmetry lines are shown in Fig. 5.18(a). The confiningpotential due to the semiconductor-oxide interface and the gating voltage act to confinethe valleys in the ð001Þ k-space direction restricting them to the Γ-point. The resultingelectronic structure is depicted in Fig. 5.18(b) as viewed normal to the plane of the2DEG. The two concentric circles at the Γ-point reflect the degeneracy due to the

confinement of the two valleys originating from the ð001Þ and ð001Þ conductionminima. The resulting band structure will give rise to a two-dimensional density ofstates as presented in Chapter 4.

(001)

(010)

(100) (100)

(010)(b)(a)

Figure 5.18 Band folding in silicon to form a 2DEG. Confining planes are introduced in the planes normal tothe ð001Þ and ð001Þ directions. (a) The isosurfaces of constant energy around the conduction bandminima along the X symmetry axis. (b) After introduction of the confinement potential the energyminima along the ð001Þ and ð001Þ are folded onto the Γ-point in the center of the Brillouin zone.The concentric circles at the Γ-point represent the two degenerate energy bands that have been“folded.”

5.7 Applications to semiconductor nanowires 155

Page 172: Nanowire Transistors - CAS

Taking the example of the 2DEG as starting point, the electronic band structure for asilicon nanowire oriented in the ½110� direction is considered next. The ½110� orientationfor silicon nanowires is of note for several reasons: it can have a higher mobility relativeto other orientations for diameters of a few nanometers, it is a common orientation, alongwith ½112�, that readily forms for silicon nanowires grown with bottom-up techniques,and it can be readily fabricated on silicon wafers by top-down fabrication techniques. Ananowire oriented along the ½110� direction will have confining potentials in planesdefined by directions normal to the long axis. In the Brillouin zone, these can be taken to

be the surfaces normal to the ½001� and ½001� directions. The effect of confinement

normal to these directions is given in Fig. 5.18. The directions ½110� and ½110� are alsonormal to ½110�. In Fig. 5.19(a) the folding of the conduction band minima due to

confinement in planes normal to the ð001Þ and ð001Þ directions in reciprocal or

k-space is shown in a top view with the planes normal to ð110Þ and ð110Þ included asthe dashed lines in the figure. The effect of these additional confinement planes forcesthe nanowire electronic structure to become one-dimensional with the energy minima allsituated along kx ¼ ky. The resulting band structure in the nanowire bears only a passingresemblance to the original silicon bulk band structure. There are two-fold degenerateband minima at the Γ-point and two-fold degenerate minima folded onto the ð110Þ andð110Þ axes. The relative energies of the band minima with respect to one another can beestimated by the effective masses of the electrons in the confinement directions. For thebands folded back to the Γ-point, the relevant electron mass being confined is the

longitudinal or heavy conduction mass. The minima folded onto ð110Þ and ð110Þdirections involve coupling of the longitudinal and transverse masses resulting in alighter effective mass relative to a strictly longitudinal mass. This leads to the expecta-tion that confinement energies for the minima displaced away from the Γ-point will begreater than the confinement energies for the minima folded back onto the Γ-point.

(100)

(010)

(100)

(010)(a) (b)

kx = ky

Figure 5.19 Formation of a quasi-one-dimensional nanowire. (a) Starting from the 2DEG electronic structureshown in Fig. 5.18(b), confinement potentials are introduced in planes normal to the ð110Þ andð110Þ directions. (b) The confinement potentials fold the energy minima located in theðkx; kyÞ-plane along kx ¼ ky but displaced from the Γ-point.

156 Nanowire electronic structure

Page 173: Nanowire Transistors - CAS

Hence it can be anticipated that the quantum confinement effect will lead to adirect band gap semiconductor for a silicon ½110�-oriented nanowire based upon aband folding argument. The concept of band folding is a useful tool for understandingthe effects of confinement in lower dimensional systems. To provide accurate informa-tion for effective masses, location of energy minima, and the energy separation ofminima as needed for transistor design requires more detailed electronic structureinformation obtained from either experimental measurements or theoreticalcalculations.

5.7.3 Semiconductor nanowire band structures

Band folding is a result of quantum confinement and the simplest model todescribe the effect of confinement on single-electron states is the particle-in-a-box problem discussed in Chapter 4. From Eq. (4.80), it is seen that an energysubband will be created with energy inversely proportional to the effective mass ofa charge carrier and inversely proportional to the square of the length associatedwith the confining potential well. In a semiconductor nanowire, the confinementpotential is due to a surface of a nanowire or an interface potential barrier betweenthe wire and for example an oxide. As the cross-section or diameter of a nanowireis reduced, the subband energy increases in an effective mass approximation. Foran approximately circular nanowire of diameter d, the increase in band gap energymay be expressed as

EgðdÞ ¼ Eg;bulk þ constant=dα; ð5:80Þ

where EgðdÞ is the band gap energy, Eg;bulk is the bulk band gap of the material, andα ¼ 2 for an ideal system. The exponent α together with the multiplying constant areparameters usually fit to experiment or calculations. The fact that values different fromα ¼ 2 can be found is related to the fact that the confinement potential in a nanowire isonly approximately described by the particle-in-a-box problem, but also simply due tothe fact that defining the diameter of a nanowire of a few nanometers is an ambiguousprocedure due to the discrete atomic structure of materials on this length scale. Forexample, for a hydrogen terminated silicon nanowire, some reports in the literaturequote as the radius the maximum distance between hydrogen atoms across a cross-section or as the maximum distance between silicon atoms to define a cross-sectionalarea. The increase in energy for the conduction band electrons and the relative loweringof energy for the valence band holes leads to the increase in the band gap energy withreducing nanowire cross-sectional area.

Band gap widening as a function of nanowire diameter is shown using a combinationof experimental data and electronic structure theory in Fig. 5.20. The band gap of siliconnanowires was measured for ½112� and ½110� orientations with hydrogen passivationusing scanning tunneling microscopy (STM) for diameters between 1 and 7 nm [31].Also included in the figure are theoretical calculations using the GW approximationfor ½112�-oriented [58] and ½110�-oriented silicon nanowires with hydrogen

5.7 Applications to semiconductor nanowires 157

Page 174: Nanowire Transistors - CAS

passivation [59,60]. The onset of band gap widening becomes significant in siliconnanowires for diameters below 5–6 nm. For diameters above 3 nm, there is a smallerdifference in the confinement effect between the two nanowire orientations whereas fordiameters below 3 nm there is a pronounced difference in the values of the energy bandgaps – although, as previously noted, there is some ambiguity in defining diameters fornanowires with smaller cross-sections. A summary of primarily theoretical calculationsfor silicon nanowire band gaps is compiled in [61] with many of the values presented in

5.0(a)

4.5

4.0

3.5

3.0

2.5

Ban

d g

ap (

eV)

2.0

1.5

1.0

–1 0 1 2 3 4d (nanometer)

5 6 7 8

5.0(b)

4.5

4.0

3.5

3.0

2.5

Ban

d g

ap (

eV)

2.0

1.5

1.0

–1 0 1 2 3 4d (nanometer)

5 6 7 8

Figure 5.20 Examples of band gap widening as a function of nanowire diameter due to quantum confinement.

• Experimental data from STMmeasurements of the band gap obtained in [31]. ■ Theoretical dataobtained from the GW approximation from [58,59,60], for (a) [112]-oriented silicon and (b)[110]-oriented silicon nanowires.

158 Nanowire electronic structure

Page 175: Nanowire Transistors - CAS

the tabulations obtained from density functional theory (DFT) within the local densityapproximation (LDA) or with the generalized gradient approximation (GGA). Hencesome care in interpreting the DFT/LDA or DFT/GGA predictions for the electronic bandstructures is required. As previously noted, common approximations from approximateDFT methods underestimate bulk semiconductor band gaps by 50% or more. For bulksilicon, this implies corrections to approximate DFT predictions of approximately0.5 eV. The GW calculations and their comparison to experiment indicate that thesecorrections are much larger in nanowires as confinement effects become important. TheGW corrections for the energy band gaps can be greater than 2 eV relative to approx-imate DFTcalculations for the smallest diameter nanowires. Approximate DFTmethodscommonly reported underestimated semiconductor band gaps and this remains true fornanowires. It can be expected that the band gap widening predicted from approximateDFT methods for semiconductor nanowires will actually occur at larger diameters.

Calculations for the effect of quantum confinement on band gap widening in ½110�silicon nanowires are shown in Fig. 5.21 as found from approximate DFT. As noted,these methods underestimate the size of the nanowires at which the confinement effectsarise, hence the band structures provide a lower limit to the size of the energy band gap ata given diameter. The nanowires presented in Fig. 5.21 have surface silicon atomspassivated by hydrogen. For silicon and other semiconductor nanowires, the effect ofthe surface bonding can have a large impact on the value of energy band gaps. For asilicon ½110�-oriented nanowire with a diameter of approximately 1 nm, changing thesurface termination from hydrogen (-H) to hydroxyl groups (-OH) leads to a greater than1 eV red shift (reduction) in the band gap as predicted fromDFT/GGA calculations [62].The electronegativity of the surface passivating species can be used to modify theelectronic structure of the nanowire, for example the −NH2 group is predicted to havea band gap intermediate to −H and −OH surface passivants, consistent with its inter-mediate value of electronegativity. The closer the passivating species matches theelectronegativity of the surface silicon atoms, the less charge transfer occurs betweenthe surface and passivating groups. For a more electronegative species such as hydroxyl,more charge transfer occurs creating a larger surface dipole. The surface can be viewedas a hollow cylindrical capacitor with the effect that the potential in the center of thecapacitor will have a constant voltage offset with respect to the potential external to thenanowire [63]. Hence due to the large surface-to-volume ratio and the electrostatics ofsurface bonding, modification or “tuning” of the band gaps in nanowires of diameters ofa few nanometers can be achieved through surface chemistry.

Closely related to the influence of surface dipoles on the overall nanowire electronicstructure is the effect on the band gap that arises when a nanowire is embedded in adielectric material. Nanowires grown by bottom-up techniques are often embedded in anoxide material or dielectric. To form transistor structures with the gate-all-aroundgeometry, a gate oxide is grown or deposited around the nanowire to maintain electricalisolation from the gate electrode. A dielectric mismatch between the semiconductorchannel region and the gate dielectric leads to a dielectric confinement effect [64]. Theeffect on the band structure in nanowires can be pronounced, and in contrast to thequantum confinement effect which formally varies as the inverse of the diameter

5.7 Applications to semiconductor nanowires 159

Page 176: Nanowire Transistors - CAS

squared, the dielectric confinement effect on band gap energies varies as the inverse ofthe nanowire diameter. The effective potential due to mismatch between a semiconduct-ing nanowire and dielectric is not negligible and tends to correct against correlationcorrections to approximate DFT band gap energies.

5.8 Summary

This chapter has reviewed some basic concepts related to nanowire structures and theelectronic properties associated with nanowires with critical dimensions below 10 nm,

1.8

(a)

1.5

1.2

0.9

0.0

–0.3

–0.6

–0.9

xG

E–E

V (e

V)

Figure 5.21 Electronic band structure for [110]-oriented silicon nanowires with hydrogen passivation andvarying diameters of approximately (a) 2 nm, (b) 4 nm, and (c) 6 nm. Cross-sections of thenanowires corresponding to each band structure are shown for reference. The larger nanowiresshow approximately the band gap as underestimated by DFT for bulk silicon and the confinementeffect becomes more noticeable for the 4 nm and 2 nm nanowires. Better estimates to the band gapenergies can be obtained from Fig. 5.20 but the DFT calculations capture the general trend. Bandfolding leads to a direct band gap for the three nanowires but for the 6 nm nanowire there is a neardegeneracy between the Γ and off-Γ valleys. Energies are referenced with respect to the valenceband edge. Images and data courtesy of Dr. L. Ansari.

160 Nanowire electronic structure

Page 177: Nanowire Transistors - CAS

with pronounced quantum effects becoming strongly evident below 6 nm criticaldimensions. The principles guiding the behavior of the electronic structure of semicon-ductor nanowires is well understood. However, there remains relatively little experi-mental data on the electronic properties of a wide variety of nanowire orientations and

1.6(b)

1.2

1.4

1.0

0.8

0.0

–0.2

–0.4

–0.6

–0.8

–1.0xG

E–

EV

(eV

)

1.5(c)

1.2

0.9

0.6

0.0

–0.3

E–

EV

(eV

)

–0.6

–0.9

xG

Figure 5.21 (cont.)

5.8 Summary 161

Page 178: Nanowire Transistors - CAS

with different surface chemistries, or on the properties of dopants and the effects of localdisorder on material properties in nanowires. Small variations in geometry or surfacechemistry are seen to have a large impact on electronic properties for nanowires withsmall cross-sections. Relating these variations to performance of transistors and tounderstand the complexity this introduces into circuit design is a key goal for studyingthe physical properties of nanowire transistors below 10 nm critical dimensions.

Physical and electrical characterization data for material properties across a range oflength scales and geometries, and for different material sets remain limited. This is atleast partially due to the difficulties associated with fabricating uniform and reproduci-ble nanostructures and the difficulties in performing electrical or optical characterizationmeasurements on nanoscale samples. Conversely, accurate theoretical calculations forsemiconductor nanowire electronic structure for diameters greater than a few nan-ometers quickly becomes prohibitive. Most calculations to date are for highly idealizedstructures without dopants or surface roughness. Improved approximations are neededto scale to larger structures to provide design information for more realistic structures asrequired for development of new device technologies. In addition, the calculation timesneed to be reduced to provide design information on a time scale that is relevant todesign cycles in technology design. There remains much to be learned about the materialscience and electronic structures of sub-10 nm semiconductor nanowires. Given the goalto continue scaling of transistors into sub-10 nm length scales, a dramatic growth in theknowledge and expertise for fabricating nanowire transistors is anticipated and isalready underway.

Further reading

Electronic structure theory

J. H. Davies, The Physics of Low-dimensional Semiconductors: An Introduction,Cambridge: Cambridge University Press, 1998.

W. A. Harrison, Electronic Structure and the Properties of Solids, New York: Dover,1989.

R. M. Martin, Electronic Structure: Basic Theory and Practical Methods, Cambridge:Cambridge University Press, 2004.

R. McWeeny, Methods of Molecular Quantum Mechanics, London: Academic Press,1993.

I. Shavitt and R. J. Bartlett,Many-Body Methods in Chemistry and Physics, Cambridge:Cambridge University Press, 2009.

References

[1] Numerical Data and Functional Relationships in Science and Technology, Group III,vols. 17a and 22a, ed. K.-H. Hellwege and O. Madelung, Berlin: Springer, 1982.

[2] W. Paul, “Band structure of the intermetallic semiconductors from pressure experi-ments,” J. Appl. Phys., vol. 32, pp. 2082–2094, 1961.

162 Nanowire electronic structure

Page 179: Nanowire Transistors - CAS

[3] S. Fahy and J. C. Greer, “Alloy corrections to the virtual crystal approximation andexplicit band structure calculations for silicon-germanium,”Mat. Sci. in Semicond.Proc., vol. 3, pp. 109–114, 2000.

[4] S. L. Chuang, Physics of Photonics Devices, Hoboken, NJ: John Wiley and Sons,2009.

[5] C. M. Wolfe, G. E. Stillman, and W. T. Lindley, “Electron mobility in high purityGaAs,” J. Appl. Phys., vol. 41, pp. 3088–3091, 1970.

[6] I. Vurgaftman, J. R. Meyer, and L. R Ram-Mohan, “Band parameters for III-Vcompound semiconductors and their alloys,” J. Appl. Phys., vol. 89, pp. 5815–5875,2001.

[7] J. A. del Alamo, “Nanometre-scale electronics with III-V compound semiconduc-tors,” Nature, vol. 479, pp. 317–323, 2011.

[8] P. K. Hurley et al., “Structural and electrical properties of HfO2/n-InxGa1-xAsstructures (x: 0, 0.15, 0.3 and 0.53),” Physics and Technology of High-K GateDielectrics, vol. 25, pp. 113–127, 2009.

[9] J. J. J. Gu et al., “Size-dependent-transport study of In0.53Ga0.47As gate-all-aroundnanowire MOSFETs: impact of quantum confinement and volume inversion,”IEEE Electr. Dev. Lett., vol. 33, pp. 967–969, 2012.

[10] Y. Takeda, A. Sasaki, Y. Imamura, and T. Takagi, “Electron mobility and energy gapof In0.53Ga0.47As on InP substrate,” J. Appl. Phys., vol. 47, pp. 5405–5408, 1976.

[11] K. S. Novoselov, A. K. Geim, S. V. Morozov, et al., “Electric field effect inatomically thin carbon films,” Science, vol. 306, pp. 666–669, 2004.

[12] M. J. Allen, V. C. Tung, and R. B. Kaner, “Honeycomb carbon: A review ofgraphene,” Chem. Rev. vol. 110, pp. 132–145, 2010.

[13] B. Long, M. Manning, M. Burke, et al., “Non-covalent functionalization ofgraphene using self-assembly of alkane-amines,” Adv. Funct. Mater., vol. 22,pp. 717–725, 2012.

[14] M. Endo, S. Iijima, and M. S. Dresselhaus, Carbon Nanotubes, Oxford: PergamonPress, 1996.

[15] S. Iijima, “Helical microtubules of graphitic carbon,” Nature, vol. 354, pp. 56–58,1991.

[16] G. Greene-Diniz, S. L. T. Jones, G. Fagas et al., “Divacancies in carbon nanotubesand their influence on electron scattering,” J. Phys.: Condens. Matt., vol. 26,pp. 045303-1–045303-8, 2014.

[17] J. Svensson and E. E. B. Campbell, “Schottky barriers in carbon nanotube-metalcontacts,” J. Appl. Phys., vol. 110, pp. 111101-1–111101-16, 2011.

[18] S. L. T. Jones, G. Greene-Diniz, M. G. Haverty, S. Shankar, and J. C. Greer,“Effects of structure on the electronic properties of the iron-carbon nanotubeinterface,” Chem. Phys. Lett., vol. 615, pp. 11–15, 2014.

[19] J. Guo, S. Hasan, A. Javey, G. Bosman, and M. Lundstrom, “Assessment of highfrequency performance of carbon nanotube transistors,” IEEE Trans. Nanotech.,vol. 4, pp. 715–721, 2005.

[20] L. Ansari, B. Feldman, G. Fagas et al., “First principle-based analysis of single-walled carbon nanotube and silicon nanowire junctionless transistors,” IEEETrans. Nanotech., vol. 12, pp. 1075–1081, 2013.

[21] Q. H. Wang, K. K. Kalantar-Zadeh, A. Kis, J. N. Coleman, and M. S. Strano,“Electronics and optoelectronics of two-dimensional transition metal dichalco-genides,” Nature Nanotech., vol. 7, pp. 699–712, 2012.

References 163

Page 180: Nanowire Transistors - CAS

[22] Y. Canivez, “Quick and easy measurement of the band gap in semiconductors,”Eur. J. Phys., vol. 4, pp. 42–44, 1983.

[23] J. Workman Jr. and A. Springsteen, Applied Spectroscopy: A Compact Referencefor Practitioners, London: Academic Press, 1997.

[24] J. Tauc, Optical Properties of Amorphous Semiconductors, New York: PlenumPublishers, 1974.

[25] J. A. Kubby and J. J. Boland, “Scanning tunneling microscopy of semiconductorsurfaces,” Surf. Sci. Rep., vol. 26, pp. 61–204, 1996.

[26] N. Nilius, T. M. Wallis, and W. Ho, “Development of a one-dimensional bandstructure in artificial gold chains,” Science, vol. 297, pp. 1853–1856, 2002.

[27] X. Lu, M. Grobis, K. H. Khoo, S. G. Louie, and M. F. Crommie, Phys. Rev. Lett.,vol. 90, pp. 096802-1–096802-4, 2003.

[28] J. A. Larsson, S. D. Elliott, J. C. Greer, J. Repp, G. Meyer, and R. Allensprach,“Orientation of single C60 molecules adsorbed on Cu(111): low temperaturescanning tunnelling microscopy and density functional calculations,” Phys. Rev.B, vol. 77, pp. 115434-1–115434-9, 2008.

[29] J. Bardeen, “Tunnelling from a many-particle point of view,” Phys. Rev. Lett.,vol. 6, pp. 57–59, 1961.

[30] R. M. Feenstra, J. A. Stroscio, and A. P. Fein, “Tunneling spectroscopy of the Si(111) 2x1 surface,” Surface Science, vol. 181, pp. 295–306, 1987.

[31] D. D. D. Ma, C. S. Lee, F. C. K. Au, S. Y. Tong, and S. T. Lee, “Small-diametersilicon nanowire surfaces,” Science, vol. 299, pp. 1874–1877, 2003.

[32] A. Damascelli, Z. Hussain, and Z.-X. Shen, “Angle-resolved photoemissionstudies of the cuprate superconductors,” Rev. Mod. Phys., vol. 75, pp. 473–539, 2003.

[33] M. Born and J. R. Oppenheimer, “Zur Quantentheorie der Molekeln,” Annalen derPhysik, vol. 84, pp. 457–484, 1927.

[34] W. Heisenberg, “Über quantentheoretische Umdeutung kinematischer undmechanischer Beziehungen,” Z. für Physik., vol. 33, pp. 879–893, 1925.

[35] E. Schrödinger, “Quantisierung als Eigenwertproblem,” Ann. der Physik, vol. 79,pp. 361–376, 1926.

[36] P. A. M. Dirac, “On the theory of quantummechanics,” Proc. Roy. Soc. A, vol. 112,pp. 661–677, 1926.

[37] D. R. Hartree, “The wave mechanics of an atom with a non-Coulomb central field:part I, theory and methods,” Proc. Camb. Phil. Soc., vol. 24, pp. 89–110, 1928.

[38] V. Fock, “Näherungsmethode zur Lösung des quantenmechanischenMehrkörperproblems,” Z. Physik, vol. 61, pp. 126–148, 1930.

[39] P. A. M. Dirac, “Quantum mechanics of many-electron systems,” Proc. Roy. Soc.London A, vol. 123, pp. 714–733, 1929.

[40] J. C. Slater, “The theory of complex spectra,” Phys. Rev., vol. 34, 1293–1322, 1929.[41] T. Koopmans, “Über die Zuordnung von Wellenfunktionen und Eigenwerten

zu den einzelnen Elektronen eines Atoms,” Physica, vol. 1, pp. 104–113,1934.

[42] B. T. Pickup and O. Goscinski, “Direct calculation of ionization energies,” Mol.Phys., vol. 26, pp. 1013–1035, 1973.

[43] R. J. Bartlett and J. F. Stanton, “Applications of post Hartree–Fock methods: atutorial,” Rev. Comp. Chem., vol. 5, pp. 65–169, 1993.

164 Nanowire electronic structure

Page 181: Nanowire Transistors - CAS

[44] E. Wigner, “On the interaction of electrons in metals,” Phys. Rev., vol. 46,pp. 1002–1011, 1934.

[45] L. H. Thomas, “The calculation of atomic fields,” Proc. Cambridge Phil. Soc.,vol. 23, pp. 542–548, 1927.

[46] E. Fermi “Un Metodo Statistico per la Determinazione di alcune Prioprietàdell’Atomo,” Rend. Accad. Naz. Lince, vol. 6, pp. 602–607, 1927.

[47] P. Hohenberg and W. Kohn, “Inhomogeneous electron gas,” Phys. Rev., vol. 136,pp. B864–B871, 1964.

[48] W. Kohn and L. J. Sham, “Self-consistent equations including exchange andcorrelation effects,” Phys. Rev., vol. 140, pp. A1133–A1138, 1965.

[49] I. Yeriskin, S. McDermott, R. J. Bartlett, G. Fagas and J. C. Greer,“Electronegativity and electron currents in molecular tunnel junctions,” J. Phys.Chem. C, vol. 114, pp. 20564–20568, 2010.

[50] A. Beste and R. J. Bartlett, “Independent particle theory with electron correlation,”J. Chem. Phys., vol. 120, pp. 8395–8404, 2004.

[51] R. J. Bartlett, J. McClellan, J. C. Greer, and S. Monaghan, “Quantum mechanicsat the core of multi-scale simulations,” J. Comp. Aided Mat. Design, vol. 13,pp. 89–109, 2006.

[52] F. Aryasetiawany and O. Gunnarsson, “The GW method,” Rep. Prog. Phys.,vol. 61, pp. 237–312, 1998.

[53] M. van Schilfgaarde, Takao Kotani, and S. Faleev, “Quasiparticle self-consistentGW theory,” Phys. Rev. Lett., vol. 96, pp. 226402-1–226402-4, 2006.

[54] J. B. Neaton, M. S. Hybertsen and S. G. Louie, “Renormalization of molecularelectronic levels at metal-molecule interfaces,” Phys. Rev. Lett., vol. 97, pp.216405-1–216405-4, 2006.

[55] J. M. Garcia-Lastra, C. Rostgaard, A. Rubio, and K. S. Thygesen, “Polarization-induced renormalization of molecular levels at metallic and semiconducting sur-faces,” Phys. Rev. B, vol. 80, pp. 245427-1–245427-7, 2009.

[56] R. J. Turton, “Band Structure of Si: Overview,” in Properties of CrystallineSilicon, R. Hull, London: INSPEC, the Institution of Electrical Engineers, 2004,pp. 381–382.

[57] F. Stern and W. E. Howard, “Properties of semiconductor inversion layers in theelectric quantum limit,” Phys. Rev. B, vol. 163, pp. 816–835, 1967.

[58] L. Huang, N. Lu, J.-A. Yan, M. Y. Chou, C.-Z. Wang, and K.-M. Ho, “Size andstrain-dependent electronic structures in H-passivated Si [112] nanowires,”J. Chem. Phys. C, vol. 112, pp. 15680–15683, 2008.

[59] J.-A. Yan and M.-Y. Chou, “Size and orientation dependence in the electronicproperties of silicon nanowires,” Phys. Rev. B, vol. 76, pp. 115319-1–115319-6,2007.

[60] X. Zhao, C. M. Wei, L. Yang, and M. Y. Chou, “Quantum confinement andelectronic properties in silicon nanowires,” Phys. Rev. Lett., vol. 92, pp. 236805-1–236805-4, 2004.

[61] S. Noor Mohammad, “Understanding quantum confinement in nanowires: basics,applications and possible laws,” J. Phys.: Condens. Matt., vol. 26, pp. 423202-1–423202-28, 2014.

[62] M. Nolan, S. O’Callaghan, G. Fagas and J. C. Greer, “Silicon nanowire band gapmodification,” Nano Lett., vol. 7, pp. 34–38, 2007.

References 165

Page 182: Nanowire Transistors - CAS

[63] K. Zhuo and M.-Y. Chou, “Surface passivation and orientation dependence in theelectronic properties of silicon nanowires,” J. Phys.: Condens. Matt., vol. 25, pp.145501-1–145501-11, 2013.

[64] Y. M. Niquet, A. Lherbier, N. H. Quang, M. V. Fernández-Serra, X. Blasé, andC. Delerue, “Electronic structure of semiconductor nanowires,” Phys. Rev. B,vol. 73, pp. 165319-1–165319-13, 2006.

166 Nanowire electronic structure

Page 183: Nanowire Transistors - CAS

6 Charge transport in quasi-1Dnanostructures

6.1 Overview

This chapter introduces how electron and hole currents can be described in nanostruc-tures with emphasis on how quantum mechanical effects arise when treating chargetransport in small cross-section semiconductor nanowires. Discussion of the voltagesources that drive electrical behavior alongside the relationship of voltage to current inquantum mechanical systems leads to the property of conductance quantization. Anoverview of the relationship of charge carriers (electron, hole) scattering to mobility andthe relationship to mean free paths is introduced. Transistor channels with length scalesbelow or comparable to the mean free paths for electrons or holes are considered leadingto quasi-ballistic transport. In the quasi-ballistic regime only a few scattering events canoccur resulting in macroscopic properties such as mobility, diffusion, and drift velocitybecoming inapplicable and charge carrier transport is no longer described by classicaldrift and diffusion mechanisms. The chapter concludes with an introduction to Green’sfunction approaches, which are suitable for describing charge transport in the scatteringregimes ranging from purely ballistic, to quasi-ballistic, to ohmic conduction.

6.2 Voltage sources

6.2.1 Semi-classical description

Before embarking on a discussion on how to calculate electron and hole currents innanowire structures, it is useful to consider the physical description of a voltage source.A non-equilibrium condition is required to be built up across the nanowire or “device”region to provide the charge imbalance that gives rise to electric current. To understandhow a battery or power supply acts to create such a non-equilibrium condition, the resultof a voltage applied by a battery between two disconnected (open circuit) electrodes isexamined. Within a battery, electrochemical cells provide a potential difference thatresults in a deficiency of electrons on the cathode (positive terminal) and an excess ofcharge on the anode (negative terminal). Figure 6.1 provides a simple depiction of abattery connected to two electrodes shown as metal regions with wires connecting themto a battery or other voltage source. It is assumed the wires are ideal conductors and theelectrodes are metallic. Hence in a cathode electrons are pulled away from the metal

Page 184: Nanowire Transistors - CAS

electrode leaving a net positive charge behind, whereas an excess of negative charge isbuilt on the anode. Typical charge screening lengths in metals are on the order of or lessthan 0.1 nm; hence any charge imbalance in the electrodes resides at the surface aselectrostatic screening ensures mobile electrons rearrange to maintain charge neutralitywithin the bulk of a conductor. The fact that electrostatic screening is efficient inconductors implies that the electric field is effectively zero beyond a few charge screen-ing lengths into a metallic surface, or equivalently it can be concluded that the voltage isconstant within the metal or conducting electrodes since the electric field and electro-static potential are related by

~Εð~rÞ ¼ �~∇~rVð~rÞ: ð6:1Þ

Thus effectively all the potential energy difference or voltage drop is between theelectrodes plus a few screening lengths into both electrodes. The resulting field linesdepicted in Fig. 6.1 represent the electric field that arises across a gap situated betweencharged electrodes. Evaluating the line integral of the electric field between any pointsyields an electrostatic potential independent of the path taken, revealing that the field is“conservative.” Hence the potential energy change of the electrons travelling betweenthe two electrodes is independent of the path that the charge carriers follow; the potentialenergy gained or lost is determined by the initial and final positions taken for the chargecarriers. The resulting voltage difference between two points taken within several chargescreening lengths within the anode and cathode thus equates to the open source voltageof the battery. The point to be made is that the electrodes are each separately inequilibriumwith the anode and cathode of the battery. Due to the charge balance inducedin the electrodes arising from the electrochemical potential maintained by the battery, thetwo electrodes are held at differing but constant voltages.

V

ε→

–+

μRμL

Figure 6.1 Electrodes connected to a battery in an open circuit configuration. The electric field linesbetween the electrodes are schematically shown. The electrostatic force of a positive chargecarrier (hole) is directed along the field lines whereas the electrostatic force on a negative chargecarrier (electron) is in the direction opposing the field lines.

168 Charge transport in quasi-1D nanostructures

Page 185: Nanowire Transistors - CAS

Next consider a nanowire or similar structure connected between the electrodes. Thecharge imbalance and corresponding potential difference between the electrodes givesrise to a current flow with electrons from the anode flowing toward the cathode. As isconvention, the current flow in the direction opposite to the electron trajectories is takento be positive and hence current is positive when measured from the positive cathodeterminal to the negative anode terminal. As current flows, the battery during its normaloperating life is able to maintain a constant electrochemical potential across itsterminals and likewise is able to independently maintain equilibrium within each ofthe electrodes. Indeed the ability to maintain a constant voltage difference for arbitrarycurrents is the defining feature of an ideal voltage source. The ability of the battery orother voltage source to maintain equilibrium with the electrodes implies that thecathode and anode act as reservoirs for the electronic charge carriers. In this context, acharge reservoir is a large supply of either mobile electrons or holes locally in thermo-dynamic equilibrium and at a constant voltage. However, overall the circuit is in a non-equilibrium state due to the potential difference created across the nanowire as the twoelectrodes are held locally in equilibrium but are forced away from equilibrium withrespect to each other by the battery or voltage source.

To explore the consequence of the cathode and anode acting as charge reservoirs, let’sfollowwhat happens to an electron as it exits the anode, traverses the nanowire, and exitsinto the cathode for the case of no scattering or ballistic transport, and ask: what are theimplications for operation of an ideal voltage source? Although the anode electronsare at a lower voltage with respect to the cathode, recall this implies they are at a higherenergy due to the electron charge sign convention. In the open circuit of Fig. 6.1, thezero voltage reference or ground may be chosen arbitrarily. One choice is to assumethe electrons in the positive cathode terminal are at a voltage of þV=2 and electrons atthe negative anode terminal are at a voltage of �V=2. Note that any zero voltagereference may be chosen as long as the voltage difference between the two electrodesis V , for example the more conventional circuit choice is to choose the cathode terminalto be at þV and the anode at “ground” or V ¼ 0, a choice which will be used in thefollowing sections. The force on an electron is related to electric field by

~Fð~rÞ ¼ q~Eð~rÞ; ð6:2Þ

expressing mathematically that the force acting on the (negatively charged) electronsdrives them from anode to cathode. Electrons exiting the anode experience accelerationdue to the electrostatic force, and since transport through the nanowire in this example isassumed to be without scattering or ballistic, the charge carriers exit the nanowire andenter the cathode with an increase in kinetic energy that equates to the potential energydifference maintained between the electrodes. In the case of ballistic transport all theelectrons find their way into the cathode and for the battery‘s terminals to remain inequilibrium with the electrodes, the electrons entering the electrode after traversinga potential energy drop must lose the kinetic energy gained to “equilibrate” with theelectrons residing at the cathode. For this to happen, the electrons with excess kineticenergy must experience inelastic scattering events within the electrode connected to the

6.2 Voltage sources 169

Page 186: Nanowire Transistors - CAS

battery’s positive cathode terminal; such events are primarily ascribed to electronsscattering off lattice vibrations or “phonons” whereby the excess energy is dissipatedthrough heating of the electrode material. Through these inelastic events the excesskinetic energy is dissipated and the arriving electrons achieve a local equilibrium withthe cathode. These energy loss events give rise to power dissipation and a study ofheating mechanisms and heat transfer on the nanometer-scale remains a subject of activeinvestigation [1,2]. Although transport in the nanowire may be ballistic, the introductionof voltage sources by application of open system boundary conditions requires thatenergy losses occur in the cathode terminal to maintain local equilibrium.

A similar picture is found if scattering in the nanowire region is allowed but a newcondition on the behavior of the electrodes is introduced. If elastic scattering is con-sidered, electrons entering the nanowire region have a probability of being transmittedto the cathode or being reflected back into the anode. Electrons entering the cathoderegion still acquire additional kinetic energy due to the potential energy drop along thenanowire and again must equilibrate with the other electrons through inelastic processes,whereas electrons reflected back will return with the same kinetic energy as they re-enterthe anode with the same potential energy at which they exited. However, in themodels oftransport to be discussed next it is assumed that electrons or holes once exiting fromthe nanowire region into either electrode are not able to emerge back into the nanowirebefore entering the electrode and becoming re-equilibrated. This condition is typicallymaintained when electrons exit a narrow constriction such as a nanowire and enter awider region such as a large metal electrode. However, it is worthwhile to mention thatthis essentially geometric constraint is not maintained in all nanoelectronic structuresand some care is required when defining electrodes and electron reservoirs for chargetransport applications. Finally, if inelastic scattering is allowed in the nanowire region,the conditions on the electrodes remain but additionally energy loss and consequentlyheating occurs in the nanowire or device region, but otherwise the boundary conditionsrequired for the electrodes remain the same.

The nanowire or device region connected to the electrodes is an example of anopen system for which appropriate boundary conditions must be specified to describethe response to applied voltages. As current flows, electrons or holes are exchangedwith the reservoirs and an exchange of particles is one characteristic trait of an opensystem. For the specific case of a device region connected to a voltage supply, theprevious discussion suggests that boundary conditions for each electrode are similarto an ideal black body in that, like a black body, the electron reservoirs are inthermodynamic equilibrium [3]. A black body emits a fixed energy distribution ofelectromagnetic radiation whereas the electrons are emitted with a fixed energydistribution determined by the equilibrium condition in the electrodes. An idealblack body also is capable of absorbing all incident radiation which then equilibratesbefore being re-emitted: this condition is in analogy to the condition that theelectrodes can absorb all electrons incident from the device region without reflection,and that all electrons achieve equilibration with all other electrons in the electrodebefore being emitted into the nanowire. The essential feature of the electrodereservoirs is that the charge carriers emerge with an energy fixed by a local

170 Charge transport in quasi-1D nanostructures

Page 187: Nanowire Transistors - CAS

equilibrium condition, but that they can absorb incoming charge carriers with anyenergy or momentum distribution.

6.2.2 Electrode Fermi–Dirac distributions

Up to this point the description of the electrodes and voltage source have relied primarily onclassical electrostatic arguments, statements about thermodynamic equilibrium, and thecondition of reflectionless electrodes to define boundary conditions for an open system, forpresent purposes, a nanowire transistor connected between two electrodes. The boundaryconditions are next translated to a quantummechanical description to allow afirst-principlesdescription of charge transport in nanowire transistors. To begin, if each electron in themetallic electrodes are in equilibrium or have undergone enough scattering events to forgetor to “decohere” from their previous non-equilibrium state after they are either transmittedthrough or reflected by the device region, they may be, once equilibrated, described in thecathode by a single-particle Hamiltonian that is shifted in voltage by h0 � jqjV=2 and foranode electrons the shift is upward in energy by h0 þ jqjV=2. In Fig. 6.1 the cathode is onthe “left” and the anode is on the “right.” As it is conventional to speak about left andright electrodes, in the following the cathode will be labeled the left (l) electrode and theanode the right (r) electrode. Left- and right-moving electron or hole states are intro-duced depending on the direction of a charge carrier’s momentum incident to thenanowire region. The effective mass approximation is being applied in the electroderegions allowing the single-particle energies for the electrons to be given as

El ¼ ℏ2

2m� k2l ;

Er ¼ ℏ2

2m� k2r :

ð6:3Þ

It is useful to define the local electrochemical potentials μ in each reservoir in thepresence of a voltage difference as

μl ¼ EF þ jqjV=2;μr ¼ EF � jqjV=2; ð6:4Þ

where the Fermi energy is still referenced to the zero voltage equilibrium system. Theelectrode electrons sufficiently far from the device region are assumed to be equilibrated,and for the single-particle picture of electrons their energy distribution will be given byFermi–Dirac statistics. For the cathode and anode, the energy distributions for theelectrons are

flðElÞ ¼ 1

eðEl�μlÞ=kBT þ 1;

frðErÞ ¼ 1

eðEr�μrÞ=kBT þ 1;

ð6:5Þ

6.2 Voltage sources 171

Page 188: Nanowire Transistors - CAS

respectively, where kB is Boltzmann’s constant and T is the temperature with the productkBT approximately equal to 25.6 meVat T = 298 K or room temperature. In Fig. 6.2, theFermi–Dirac distribution is plotted at T ¼ 0 and at an arbitrary non-zero temperature.Assuming that the metallic electrode states are well described by free electrons ofeffective mass m�(a reasonable approximation for metals), it is seen from the cathodeor left electrode in Fig. 6.3 that only right-moving states will enter into the nanowire ortransistor region and that, conversely, from the anode or right electrode it is only the left-moving states that will enter into the nanowire or transistor region.

Figure 6.3(a) shows schematically the energy dispersions for the cathode (left) andanode (right) electrode electrons at zero applied voltage. In this case, the numbers ofright-moving and left-moving electrons are equal and there is no net current. Anotherpoint of view is that electrons entering into the device region are unable to exit intoeither electrode as the available states are “blocked” as all available electron states inthe opposing electrode are occupied thereby prohibiting current flow. Figure 6.3(b)shows how the situation is altered once a potential difference is applied between thetwo electrodes. The energy levels in the cathode (left) electrode become lower inenergy relative to the anode (right) electrons which are shifted higher in energy.Electrons from the anode are now able to enter the nanowire and due to the potentialdrop across the device region move across into the cathode. As mentioned previously,the electrons moving across from the anode convert their excess potential energy into

Figure 6.2 Fermi–Dirac distribution f ðE;TÞ shown for temperatures T = 0 K (dashed line) and for a finitetemperature T > 0 K (solid line). At low temperatures, the Fermi–Dirac distribution approaches astep function with electronic states with energies less than the Fermi energy EF occupied withunity probability. At finite temperatures, electrons can occupy higher energy states above theFermi energy. States “near” the Fermi level can have fractional probabilities for occupation. Therelevant energy scale for fractional occupations is for states with energies within a few kBT of theFermi level and room temperature or 298 K corresponds to a value of kBT= 25.6 meV. The areaunder the curve gives the total number of occupied electrons and the maximum occupation perenergy level is two due to spin degeneracy.

172 Charge transport in quasi-1D nanostructures

Page 189: Nanowire Transistors - CAS

kinetic energy and then are required to equilibrate with the cathode electrons. Note thatthe energy difference between the electrodes implies a potential energy profile in thenanowire region that serves as a barrier to left-incident electrons, whereas it presents apotential energy drop for the right-incident electrons. Finally in Fig. 6.3(c), the

(a)

(b)

(c)

EF + | q |V

EF

EF

E

E

E

| q |V

E

E

+kF −kF

f (k) f (k)

Figure 6.3 Ideal behavior of electrodes described by a single parabolic energy band and locally inequilibrium. Electrons are assumed occupied up to the Fermi energy as depicted by the filled areain the energy dispersion curves for the electrons incident on a scattering region. The Fermimomentum is indicated by the vertical dashed line. (a) No applied voltage bias. (b)With an appliedvoltage bias. (c) The potential energy shifts the electrons energies in the right electrode asℏ2k2=2m� þ jqjV leaving the electron (or hole) momentum distributions unchanged.

6.2 Voltage sources 173

Page 190: Nanowire Transistors - CAS

momentum distributions for the left and right electrodes are plotted. It is noted that thesingle-electron energies in Fig. 6.3(b) are shifted by a constant jqjV in the rightelectrode, but that the momenta are not likewise shifted. Referring back to Chapter 4,the introduction of a constant potential energy term is seen to be equivalent to adding aconstant to the Hamiltonian operator in the Schrödinger equation for a plane wave. Aconstant potential term only serves to shift the energy eigenvalues but does not changethe wave function. Hence the electrons in the electrodes remain momentum eigenstateswith momentum distributions independent of the applied voltage. Hence the applicationof open system boundary conditions may be also expressed in terms of the incomingmomenta from the electrodes incident on the nanowire region being maintained at theirequilibrium distributions as an electric field is applied across the nanowire driving thetwo electrodes away from equilibrium with respect to one another.

6.3 Conductance quantization

In information theory and communications, if data are sent down a transmission channelit is said to be ideal when no information is lost, or conversely is described as noisy wheninformation is lost or obscured. Similarly, in nanoelectronics reference is commonlymade to conduction “channels.” For the case of quantum charge transport, a conductancechannel may be thought of as an energy subband with a propagating mode in contrast tonon-current-carrying localized states or a standing wave state, although the precise useof conductance channel as a terminology can vary somewhat. Note that a scatteringwave such as presented in Chapter 4 is typical of transmission for a given energy within aconductance channel. In the absence of scattering, an ideal conductance channel isachieved with transmission T ¼ 1 and is “lossy” if electrons can be elastically orinelastically scattered, in which case the transmission will be such that T < 1. Theconcept of conduction channels arises in conjunction with open system boundaryconditions.

6.3.1 Subbands in a hard wall potential nanowire

In Chapter 4, a simple model of a nanowire was introduced with hard wall confiningpotentials in the x and y directions leading to a product wave function with particle-in-a-box (PiB) solutions in the confinement directions and with free particle wave functionsdescribing the electron states propagating along the nanowire’s axis. This model isgeneralized slightly to allow different confinement lengths in the x and y directions.To begin, the Schrödinger equation for three spatial dimensions in the absence of apotential energy is

� ℏ2

2m∇2ψðx; y; zÞ ¼ Eψðx; y; zÞ: ð6:6Þ

174 Charge transport in quasi-1D nanostructures

Page 191: Nanowire Transistors - CAS

Confinement is modeled as hard wall potentials in the x and y directions as depicted inFig. 6.4, and these can be represented by two potential energy functions in a form thatallows for a separation of the wave function in the three spatial variables

ψðx; y; zÞ ¼ φxðxÞφyðyÞφzðzÞ: ð6:7Þ

The wave functions in the confinement direction take on the form of PiB wave functions,and their product can be written as

φxðxÞφyðyÞ ¼ Nx;ysinπnxt

x� �

sinπnyh

y� �

; ð6:8Þ

where the confinement length in the x direction is taken to be t and is h in the y direction,hence a rectangular cross-section nanowire is assumed. Taking t ¼ h the nanowiremodel of Chapter 4 is regained. In Eq. (6.8), Nx;y is constant and is chosen based onthe overall wave function normalization. The energy due to the confinement normal tothe nanowire axis is given by

Enx;ny ¼ℏ2

2m�πnxt

� �2þ πny

h

� �2� �; ð6:9Þ

with nx ¼ 1; 2; 3;… and ny ¼ 1; 2; 3;…. To each ðnx; nyÞ pair corresponds an energysubband with a conduction channel in the z direction. The lowest energy subband isfound for nx ¼ ny ¼ 1.

Along the nanowire axis, the 1D Schrödinger equation is

t

h

xz

y

L

Figure 6.4 A hard wall potential nanowire. On the walls of the box parallel to the yz and xz planes, thepotential energyU ¼ ∞ resulting in a vanishing of the electron wave function at the walls and zeroprobability of finding an electron outside of the wire. Charge carriers are free to propagate alongthe z direction within the confining potentials. The hard wall potentials normal to the direction ofelectron or hole propagation give rise to the subband energies given in Eq. (6.9).

6.3 Conductance quantization 175

Page 192: Nanowire Transistors - CAS

� ℏ2

2m�∂2

∂2zφzðzÞ ¼ EnzφzðzÞ; ð6:10Þ

and if Born–von Kármán boundary conditions are applied to describe propagating states,then the solution is

φzðzÞ ¼ Aeþiknz z þ Be�iknz z: ð6:11Þ

The constants A and B also are related to the overall wave function normalization andcan be chosen to select right- or left-moving states, or a superposition of the two. Theenergy is related to the momentum through the familiar parabolic energy dispersioncharacteristic of free electrons

Enz ¼ℏ2

2m� k2nz ¼

ℏ2

2m�2πnzL

� �2

; ð6:12Þ

with the length of the nanowire taken to be L leading to the quantization of the wavenumber nz ¼ 1; 2; 3;… and the energy is independent of the direction of motion. Thetotal energy for an electron in a subband of the hard wall nanowire is then given as

E ¼ ℏ2

2m�πnxt

� �2þ πny

h

� �2þ 2πnz

L

� �2" #

: ð6:13Þ

Notice in this simple model that an isotropic effective mass has been assumed;however, this is not a necessary assumption and in more realistic models of semicon-ductor nanowires a strongly anisotropic effective mass is the norm. For conductanceacross the nanowire, the nanowire is connected to a voltage source by connecting toelectrodes as presented in Section 6.2.

Conductance in the nanowire is considered next from three equivalent standpoints butwhere different physical features of the problem are highlighted. Conductance for asingle subband is examined with the only relevant degree of freedom for the transportdirection of the nanowire axis; for simplicity, subscripts denoting the transport directionare dropped and quantities are understood to be referred to the nanowire axis.

6.3.2 Conductance in a channel without scattering

The wave number in the direction of electron propagation is

k ¼ 2πLn; ð6:14Þ

and thus

dndk

¼ L2π

: ð6:15Þ

The dispersion relation Eq. (6.12) can be rewritten as

176 Charge transport in quasi-1D nanostructures

Page 193: Nanowire Transistors - CAS

k ¼ffiffiffiffiffiffiffiffiffiffiffi2m�E

p

ℏ; ð6:16Þ

leading to

dkdE

¼ 1

ffiffiffiffiffiffim�

2E

r: ð6:17Þ

Allowing for spin degeneracy (spin up and down) for each energy level, the density ofstates DoSðEÞ for a single parabolic subband per unit energy (see discussion on densityof states in Chapter 4) may be expressed as

DoSðEÞ ¼ 2dndE

¼ 2dndk

dkdE

¼ Lh

ffiffiffiffiffiffiffiffi2m�

E

r: ð6:18Þ

The density of states gives the number of electrons that can be found at a given energy E,and for plane wave states the charge density of each of these states is uniform. Hence thecharge density for an electron in a region of length L at a given energy is

ρðEÞ ¼ qDoSðEÞ

L: ð6:19Þ

The group velocity of an electron propagating at energy E is defined by

vgðEÞ ¼ dEdk

; ð6:20Þ

which for a “quasi-free” electron of effective mass m� is

vgðEÞ ¼ pm� ¼

ℏkm� ¼

ffiffiffiffiffiffi2Em�

r: ð6:21Þ

In analogy to a classical charge current given as the product of the charge and numberof charge carriers with the carrier velocity yielding I ¼ qnv, the quantum mechanicalcurrent for a plane wave with energy E may be written as

IðEÞ ¼ ρðEÞvgðEÞ; ð6:22Þ

which from Eqs. (6.19) and (6.21) is a constant,

IðEÞ ¼ 2qh: ð6:23Þ

It is seen that the DoS and group velocity conspire to keep the current contribution fromall energies constant for a free electron dispersion relation, and the constant is onlyrelated to fundamental physical quantities: namely, the spin degeneracy per channel, thecharge on an electron q, and Planck’s constant h:

6.3 Conductance quantization 177

Page 194: Nanowire Transistors - CAS

Considering the electron reservoir model of the electrodes as depicted in Fig. 6.3and at a temperature of 0 K with a voltage V applied across a nanowire, the energy(electrochemical potential) for the electrons in the left and right reservoirs are μl and μrwith |qjV ¼ μr � μl. In the case of ballistic transport, i.e. in the] absence of scattering,the current flowing in the nanowire is given by

I ¼ðμrμl

IðEÞdE ¼ 2jqjh

ðμrμl

dE ¼ 2jqjh

ðμr � μlÞ ¼2q2

hV : ð6:24Þ

Therefore the conductance contributed by a single subband to charge transport across ascattering region is given by

G0 ¼ I=V ¼ 2q2

h≈

1

12:9 kΩ: ð6:25Þ

This property for the conductance of a subband is termed conductance quantization,although as we will see in the following the actual conductance per subband is notquantized. In the absence of scattering, the conductance per subband is a maximumand it is this maximum that obeys a “quantization” condition. In Fig. 6.5, the con-ductance as a function of voltage is shown for the nanowire model with w ¼ t ¼ 6 nm,and remarkably the quantized conductance steps are independent of the length of thenanowire or its material composition, which in the transport model would correspond toa dependence on the effective massm�. Both the nanowire’s length and effective mass ofthe charge carriers are conspicuously absent from the final conductance formula. It is

6

5

4

3

2

1

00 100 200

Energy [meV ]

Co

nd

uct

ance

[2q

2 /h

]

300 400

Figure 6.5 Conductance quantization for the nanowire model with t ¼ h ¼ 6 nm. The individual steps in theconductance curve correspond to new subbands entering the bias window as the voltage dropalong the nanowire’s long axis is increased. Effective mass for the charge carriers is taken to bem� ¼ 0:5

178 Charge transport in quasi-1D nanostructures

Page 195: Nanowire Transistors - CAS

also seen that if the “voltage bias window,” V ¼ ðμr � μlÞ=jqj, is sufficiently large toencompass n subbands, their conductances add in parallel as G ¼ nG0. Alternatively, ifthe voltage is sufficiently small that only one conducting quantum state is within the biaswindow, the differential conductance g0 ¼ ∂I=∂V for a single state is also found to be

g0 ¼ 2q2=h. Hence the “conductance quantum” is a fundamental characteristic for asingle ideal (i.e. in the absence of scattering) conductance channel or single-electronicstate [4].

The independence of an ideal ballistic conductor’s conductance, or similarly itsresistance, on length gives rise to the concept of a “contact resistance.” Essential tothe formulation of the resistance per subband is the assumption of a dense set ofelectrode states connected to both ends of a narrow constriction that in our examplesis a nanowire. Hence the denser set of states associated with the electrodes is alwayscapable of “feeding” charge carriers into the lower DoS in the constriction. It is thephysical picture of the “wide” electrodes providing electrons into the “narrow” nanowirecombined with the fact that the ballistic resistance is independent of the length of thenanowire that gives rise to the term “contact resistance,” thereby leading to the associa-tion of the ballistic resistance to the electrode–nanowire interfaces.

6.3.3 Time reversal symmetry and transmission

The previous calculation for the conductance quantum given above implicitly assumesthat no charge carrier scattering in the nanowire occurs, or equivalently that the carriertransmission is unity. The transmission model depicted in Fig. 6.3 is reconsidered toallow for scattering in the conductance channels of a nanowire. Before moving directlyto the calculation of the conductance when scattering occurs, the electron or holetransmission function for arbitrary potential profiles is considered. The reason for thisdetour is to establish the condition of detailed balance, which ensures that no currentflows in the absence of a voltage difference across a nanowire.

Time reversal symmetry was discussed in Chapter 4 in relation to momentumeigenstates and the ability to form a current-carrying state, where it was stated thattime reversal symmetry must be broken to give rise to a current flow. The conversestatement that if time reversal symmetry is not broken a current cannot flow is alsotrue. To explore this claim in more detail, a more general potential profile in onedimension is examined as depicted in Fig. 6.6. In Fig. 6.6(a) an arbitrary symmetricpotential barrier is depicted and it is clear by making the transformation x→� x thatthe transmission will be equal for left- or right-incident electrons of equal energies. InFig. 6.6(b) an arbitrary but asymmetric potential is sketched. It is not obvious that thetransmission will be equal for left and right electrons of equal energy but it can be shownthat it is indeed the case.

For distances sufficiently far away from the central scattering potential, the wavefunctions for propagating electrons from either the left or the right will have the form ofscattering states. For an electron incident from the left, the wave function will havethe form

6.3 Conductance quantization 179

Page 196: Nanowire Transistors - CAS

ψlðxÞ ¼eþikx þ rle�ikx x 0;

tleþik0x x 0;

ð6:26Þ

where for simplicity the incident electron flux is chosen to be unity and the form of thewave function inside the potential barrier is not specified. The explicit form of the wavefunction in the barrier will not be needed for the following discussion. Similarly a right-incident electron is described by

ψrðxÞ ¼ e�ik0x þ rreþik

0x x 0

tre�ikx x 0;

ð6:27Þ

again ignoring the explicit form of the wave function within the potential barrier.Returning to the time-dependent Schrödinger equation given in Chapter 4, it is seen

that time reversal t→� t is equivalent to the substitution i→� i. Taking the complexconjugate of the time-dependent Schrödinger equation results in

� ℏ2

2me

∂2

∂x2þ UðxÞ

" #ψ�ðx; tÞ ¼ �iℏ

∂∂tψ�ðx; tÞ: ð6:28Þ

Thus if ψ is a solution of the time-dependent Schrödinger equation, then ψ� is a solutionif time is allowed to “run backwards,” or for time reversal. It follows for the time-

Figure 6.6 Time reversal symmetry ensures that the transmission for left-moving and right-moving electronsat the same energy will be equal for symmetric or asymmetric voltage profiles. (a) Symmetricvoltage profile in one dimension. (b) Asymmetric voltage profile in one dimension.

180 Charge transport in quasi-1D nanostructures

Page 197: Nanowire Transistors - CAS

independent Schrödinger equation that the time reversed solution ψ� will be an eigen-function with the same energy as ψ. Returning to the asymmetric potential barrier, thetime reversed solution for an electron incident from the right becomes

ψr�ðxÞ ¼ eþik

0x þ r�r e

�ik0x x 0;

t�r eþikx x 0;

ð6:29Þ

again ignoring the solution within the potential barrier region. As is expected, thecurrents in the wave function are reversed but the solution does not respect the physicalboundary conditions for a left- or right-incident electron being scattered from a potentialbarrier. Hence time reversal yields a new solution to the Schrödinger wave equation butas the solution does not satisfy the appropriate scattering boundary conditions, thesolution at this point is a mathematical artifact. The Schrödinger equation is a lineardifferential equation hence a linear combination of solutions is also a solution. Using thetime reversed solution and a right-incident electron scattering state, the following wavefunction is constructed:

1

t�rψr

�ðxÞ � r�rt�rψrðxÞ ¼

eþikx � r�r trt�r

e�ikx x 0;

1� r�r rrt�r

eþik0x x 0:

8>><>>: ð6:30Þ

The form of Eq. (6.30) is recognized as the same form of a left-incident electron withunit incoming electron flux. Thus the identifications

rl ¼ � r�r trt�r

;

tl ¼ 1� r�r rrt�r

ð6:31Þ

can be made. In Chapter 4 current conservation in a scattering state is considered, and fora right-incident scattering state current conservation can be expressed as

ð1� jrrj2Þℏk 0 ¼ jtrj2ℏk; ð6:32Þ

which can be used to define the transmission for an electron incident from the right interms of the wave function amplitudes as

Tr ¼ 1� jrrj2 ¼ jtrj2 kk 0 : ð6:33Þ

Using Eqs. (6.31) and (6.33), a relationship for the transmission amplitudes is found to be

tl ¼ kk 0 tr: ð6:34Þ

It follows that

6.3 Conductance quantization 181

Page 198: Nanowire Transistors - CAS

Tr ¼ kk 0 jtrj2 ¼

k0

kjtlj2 ¼ Tl: ð6:35Þ

The relationship states that electrons with equal energies incident from the left andright upon any potential barrier will have equal electron transmissions, and this fact is aconsequence of time reversal symmetry.

6.3.4 Detailed balance at thermodynamic equilibrium

The case of an arbitrary scattering voltage profile between two equivalent electrodes atzero voltage bias is shown in Fig. 6.6(b). As there is no external applied voltage, theelectrochemical potential of the left electrode, scattering region, and right electrode areequal to the Fermi energy of the equilibrated (zero bias) system. Detailed balance is astatement that for a system of charges in thermodynamic equilibrium that leads to thecondition there is no net current flow. As electrons incident from the left or right withequal energies will enter into the scattering region with the same value of momentumconsistent with the condition of no voltage bias between left and right electrodes, the netcurrent flow can be written as

I ¼ 2jqjℏm�L

XnF

n¼1½TrðEnÞ � TlðEnÞ�kn ¼ 0; ð6:36Þ

where nF is the wave number at the Fermi level and a factor of 2 has been introducedto account for spin degeneracy. Independent of the scattering potential between theelectrodes, the transmissions between left- and right-incident electrons of the sameenergy are equal. Hence at zero voltage bias, time reversal symmetry ensures the currentis zero. Note that for asymmetric electrodes, charges will redistribute between theelectrodes and scattering region until detailed balance is achieved establishing anequilibrium state.

6.3.5 Conductance with scattering

The presence of a potential difference between the electrodes results in asymmetricscattering between electrons entering from either the cathode or the anode and theyare scattered as they enter into the nanowire. It is the asymmetry in the carrierscattering that occurs between the left and right electrodes due to the potentialdifference along the nanowire that gives rise to a net current flow. To demonstratethis behavior in the presence of scattering, the simple step potential is againconsidered. Electrons incident from the left see a step up potential whereaselectrons incident from the right encounter a step down potential. The electronsincoming from the left and right can be represented as scattering states which aregiven again for convenience:

182 Charge transport in quasi-1D nanostructures

Page 199: Nanowire Transistors - CAS

ψlðxÞ ¼1ffiffiffiL

p ðeþikx þ rle�ikxÞ x < 0;

1ffiffiffiL

p tleþik

0x x > 0;

8>><>>: ð6:37Þ

and

ψrðxÞ ¼1ffiffiffiL

p ðe�ik0x þ rre

þik0xÞ x > 0;

1ffiffiffiL

p tre�ikx x < 0;

8>><>>: ð6:38Þ

with box normalization on the scattering region re-introduced to emphasize that the wavevectors will be considered to be quantized. Referring back to Fig. 6.3, it is seen that as thevoltage step is applied between the electrodes, the energies of the electrons on the right areshifted upward by a relative energy of þjqjV . However, the momentum distributions ofthe electrons within the electrodes are not affected by the introduction of the voltagestep. The currents for the incoming electrons can be rewritten conveniently as

I ¼ 2jqjℏmL

XnF

n¼1Tr�Er;n ¼ ðℏknÞ2=2m� þ jqjV

�� Tl

�El;n ¼ ðℏknÞ2=2m�

�h ikn:

ð6:39Þ

It is important in this example that, due to the voltage difference, the energy for anelectron in the left electrode and right electrode are not equal for a given wave vector.Hence for a given incoming momentum (index n) the transmissions are no longer equalin the presence of a potential difference

Tlðkn;VÞ ≠ Trðkn;VÞ ð6:40Þ

when V ≠ 0: Thus as a voltage difference is introduced between the left and rightelectrodes, detailed balance is no longer maintained and a current begins to flow.

Figure 6.7 presents the behavior of the transmission as a function of energy for step upand step down potentials, which corresponds in the present discussion to the case of theleft and right incoming electrons, respectively. It is straightforward to demonstrate thatthe step up transmission function given in Section 4.4 is equal to the step down

transmission by exchanging x↔� x and k↔k0; the proof is left as an exercise.

However, the physical cause for the region of zero transmission at low energies isdifferent for the left and right incoming electrons. For the left incoming electrons withenergies less than step potential height, electrons can tunnel into the classically for-bidden region under the barrier. However, due to the semi-infinite extent of the barrier,electrons cannot propagate yielding an exponentially decaying or evanescent wavefunction under the barrier as qualitatively presented in Fig. 6.8. As there is no propaga-tion in the forward direction, current conservation requires that the incident electron isfully reflected for energies less than the step barrier height yielding a net zero currentflow. As electron energies for the left-incident electrons become greater than the barrier

6.3 Conductance quantization 183

Page 200: Nanowire Transistors - CAS

height, the transmission function increases rapidly until approaching unity for energiesof a few multiples of the step potential. Conversely, the right incoming electronsencounter a step down potential, and in this case the minimum energy of an electronin the right electrode is jqjV due to application of the potential difference; see Fig. 6.3(b).For right-incident electrons with energies just slightly larger than the barrier energy,there is some reflection for states with lower momenta but the transmission for electronsincident from the right also quickly approaches unity with increasing energy.

incident

evanescent

|q|V®

reflected

Position

®

Figure 6.8 A schematic view of tunneling into the classically forbidden region for a step up potential. Thetransmission is zero hence the reflection R = 1, ensuring the current incident to the step up potentialis cancelled by the current carried by the reflected component of the wave function. Theevanescent wave carries no current but there is a finite probability of finding the electron beyondthe classical turning point.

1.0

0.5

Tran

smis

sio

n

0.00 1 2 3

Energy [Units of potential barrier height]

4 5

Figure 6.7 Transmission for a step up or a step down potential. The energy axis is normalized to theheight of the step up/step down potential barrier height jqjV .

184 Charge transport in quasi-1D nanostructures

Page 201: Nanowire Transistors - CAS

The characteristics of the electron transmission functions for left- and right-incidentelectrons permit the following approximate calculation of conductance. Electrons inci-dent from the left are blocked by the step potential for energies

ðℏknÞ22m� ≤ jqjV ; ð6:41Þ

allowing the number of the left incoming states blocked by the potential step to beexpressed as

nV ¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2jqjm�V

pℏΔk

; ð6:42Þ

where Δk ¼ 2π=L. The transmission for electrons with energy less than or equal to thepotential barrier is zero, but for electrons incoming from the left with energy greater thanthe potential barrier it is approximated as unity. For electrons incoming from the right, allelectrons are taken to have transmission approximately equal to one. This allows thecurrent including spin degeneracy to be written as

Ie 2jqjℏm�L

XnFn¼1

kn �XnFn¼nV

kn

" #

e 2jqjℏm�L

XnVn¼1

kn

→2jqjℏm�L

ΔkðnV0

ndn ¼ 2q2

hV :

ð6:43Þ

The conductance is found to be G0 ¼ I=V ¼ 2q2=h and the conductance quantizationcondition is again established [5].

The expression for the conductance quantum expressed as the differencebetween the transmitted momentum distributions seems at first glance at oddswith the traditional view that it is the electrons at the Fermi energy that contributeto electrical conduction. In the preceding calculation, electrons with low momentaincoming from the right are summed corresponding to states incoming from theleft that are blocked by the potential step. However, recalling that in Eq. (6.23), fora parabolic band, the energy resolved current is a constant q=h, it follows that themagnitude of the integral over the energy resolved current blocked by the potentialheight will be equal to the integral over the states shifted in energy above the zerovoltage Fermi level, i.e.

ðjqjV0

iðEÞdE ¼

ðEFþjqjV

EF

iðEÞdE ð6:44Þ

Hence the current blocked by the potential barrier is equal in magnitude to the currentcarried by the states that are shifted above the zero voltage Fermi level by application ofthe potential difference. It is the difference between the left and right current-carrying

6.3 Conductance quantization 185

Page 202: Nanowire Transistors - CAS

states that generates net current flow, and this difference may be expressed either as thecomponent of the current reflected leaving the anode, or as the difference between thetransmitted components from anode and cathode. Either approach yields the deviationfrom detailed balance introduced by the open system boundary conditions.

6.3.6 Landauer conductance formula: scattering at non-zero temperature

In the previous treatment of conductance in a one-dimensional nanowire, the currentcontributions iðknÞ ¼ 2jqjℏTðkn;VÞkn=m�L are summed for left incoming and rightincoming electrons, and the difference between the two sums yields the net current flow.Implicit in the derivation is that each electron state is occupied with a probability of one orzero within the electrodes, which is true for electronic states at absolute zero. However, atfinite temperatures, the Fermi–Dirac distribution for the electron states in the electrodesallows for fractional occupations as shown in Fig. 6.2. The electrons remain integralparticles, but the probability of finding an electron in a given state can be non-integer.Hence in addition to the current due to an electronic state, the probability of finding anelectron in a given state within an electrode needs to be included into the calculation ofcurrent flow across a nanowire. The problem of left and right electrons incident on apotential step is again considered, but now with the probability of a given state beingtransmitted across the nanowire multiplied by the probability a state is occupied in anelectrode. Thus electrons entering the scattering region are summed to give

I ¼ 2jqjℏm�L

XnF

n¼1fr;nTrðkn;VÞ � fl;nTlðkn;VÞ� �

kn: ð6:45Þ

The Fermi–Dirac distribution functions fr;n and fl;n give the probability that an electronwith a given energy is occupied in the left and right electrodes, so it is useful to rewritethe current expression with energy arguments for the distribution functions and electrontransmissions

I ¼ 2jqjℏm�L

XnF

n¼1fr ðEr;nÞTrðEr;nÞ � flðEl;nÞTlðEl;nÞ� �

kn; ð6:46Þ

and for this example, the voltage is referenced asymmetrically between the electrodes as

Er;n ¼ ℏkn2m� þ jqjV and El;n ¼ ℏkn

2m�. Equation (6.46) is a general form for the celebrated

Landauer formula which relates current to transmission in a one-dimensional conductor.Using the expressions for the left and right energies, the wave vector can be written as

kn ¼ m�

ℏ2 ∂En=∂knð Þ; ð6:47Þ

where the subscripts denoting left and right have been omitted as the expression is validin both cases. The continuum limit is again taken by replacing the summation by anintegral through the substitution

186 Charge transport in quasi-1D nanostructures

Page 203: Nanowire Transistors - CAS

Xn→

ðdn→

L2π

ðdk; ð6:48Þ

allowing the Landauer formula to be expressed as integrals over the electron energy

I ¼ 2jqjh

ð∞0f ðE þ jqjV � EFÞTrðE þ jqjVÞdE �

ð∞0f ðE � EFÞTlðEÞdE; ð6:49Þ

Using the approximations for the left and right transmission functions for the case of astep potential as in the preceding example, the current is rewritten as

I ≈2jqjh

ð∞qV½f ðE þ jqjV � EFÞ � f ðE � EFÞ�dE: ð6:50Þ

The low temperature limit for the Fermi–Dirac functions of Eq. (6.50) yields in agree-ment with Eqs. (6.24) and (6.43) the conductance quantum. As seen in Fig. 6.2, the lowtemperature limit of the Fermi–Dirac function behaves as a step function with allelectrons below the Fermi level occupied

Figure 6.9 Difference between the Fermi–Dirac distribution functions at 0 K leading to Eq. (6.52).

6.3 Conductance quantization 187

Page 204: Nanowire Transistors - CAS

limkBT→0

f ðE � EFÞ ¼ ΘðEF � EÞ; ð6:51Þ

and all states above EF become unoccupied. The Fermi–Dirac distribution functions canthen be approximated for low temperatures as step functions as in Fig. 6.10 leaving thefollowing expression for the current:

I ¼ 2jqjh

ð∞jqjV

½ΘðEF þ jqjV � EÞ � ΘðEF � EÞ�dE ¼ 2jqjh

ðEFþjqjV

EF

dE ¼ 2q2

hV : ð6:52Þ

The expression for the conductance quantum G0 ¼ 2q2=h emerges as the low tempera-ture limit. It is this picture relating electrons in a “voltage bias window” with energiesbetween EF and EF þ jqjV that gives rise to the concept that it is the contribution fromthe electrons at the Fermi energy that contribute to the current.

6.4 Charge mobility

In a cathode ray tube, electrons are emitted from a metal surface into a region with avoltage difference maintained by a cathode and an anode. Within the tube a vacuum iscreated so that electrons emitted near the anode region are accelerated by the electricfield arising from the voltage difference between the two electrodes. Due to the fact thatthere is a vacuum, there are no atoms or molecules with which the emitted electrons cancollide resulting in the electrons traversing between anode and cathode without scatter-ing. The force exerted on the electrons is proportional to the constant electric field ℰ(a cursive ℰ is used in this section to avoid confusion with energy)

F ¼ dpdt

þ jqjℰ; ð6:53Þ

and integrating allows the velocity at time t of an electron entering the region betweenthe electrodes with initial or emitted velocity v0 to be expressed as

v ¼ v0 þ jqjme

ℰt: ð6:54Þ

The velocity of the accelerated electron increases linearly with time. However, inmaterial systems the relationship between a charge carrier’s velocity and applied electricfield is observed to be different, at low values of electric field the relationship between anelectron’s or hole’s drift velocity is found to be

jvdj ¼ μℰ: ð6:55Þ

The proportionality constant μ gives the mobility for the charge carriers and is animportant figure ofmerit in transistor design. Themobilitymeasures how the drift velocityof electrons or holes in a material increases with applied electric field and the value of themobility can vary significantly for different materials. Note, however, that the driftvelocity becomes constant at a given electric field and does not increase with time.

188 Charge transport in quasi-1D nanostructures

Page 205: Nanowire Transistors - CAS

It is useful to consider the charge carrier velocities in a bulk material in the absence ofan applied voltage. Electrons and holes in a material are moving with velocitiesassociated with their kinetic energies, and the charge carriers have an energy distributionas given by the Fermi–Dirac distribution. However, unlike the example of the electron ina cathode ray tube, electrons in a material will undergo scattering events due todisplacement of atoms due to lattice vibrations (phonon scattering), the presence ofimpurities and dopants, lattice defects, as well as with other electrons.

A series of scattering events can be visualized as generating a random walk resultingin no net flow of charge carriers at equilibrium consistent with the condition of detailedbalance. This random motion of charges in a solid and the random scattering events atequilibrium result in the thermal velocity of the charge carriers. Application of theelectric field biases the random walk in the direction of the force acting on the chargecarriers as depicted in Fig. 6.10 resulting in a net drift velocity.

Since the scattering events are assumed random, the probability of a scattering eventfor electrons or holes will be a constant in time, so if there are n0 electrons and NðtÞ isdefined to be the number of electrons that have not undergone a scattering event, the rateof change will be proportional to the number of electrons not having experienced ascattering event at time t

dNðtÞdt

¼ � 1

τNðtÞ; ð6:56Þ

where the proportionality constant τ is labeled the relaxation time. The idea of therelaxation time as characteristic time for scattering events can be seen from the solutionof Eq. (6.56) which can be written as

NðtÞ ¼ n0e�t=τ: ð6:57Þ

Thus the probability an electron scatters in a time interval dt is approximately equal todt=τ and the differential change in net momentum for the electrons will be

Figure 6.10 Random scattering events of an electron in a bulk material leading to equilibration of chargecarriers, and that work to compensate against the force due to an electric field yielding a net driftvelocity.

6.4 Charge mobility 189

Page 206: Nanowire Transistors - CAS

dp ≈� pdtτ: ð6:58Þ

Thus the decelerating force of the scattering events can be expressed as

dpdt

≈� pτ: ð6:59Þ

For a constant applied voltage, steady state will be achieved and this will occurwhen the force due to electric field balances with the changes in momenta due toscattering

� pτþ jqjℰ ¼ 0; ð6:60Þ

introducing the effective mass for the electrons in the material allows the drift velocity tobe expressed as

vd ¼ jqjτm� ℰ ¼ jqjμℰ; ð6:61Þ

with the electron mobility given by μ ¼ τ=m�; similarly, hole mobility can be definedtaking into account their positive charge. Unlike the case for the cathode ray tube,electrons in a solid do not experience a constant acceleration in an electric field, ratherelectrons accelerate in an applied electric field and encounter scattering events. Thesetwo mechanisms work against each other until the electrons achieve a steady state with aconstant mean drift velocity for a given electric field strength.

Up to this point, it is assumed the electrons’ kinetic energy is less than the thermalenergy. For large electric fields in a solid, the electrons achieve sufficient energy thatinelastic processes, i.e. processes such that electrons lose significant kinetic energy,dominate. In semiconductors such as silicon and germanium, these processes are usuallyassociated with higher energy lattice vibrations or optical phonon scattering. In this caseas the electrons begin to accelerate, the probability of emitting a phonon is high and as aconsequence the electrons are unable to increase their net velocity with increasingelectric field due to repeated phonon emission. This phenomenon is known as velocitysaturation and Eq. (6.61) becomes invalid at high fields and a drift velocity emerges thatis only weakly dependent on the applied electric field.

A mean free path or scattering length for electron velocities corresponding to theFermi energy can be defined as ℓ ¼ vFτ, which is on average the length an electron at theFermi energy can travel before a scattering event occurs. At the very low temperaturesachievable with liquid helium, and for very, very crystalline materials, phonon scatteringlengths on the order of a few or even tens of centimeters have been reported. In weaklydoped silicon (2.8 × 1016 cm−3 phosphorus doped) at room temperature, electronmean free paths can range from ~40 to ~50 nanometers, whereas for heavily dopedsilicon (1.7 × 1019 cm−3, arsenic doped) the mean free paths are in the range ~10 to ~20nanometers [6]. In nanoelectronics, surface effects become increasingly important as

190 Charge transport in quasi-1D nanostructures

Page 207: Nanowire Transistors - CAS

nanostructure sizes are scaled downward and scattering related to surface chemistry androughness can play increasingly important roles in addition to bulk scatteringmechanisms.

6.5 Scattering mechanisms

In the following various scattering mechanisms are briefly introduced, and differencesin their behavior between bulk semiconductors and semiconductor nanowires arepresented.

6.5.1 Ionized impurity scattering

Dopant atoms are introduced as substitutional impurities on a semiconductor lattice, forexample typical dopants in silicon are arsenic or phosphorous replacing a silicon atomfor n-type doping, or boron replacing a silicon atom for p-type doping. In a bulksemiconductor, the n-type dopant introduces a state near the conduction band and thedopant atom becomes thermally ionized, donating a mobile electron to the conductionband and creating an immobile cation at the dopant site. Similarly a p-type dopantintroduces a state near the valence band allowing an electron to be excited creating amobile hole state and an immobile anion at the dopant site. In a bulk semiconductor, theCoulomb interactions between the free charge carriers and the fixed charge dopant siteshave a pronounced effect on electron scattering and result in significant mobilitydegradation. In silicon, at low doping levels of the order of 1014 to 1015 cm−3, theelectron mobility can be of the order of ~1400 cm2 V-s, and of the order of ~500 cm2 V-sfor hole mobilities which are lower primary due to larger hole effective masses.However, at much higher doping level of 1019 cm−3 mobilities can be degraded to aslow as ~100 cm2 V-s and ~50 cm2 V-s for electrons and holes, respectively [7].

In addition to dopants, point defects such as substitutional impurity atoms incorpo-rated into the semiconductor lattice can act as charge “traps,” or become ionized andlose charge. Similarly, other point defects such as a single atom or several atoms canform interstitial “clusters” that can also trap charge or be ionized. These point andcluster defects can also have a significant influence on mobility. The charge states ofthese point defects can change as a gate voltage is applied. The gate voltage sweeps theFermi level within a transistor channel between the conductance and valence bandedges resulting in charge transition energies that can be observed as peaks in capaci-tance-voltage spectroscopy [8]. In addition to introducing mobility degradation, ifthere are sufficient numbers of charged defects with energy levels in the band gap ofthe material in the channel region, their net effect can result in a loss of electrostaticcontrol of the channel region by the gate voltage due to introduction of a large numberof electronic states. Hence significant charging is accompanied by small shifts in theFermi position within the band gap inhibiting electrostatic control of the channel bythe gate electrode, an effect referred to as Fermi level pinning.

In a semiconductor nanowire, ionized impurity scattering effects can be pronouncedas the charge carriers are restricted to a quasi-one-dimensional channel. The Coulomb

6.5 Scattering mechanisms 191

Page 208: Nanowire Transistors - CAS

potential due to the ionized dopants in a channel region can have a spatial extent on theorder of tens of nanometers leading to substantial effects for nanowires with diametersbelow 10 nm. The electrons or holes injected into the nanowire will all “see” thescattering potential due to the small nanowire cross-section. The effect of the ionizeddopant atoms will have different effects whether a device is being operated in inver-sion or accumulation modes [9]. In inversion, minority carriers are drawn into thechannel. For example, the OFF state p-channel in an nMOS device becomes n-type asthe channel is “inverted” and a conducting channel is formed between the n-typesource and drain. The device’s threshold voltage is set by the p-doping of the channelresulting in negative charge on the channel dopant sites. Hence the negative chargecarriers that are pulled into the channel in inversion see repulsive scattering sites, andfor narrow diameter narrow wires the ionized dopant sites result in significant voltagebarriers to injected minority carriers. For sufficiently small nanowire diameters ≲ 4nm, minority carrier transmission can be strongly suppressed. In accumulation mode, thesituation is reversed in that majority carriers are pulled into the channel with theopposing polarity of the ionized dopants. It follows that the repulsive barriers are notformed, with the result the current conduction in accumulation is not as significantlyimpacted as for an inversion device. This feature of ionized impurity scattering innanowires offers a potential advantage for junctionless transistor designs which relyon accumulation in the ON state in contrast to more conventional inversion modedevices.

Understanding charge carrier scattering off dopants in nanowire transistors remainsan area of active research. Recent experimental and computational studies suggest thatdopant atoms prefer to segregate at the surface in very small cross-section nanowires.Hence processes for doping nanowires require further investigation as the feasibility inwhich dopants can be uniformly introduced into semiconducting nanowires of diametersof a few nanometers is unclear. In addition to the radial dependence of the dopantdistributions and the possibility of surface segregation, for small nanowire cross sections

Energy (eV)

–0.20

1

2

–0.1 0

Con

duct

ance

(q2 /

h)

Figure 6.11 Hole scattering due to p-type doping in small diameter silicon nanowires. The ideal transmission isshown for comparison to the calculated scattering to indicate the relative effect of introducingboron substitutional dopants into extremely small cross-section silicon nanowires (e1 nm2).After [45].

192 Charge transport in quasi-1D nanostructures

Page 209: Nanowire Transistors - CAS

the quantum confinement effect results in band gap widening. At small diameters theimpurity states introduced by dopant atoms may not shift with the conductance andvalence band edges, resulting in larger energy differences between the impurity state andband edge compared to the energy levels achievable for bulk doping. There are indica-tions that typical dopant atoms used in bulk silicon will then not be thermally ionized atroom temperature in the nanowires requiring new approaches to doping at extremelysmall nanowire diameters [10,11].

6.5.2 Resonant backscattering

Ionized impurities in a lattice introduce Coulomb scattering but can also introducebound energy levels or “resonant states” within the energy range of electrons or holesflowing as part of the net current. At these bound states electrons can be captured andre-emitted, the transmission can drop to nearly zero implying that the incident chargecarriers are effectively reflected at the resonance energies. An example of a resonantbackscattering state arising from surface oxidation of a silicon nanowire is shown inFig. 6.12. The resulting loss of transmission within a voltage bias window results in areduction in current.

Energy (eV)

–0.40

1

2

Con

duct

ance

(q2

/h)

3

4

–0.3 –0.2 –0.1 0

Figure 6.12 Oxide scattering with resonant state. Due to oxidation of a silicon nanowire grown in the <110>direction, surface states are introduced and are seen to give rise to surface scattering. A clearresonant scattering state is seen as a dip in transmission between −0.4 and −0.3 eV. The resonancein this case significantly reduces the conductance provided by the opening of a second subband.The zero of energy is referenced to the top of the valence band and the ideal transmission (numberof channels) without scattering is shown as a dashed line. The resonant scattering acts to removethe potential increase in conductance introduced by the opening of a second conductance channelwith applied voltage. After [34].

6.5 Scattering mechanisms 193

Page 210: Nanowire Transistors - CAS

6.5.3 Remote Coulomb scattering

In a MOSFET, the semiconductor region is significantly influenced by an oxideregion deposited on or around the channel to electrically isolate the gate andchannel. The oxide/semiconductor interface introduces a different surface scatter-ing mechanism but in modern transistors use of high-κ dielectrics can also result inan increase in remote ion scattering [12,13]. High-κ dielectrics are typically oxidescontaining metal atoms such as hafnium [14,15]. The oxygen atoms in the oxide pullcharge from the metal atoms resulting in the oxide appearing electrostatically as a matrixof fixed charges, or when accounting for atomic vibrations or phonons in the oxide, asoscillating charges. These remote charges in the oxide can significantly reduce themobility of channel charge carriers and this effect is referred to as remote Coulombscattering [12,13]. Alternatively, in semiconductor nanostructures such as a 2DEG, itcan be preferable to introduce dopants that provide charge carriers that are not locateddirectly within the 2DEG layer. This eliminates ionized doping in the 2DEG but thereremains the weaker scattering due to the remote immobile ionized dopant atoms. Theidea to locate a dopant layer nearby a 2DEG layer to reduce ionized dopant scattering issuccessfully used to increase charge mobility in high electron mobility transistors(HEMTs) [16].

6.5.4 Alloy scattering

Alloying semiconductors to produce materials such as SixGe1-x results in a randomdistribution of atoms on the semiconductor lattice, or in the case of a ternary semi-conductor such InxGa1-xAs on a sub-lattice. SixGe1-x is a compound semiconductorconsisting of group IV elemental semiconductors and due to the similar electronicstructures of silicon and germanium, their alloys form a diamond-like lattice. In thealloys, lattice sites are randomly occupied by either silicon or germanium atoms withthe probability of a site being occupied by either Si or Ge in proportion to the overallstoichiometry of the alloy. The diamond structure is locally distorted due to thedifferent radii of the two atoms and the random distribution of nearest neighboratom types. Hence scattering arises due to these two effects: the random latticepotential arising from the random distribution of differing atom types plus scatteringcaused by the perturbations due to the local distortions away from the ideal diamondcrystal structure. In the case of silicon germanium alloys the individual atomic sites areonly weakly charged due to the similar electronegativity of the constituent atoms. Theoverall effect for SiGe alloys that deviate significantly from mostly silicon-like ormostly germanium-like compositions, the alloy scattering mechanisms can provide asubstantial decrease in mobility [17]. Alloying is also commonly used as a technologybooster through introduction of strain in materials. For silicon germanium alloysformed on a silicon substrate, the alloy lattice mismatch to the substrate will introducestrain within the alloy layer. A silicon channel can be sandwiched between alloyedsource and drain regions to create strain in a transistor’s channel. Intentionallyintroducing strain can be used to lift degeneracies in the valleys or energy minima in

194 Charge transport in quasi-1D nanostructures

Page 211: Nanowire Transistors - CAS

the electronic structure of the semiconductor channel, thus reducing intervalley scat-tering and thereby resulting in an increase in charge carrier mobility.

In a compound semiconductor such as gallium arsenide (GaAs) with a zinc blendcrystal structure, the group III atoms and group V atoms arrange on two distinct sub-lattices. Charge is transferred from the group III atoms to group IV atoms in a Lewispicture of the chemical bonding, hence in GaAs the gallium atoms are said to form acation sub-lattice and the arsenic atoms form an anion sub-lattice. The ternary compoundGaxIn1-xAs also crystallizes in the zincblende-like structure with the indium and galliumatoms distributed randomly on the cation sub-lattice. The unit cell size of the ternarycompounds varies with composition and takes on values intermediate to the latticeconstants of the binary compounds [18]. The cubic lattice constant a for the zinc blendstructure when alloying can be estimated by Vegard’s law

a ¼ ð1� xÞaInAs þ x aGaAs; ð6:62Þ

where aInAs ¼2.623 Å and aGaAs ¼ 2.448 Å are the unit cell parameters of the parentbinary compounds. Vegard’s law is a simple approximation that assumes the latticeconstant can be interpolated across a range of compositions based on estimates of “atomsizes.” The use of alloying in III-V compounds is being explored to optimize electronmobility in MOSFETs and to match the lattice constant of the grown material tocommonly used substrates.

6.5.5 Surface scattering

In low dimensional systems such as two-dimensional electron or hole gases (2DEG,2DHG) and “quasi”-one-dimensional nanowires, the surface-to-volume ratio rapidlyincreases as dimensions are reduced through introduction of confinement potentials.Hence the effect of bonding at the semiconductor/oxide interface and disorder in thebonding at the interface can have a proportionately larger influence on carrier scatter-ing relative to larger, more bulk-like transistor structures. A particular cause of surfacescattering between a semiconductor and an oxide is the different charge states ofsurface atoms due to differences in the local chemical bonding environment.Figure 6.14 provides a graphical depiction of the different charge states that surfacesilicon atoms can be found in due to interface, bridging and back bonds with oxygen.The different charge states can give rise to different scattering and at a typicaloxide/semiconductor interface an ensemble of such bonding motifs can be found.The collective effect of the different local chemical bonding environments gives riseto surface scattering.

6.5.6 Surface roughness

Surface roughness scattering is caused by interfacial disorder on a length scale lowerthan several atomic lengths and arises from the fact that typical semiconductor/oxideinterfaces are not abrupt on an atomic level. The position of the interface along a channel

6.5 Scattering mechanisms 195

Page 212: Nanowire Transistors - CAS

axis can vary by several atomic layers as directly observed in high-resolution transmis-sion electron micrographs (HR-TEM). This atomic scale “roughness” appears to berandom and gives rise to random fluctuations in the surface potential profile as seen bythe charge carriers, which results in carrier scattering. Considering non-ideal interfacesin silicon nanowires exhibiting tapering [19,20,21] reveals that not only is the electronscattering influenced, but also localized electronic states can arise due to the surfacetopology. In effective mass simulations of nanowire transistors, roughness is introduceby “slicing” along the axis of a nanowire and introducing random axial displacements tothe slices. Repeating transport simulations for an ensemble of randomly generatedchannel configurations allows for estimates in the variation in transistor current–voltagecharacteristics such as threshold voltage due to surface roughness.

6.5.7 Electron–phonon scattering

Atomic displacements in a crystal or vibrations are quantized and the collective quan-tized motion acts like quasi-particles known as phonons. Just as charge carriers can

O

O

O

O

O

O

(c)

O

Si+2

Si+3

Si+1

Si+2

Si+1 Si+1

(a)

Si+2

Si+4

O

(b)

O

O

(d)

O

O

O

Figure 6.13 Schematic representation of oxidation states of silicon atoms at a silicon/oxide interface. Siliconmaintains four-fold coordination as in the diamond lattice but with n neighboring oxygen atomsattracting electrons leaving a silicon atom in a +n formal charge state. The bonding examplesshown can be found at or near the interface between a semiconducting channel and a gatedielectric. Hence surface atoms can be found in various charge states leading to a random surfacepotential that gives rise to electron scattering. (a) Silicon atoms at an interface forming a singlecovalent bond to oxygen atoms in the oxide leaving surface Si atoms in +1 formal charge state. (b)Same as in (a) but with the addition of a bridging oxygen bond at the interface leading to surface Siatoms in a +2 formal charge state. (c) Oxygen atoms can diffuse into the substrate creating backbonds, in this configuration creating Si atoms in +1, +2, and +3 charge states. (d) A silicon atom insilicon dioxide with four-fold coordination with oxygen atoms leading to silicon atoms in a +4charge state.

196 Charge transport in quasi-1D nanostructures

Page 213: Nanowire Transistors - CAS

scatter off an electrostatic potential profile, they may also scatter with phonons. In thecase of a single electron or hole scattering from a fixed potential, scattering conservesenergy whereas in electron–phonon interactions, energy can be exchanged resulting ininelastic scattering. Electron–phonon (e–ph) scattering is an important process in semi-conductors and plays a decisive role in limiting a material’s mobility. As can beanticipated, as a material is heated the lattice vibrations increase with more phononsbecoming occupied at higher temperatures resulting in increased e–ph scattering. Insemiconductors with a diamond-like structure there are two atoms in a primitive latticecell, the degrees of freedom associated with the two atoms give rise to two types ofphonons: acoustic and optical. In Fig. 6.14, examples of acoustic and optical phononmodes in a one-dimensional diatomic chain are represented. For materials such as siliconand germanium, acoustic phononmodes have energies of a fewmeV to 10meV, whereasoptical phonons can have energies of several tens of meV, and it is these higher energyoptical modes that cause significant inelastic scattering at higher temperatures in bulksemiconductors. Phonons are quasi-particles and carry momentum. As electrons andphonons collide and scatter, their energy exchange gives rise to momentum differences.This implies an electron’s momentum can change allowing scattering between differentenergy valleys in the electronic band structure due to acoustic or optical phononscattering. These scattering process are divided into f-type and g-type processes

(a)

A

A A

A A A

B B B B

B

A

B

A

A

B

A

B

(b)

Figure 6.14 Examples of (a) acoustic and (b) optical phonons in a one-dimensional lattice with two atom types.Acoustic modes resemble the displacement of atoms due to a pressure wave in a gas, and hence theanalogy to sound or acoustic waves. Optical modes involve higher energy vibrations wherebydifferent sets of atoms oscillate against one another. If there is polar bonding in a solid, opticalmodes can be excited by light through optical absorption, and hence the labeling as opticalphonons.

6.5 Scattering mechanisms 197

Page 214: Nanowire Transistors - CAS

depending on the nature of the electron’s momentum change during a scattering event, asshown graphically in Fig. 6.15.

In nanowires, confinement effects influence the band dispersion of phonons in a similarfashion to what is observed for electronic structures [22,23,24,25]. Surface chemistry isfound to have a relatively small influence on e–ph coupling, whereas the reduced dimen-sionality in the nanowires significantly alters the character of the phonons and theirscattering with electrons. Notably the application of bulk models for a specific orientationor isotropically averaging bulk models to define effective couplings does not provide areasonable description of e–ph scattering when applied to small diameter nanowires. Theconsequences of quantum confinement for physical properties such as scattering lengthsandmobilities are significant, although it should be noted that it can be the effectivemassesin the nanowires rather than the relaxation times, which can have the dominant effect inpredicting a nanowire’s mobility. The process of e–ph scattering also governs heat transferas it is the lattice vibrations that transmit heat [1,2]. The study of nanoscale heating relatesboth to the ability of removing heat from a device and power dissipation. Hence as chargecarriers flow through a device and lose energy by scattering with phonons, the nanowireheats and increases the probability of additional scattering events.

6.5.8 Carrier–carrier scattering

In approximations such as the Kohn–Sham implementation of density functional theoryor as in the Hartree–Fock approximation, electrons move in the mean field of all

intravalley

intervalley

(kx,0,0)

(0,ky,0)

(0,0,kz)

g scattering

intervalleyf scattering

Figure 6.15 Electrons scattering off of phonons near the energyminima of silicon. Three distinct processes canbe defined: (a) intravalley scattering where the change in momentum is low enough that the finalelectron state remains in the same energy minimum. In silicon, intravalley scattering is due toacoustic phonon modes. (b) f type phonon intervalley scattering where the electron’s change inmomentum is large enough to scatter into a neighboring valley along a different crystal axis.Optical phonons and to a lesser extent acoustic phonons contribute to f type scattering. (c) gphonon scattering whereby the momentum change in a scattering event is large enough to scatteran electron’s momentum vector along a single axis, and again the optical phonons dominate butwith acoustic phonons also playing a role in silicon.

198 Charge transport in quasi-1D nanostructures

Page 215: Nanowire Transistors - CAS

electrons. For the Hartree–Fock approximation, the Coulomb and exchange interactionsexactly cancel resulting in an electron moving in the mean field of “all other electrons.”This cancellation is not exact in approximate DFT giving rise to many of the errorsassociated with the approximate density functionals commonly applied in electronicstructure calculations. However, for both the Kohn–Sham and Hartree–Fock equations,a single-electron wave equation is solved taking into account the effect of other electronsas an averaged or mean field approximation. This mean field problem is solved self-consistently, that is an approximation to the single-electron wave functions is generatedand the potential arising from the set of occupied electron states is calculated. This givesrise to new single-electron wave functions, which in turn give rise to a new potential.The Kohn–Sham and Hartree–Fock equations are iterated until the potential generatedfrom a set of electron wave functions yields the same set of wave functions used in theconstruction of the potential. At this point in the calculation, the single-electron wavefunctions and the potentials are said to be “self-consistent”: a solution for the single-particle eigenfunctions and eigenvalues has been found. These are the single-particlesolutions, but what if a wave function for all the electrons is desired? The most commonapproach is to take a product of the single-particle wave functions and to anti-symme-trize them. Anti-symmetrization implies that the many-electron wave function willchange sign if two electrons are exchanged as required to enforce the Pauli exclusionprinciple, which mandates that two electrons do not occupy the same state, the conditionthat leads to Fermi–Dirac statistics describing the thermal occupation of electron states.Thus the simplest many-electron wave function is constructed as an anti-symmetricproduct of single-electron wave functions, or a Slater determinant. The Slater determi-nant that yields the best approximation to the charge density satisfies the Kohn–Shamequations, and the Slater determinant resulting in the lowest energy yields the Hartree–Fock approximation.

Even though the Slater determinant is a many-electron wave function and is anti-symmetric, it is a product of single-electron wave functions. However, the Coulombpotential between two electrons is of the form

vðx; yÞ e 1

jx� yj ; ð6:62Þ

with the result that the Hamiltonian operator for the many-electron problem does nothave exact solutions that are product wave functions. Expressed in the language ofdifferential equations, the solution to the problem is not separable. For example if in theKohn–Sham equations the exact energy functional was known, the exact total energywould be predicted but the single determinant wave function built from the Kohn–Shamorbitals remains only an approximation to the correct many-electron wave function.Hence although a single Slater determinant approximation may provide a good approx-imation to a wide range of properties for many-electron systems, it cannot provide anexact solution to the many-electron wave function. Corrections beyond the single Slaterdeterminant solution are named electron correlations and describe electron–electronscattering beyond the mean field approximation. Electron correlations are notoriously

6.5 Scattering mechanisms 199

Page 216: Nanowire Transistors - CAS

difficult to describe, but their effects can be critical in many systems and becomeincreasingly important for systems approaching a few nanometer length scales and forsystems where there are many electronic states that are close in energy or “quasi”-degenerate. In transition metal oxides, the correct band structure is often such that itcannot be correctly described theoretically without going beyond mean field theoriesand for very small structures such as considered in quantum dots or molecular electro-nics, electron correlations can be critical for an accurate description of the physics. Forexample, phenomena such as Coulomb blockade require treatment of electronic correla-tions beyond a mean field theory.

Simulations including electron–electron scattering are more the exception than therule in nanowire transistor design, although there are indications that these effects canbecome increasingly important in scaled nanoelectronics. In strictly one-dimensionalsystems electrons cannot easily pass one another and the motion of the electronsbecomes a collective correlated excitation. The electrons in this case form aTomonaga–Luttinger fluid [26] and the collective excitations do not obey Fermi–Dirac statistics but instead follow Bose–Einstein statistics. In this regime, electrontunneling across a one-dimensional wire becomes suppressed [27]. For small nanowiresystems approaching molecular scales, electron–electron interactions must be accu-rately described for even a qualitative description of the device physics. Methodsincorporating scattering boundary conditions which are formulated in terms of singleelectrons as in Section 6.2 but compatible to the many-electron problem have beendeveloped that allow for a description of explicit electron–electron scattering [28];these techniques have been applied to molecular tunnel junctions for which experi-mental validation is available [29,30].

6.6 Scattering lengths

6.6.1 Scattering lengths and conductance regimes

The idea that mobility is governed by scattering was introduced and a survey of keymechanisms that give rise to scattering events that can be experienced by charge carriersin a nanowire were outlined. For charge carriers with a given drift velocity vd andrelaxation time τ, it is natural to define a length for which on average a charge carrier cantravel without experiencing a scattering event. Labeling this length a mean free path, itmay be expressed as

lMFP evdτ: ð6:63Þ

Different scattering mechanisms are characterized by different mean free paths,and from these a collective effective mean free path can be defined. However, it isuseful to differentiate between elastic and inelastic mean free paths. The symbollMFP will be reserved for elastic processes whereas the symbol lθ will be used to denotethe characteristic length for inelastic processes. For Hamiltonian operators that conserveenergy, the electronic wave function is phase coherent, whereas for inelastic processes in

200 Charge transport in quasi-1D nanostructures

Page 217: Nanowire Transistors - CAS

which energy is not conserved the phase of the wave function changes, breakingcoherence.

To define either a low field or saturation limited mobility requires many scatteringevents to be averaged to establish the condition that the increase in carrier velocity due tothe presence of an electric field is balanced against many randomizing scattering eventsresulting in the average net velocity remaining constant. Electron and hole mobilities areas a consequence defined as ensemble or statistical averages over many dynamicalevents. In the following, the effect of multiple scattering events will be considered torelate transmission, mean free paths, and resistance for a conductor of length L. But first,it is useful to differentiate between the ballistic, diffusive, and localization regimes interms of characteristic lengths.

Diffusive behavior is found when a length of a semiconductor nanowire or anymaterial is longer than the mean free paths for scattering events, but shorter than thelength scale ξ, which defines electron localization in a system of random scatters. In thediffusive regime, charge transport can be described by semi-classical models such asthe Boltzmann transport equation and the electric current follows Ohm’s law.

The ballistic regime occurs either when there are no scattering sites such as in aperfect crystal or when the length of a semiconductor nanowire or other material isshorter than the mean free path for elastic or inelastic scattering events. The ballisticregime also implies that the localization length ξ, to be discussed next, is also longerthan the length of the nanowire L. The ballistic regime is characterized by electrons orholes propagating unimpeded through a material. A ballistic conductor with a singlechannel is characterized by the quantum of conductance.

Anderson localization results in the presence of randomly distributed defects in theabsence of phase breaking or inelastic scattering events [31]. As electrons are reflectedfrom the defect sites, interference effects can result in standing waves. This impliesthe electrons are localized by the scatterers and are no longer propagating, and hencewill not conduct current. This regime is described by the localization length ξ anddominates when ξ < L < lθ such that inelastic scattering does not play a role. Andersonlocalization typically occurs for a high density of scattering sites and the localizationlength characterizes the region in which electrons have become trapped due to multiplescattering events. In the Anderson localization regime, resistance increases exponen-tially with the length of a nanowire or conductor.

6.6.2 Multiple scattering in a single channel

Scattering has been discussed in the context of single physical events. But in devices ormaterial samples with spatial extent much larger than scattering mean free paths,multiple-impurity scattering occurs and determines the properties of a material. Thesemultiple scattering events also give rise to the overall transmission coefficient fortransport across a region in a material. For an ideal conductor with no scattering andNC channels, the conductance is given by the contact resistance per channel as demon-strated in Section 6.3:

6.6 Scattering lengths 201

Page 218: Nanowire Transistors - CAS

G ¼ NCG0 ¼ NC2q2

h; ð6:64Þ

which in the presence of scattering becomes

G ¼ NCG0T ¼ NC2q2

hT : ð6:65Þ

Figure 6.16 compares an ideal transmission curve without scattering and with scatter-ing present, and at a given energy the difference between the two curves represents thereduction in the conductance due to scatterers. Resistance is the inverse of conductance,and the resistance can be partitioned as

R ¼ 1

NCG0T¼ h

2q21

NCT¼ h

2q21

NCþ h2q2

1

NC

1� TT

: ð6:66Þ

Written this way, the contact resistance associated with ideal channels in the absence ofscattering is given by the first term and is separated from the scattering resistanceoccurring within each channel as described through the second term. It is the secondterm describing the scattering resistance that results in the reduction between the idealtransmission and the transmission with scattering in Fig. 6.16.

To understand how the scattering resistance arises in the case of multiple scatteringsites in a one-dimensional system, it useful to first examine the case of two scatteringsites in series with transmissions and reflections T1 þ R1 ¼ 1 and T2 þ R2 ¼ 1 respec-tively. The scattering sites govern the probability an electron (or hole) is transmitted orreflected as graphically shown in Fig. 6.17.

Figure 6.16 Ideal and scattering transmissions for an arbitrary system. The ideal transmission is shown as the stepsgiven by the lines. For an ideal transmission channel the transmission is constant and additive. Hencethe first ideal channel onset is at E1 and a second channel is introduced at E2. The transmissionwith scattering is shown for the rounded curves (with solid fill underneath the curves). Thedifference in the ideal transmission and the transmission with scattering at a given energy is ameasure of the scattering resistance introduced into a conductance channel defined in Eq. (6.66).

202 Charge transport in quasi-1D nanostructures

Page 219: Nanowire Transistors - CAS

As an incident electron approaches the two sites, the electron can pass through withprobability T1T2: Alternatively the electron can pass the first site with probability T1, bereflected back at the first site with probability R2, and be reflected again by the symmetricscatterer at site 1 with probability R1, and then be transmitted past the second site withprobability T2. The overall probability for the process is T1R2R1T2 ¼ T1T2R1R2.Multiple reflections can arise between the two scattering sites leading to processes

such as T1R2R1R2R1T2 ¼ T1T2ðR1R2Þ2, T1R2R1R2R1R2R1T2 ¼ T1T2ðR1R2Þ3 and soforth. The total probability for transmission across the two scattering sites is then

T12 ¼ T1T2 þ T1T2R1R2 þ T1T2ðR1R2Þ2 þ . . . ; ð6:67Þ

which can be summed to give

T12 ¼ T1T2=ð1� R1R2Þ: ð6:68Þ

Recalling the relationship between the transmission and reflection probabilities andre-arranging, Eq. (6.68) can be re-expressed as

1� T12T12

¼ 1� T1T1

þ 1� T2T2

: ð6:69Þ

incident

(a)

(b)

(c)

T1

T1

T2

T2

R2

R1

T1

R2

R1

R2

R1

T2

incident

incident

Figure 6.17 Two scattering sites in series. The various probabilities for an electron to be transmitted throughthe two scattering sites denoted by ×s are given for the lowest order processes. (a) No reflectionbetween scattering sites. (b) Multiple reflections: the electron bounces back and forth oncebetween the scattering sites. (c) Multiple reflections: the electron bounces back and forth twicebefore being transmitted.

6.6 Scattering lengths 203

Page 220: Nanowire Transistors - CAS

Comparing with Eq. (6.66), it is found that individual scattering sites within a channeladd as series resistances. It follows for the transmission for N identical scattering siteseach with independent transmission T that the corresponding expression is

1� TNTN

¼ N1� TT

; ð6:70Þ

which yields the total transmission in terms of the individual scattering sites as

TN ¼ TNð1� TÞ þ T

: ð6:71Þ

This form of the transmission has been arrived at ignoring interference effects betweenthe scattering sites, implying the assumption that transport is not in the localizationregime.

The average distance between the N scattering sites is lS ¼ L=N for a conductor oflength L allowing the transmission to be written as

TN ¼ lST=ð1� TÞLþ lST=ð1� TÞ : ð6:72Þ

For typical values of transmission for single dopants or point defects, the ratio oflST=ð1� TÞ will be of the order of the mean free path and if L lMFP, the totaltransmission of a series of scattering sites each with transmission T can be taken in afirst approximation as

TN ¼ lMFP

Lþ lMFP≈lMFP

L: ð6:73Þ

The contact resistance per channel is RC ¼ h=2q2 and defining the scattering resis-

tance in a single channel with N scattering sites per channel as RS ¼ hð1� TNÞ=2q2TN ,the resistance Eq. (6.66) is written as

R ¼ 1

NCðRC þ RSÞ: ð6:74Þ

This form emphasizes that the contact and scattering resistance for a single channel addas series resistances but that the resistances of theNC channels are to be taken in parallel.Equation (6.73) enables the ensemble resistance for identical scatterers to be related tothe transmission of a single scattering site and the elastic mean free path of the chargecarriers. For long conductors, the relationship becomes

R ≈1

NCRC þ h

2q2NC

LlMFP

; ð6:75Þ

exhibiting the linear increase in resistance with increasing length characteristic ofOhmic conductors. Recalling that the result is arrived at assuming L lMFP impliesthat many scattering events are required before Ohmic behavior emerges. This approach

204 Charge transport in quasi-1D nanostructures

Page 221: Nanowire Transistors - CAS

has been taken in predicting scattering resistances in nanowires with random distribu-tions of scattering sites based on knowledge of a single scatterer [32,33].

If only a few dopants or point defects are present in a nanowire, the charge transport is inan intermediate regime between ballistic and diffusive, or “quasi-ballistic.” In Table 6.1,the number of dopant atoms compared to the total number of atoms present in a rectangularnanowire of dimension 3a� a� a with a ¼ 20; 10; 5; and 2 nm and for the assumption

of a relatively high doping concentration level of 5� 1019 dopants/cm3 is given. Theresulting analysis is straightforward to scale. If the dopant concentration is increased bya factor of 10, the number of dopants within the nanowire will increase by a factor of 10,and vice versa for a reduction in doping concentration by one order of magnitude.

This simple estimate of the number of atoms and dopant atoms in a silicon nanowirechannel is representative of transistors being considered in modern nanoelectronictechnologies, and also reveals that the channel dimensions are of the same order orsmaller than typical mean free paths in bulk silicon. Typically for ionized dopantscattering the mean free path is roughly of the same order as the distance betweendopant atoms which can range from several 100 nm for low doping to less than 10 nm atextremely high doping levels. In nanowires, scattering lengths for surface and electron–phonon scattering can be significantly shorter than in bulk silicon and values of the orderof tens of nanometers have been reported in various cases [25,34]. However, as transistorcritical dimensions reduce to below 10 nm, it becomes clear that the use of macroscopicquantities such as electron and hole mobility and drift velocity are no longer strictlyapplicable as transistor dimensions become of the same length scale as the distancebetween scattering events. For transistor cross-sections of a few nanometers and for gatelengths less than 10 nm, e–ph and ionized impurity scattering effects are less likely tosignificantly impede current flow as only a few scattering events can occur within achannel region, although the influence of these scattering mechanisms can still be non-negligible. Conversely as the surface-to-volume ratio in small cross-section nanowiresincreases with shrinking transistor dimensions, surface scattering effects becomeincreasingly dominant. For ultra-scaled nanoelectronic transistors, fewer scatteringevents within the active device region imply a greater correlation between a transistor’sspecific atomic structure and geometry in relation to current–voltage characteristics. Asa “statistical averaging” over many scattering events within a single device structure isabsent, the use of smaller transistor geometries leads to larger device to device variationsdue to dopant fluctuations, surface morphology, and isolated electron–phonon scatteringevents.

Table 6.1 Estimates of the number of silicon atoms, length along the long axis in number of siliconatoms, and number of dopant atoms for an approximate doping of 5 ×1019 cm−3

NW dimensions/nm3 Atoms/nanowire volume Atoms/nanowire length Dopant atoms/nanowire

60 × 20 × 20 1 200 000 222 120030 × 10 × 10 150 000 111 15015 × 5 × 5 18 750 55 ~206 × 2 × 2 1 200 22 ~1

6.6 Scattering lengths 205

Page 222: Nanowire Transistors - CAS

6.7 Quasi-ballistic transport in nanowire transistors

At critical dimensions of a few nanometers, quasi-ballistic or weak scattering transportdescribes many of the essential features of charge transport in nanowire transistors.Although device operation in terms of terminal currents appears similar in many respectsbetween macroscale and nanometer-scale field-effect transistors, the underlying physicscan be quite different between the two. In the following some features of transistoroperation highlighting device physics that emerge on the nanometer-scale are presentedfor a simple model of a nanowire transistor. The model is assumed to be strictly one-dimensional with a single-electron band in the drain and source electrodes. The potentialin the channel is assumed to be piece-wise linear. The model is an oversimplification ofrealistic transistor structures; however, it is useful for demonstrating some of the featuresfor understanding nanowire transistor operation at small cross-sections (< 36 nm2) andfor gate lengths below 10 nm.

For an n-channel MOSFET in the OFF state, an idealized conduction band profile isrepresented in Fig. 6.18(a). A gate voltage is applied to generate the potential barrieralong the channel impeding the flow of electrons from the source to drain. That currentflow is zero is reflected schematically in Fig. 6.18(a) as parabolic energy bands in thesource and drain are occupied by electrons up to the Fermi energy but with energieslower than the electrostatic potential barrier in the channel and from the fact there is nodrain–source voltage bias. At normal operating temperatures, the source and drainelectrons will be occupied according to the Fermi–Dirac distribution function as inFig. 6.2, but for simplicity the electrons are shown as being occupied up to only thesource and drain Fermi levels. Only the portion of the dispersion with electron momentadirected into the channel is shown to emphasize that it is only the incoming electrons thatneed to be considered for charge transport. With Fig. 6.18(b), the same nanowiretransistor is shown but now with a drain–source voltage difference VDS that is lowerthan the OFF state barrier created by the gate voltage VG. Although there is now apotential voltage difference between the source and drain electrodes, charge carriers areimpeded to flow into the channel from the drain as these electrons are blocked by anincrease in the electrostatic barrier relative to their energies. Tunneling from the drain issuppressed as there are no available states within the source electrode. The sourceelectrons have an increased energy relative to the drain electrons, but the electrostaticpotential in the channel is still sufficient to prevent significant charge flow from source todrain. However, the possibility exists to tunnel from the source to drain as the sourceelectrons are shifted higher in energy with respect to the drain electrons, and there areempty states in which to tunnel. The tunnel probability is largely determined by the gatelength, barrier height, effective masses of the charge carriers, and energy of the chargecarriers incident on the potential barrier.

This simplified nanowire transistor model may be considered as the limit of the top-of-the-barrier model introduced in Chapter 1 for the case that the barrier maximumdefines the source which remains in equilibrium with the source electrode, and thescattering resistance in the channel described in Section 6.6.2 is eliminated, i.e. transport

206 Charge transport in quasi-1D nanostructures

Page 223: Nanowire Transistors - CAS

in the channel is ballistic. Furthermore, an averaged injection velocity is not invoked andthe velocity of the injected carriers is directly determined by the Fermi distributions inthe source and drain regions.

In Fig. 6.18(c), the gate voltage is applied to lower the electrostatic barrier in thechannel to point to where current begins to flow and the transistor is in the “threshold”region. If the gate voltage is applied to effectively eliminate the electrostatic barrier inthe channel while holding the drain–source voltage VDS constant, the drain–sourcevoltage across the channel results in a conduction band profile akin to that sketched inFig. 6.18(d). In this case and with the idealization that all electrons will be transmitted

(a)

(b)

(c)

(d)

Figure 6.18 Idealized nanowire field-effect transistor electronic structure with a single band model in the drain(left) and source (right) electrodes. (a) A gate voltage VG is applied at zero drain–source voltageVDS ¼ 0 such that the electrostatic barrierwithin the channel region blocks charge carriers from sourceand drain producing anOFF state for currentflow. (b) TheOFF state for the transistor ismaintained butat finite drain–source voltageVDS ≠ 0. (c)Maintaining the finite drain–source voltage, the gate voltageis applied to lower the channel electrostatic barrier. Electrons above the electrostatic barrier can“flyover,”whereas electrons near themaximumof the electrostatic barrier can tunnel through allowingcurrent flow signaling the onset of the ON state. (d) The gate voltage VGis applied to turn the devicefully “ON.” As the gate voltage is increased, more source electrons are able to flow across thechannel. Note that as jqjVDS becomes larger than EF the bottom of the source conduction bandrises above the filled levels in the drain and a current “saturation” is achieved.

6.7 Quasi-ballistic transport in nanowire transistors 207

Page 224: Nanowire Transistors - CAS

from source to drain with unity transmission, all electrons entering the channel will beaccelerated across the transistor by the force due to the channel electric field withoutscattering. This is a highly idealized scenario as even in the case of a potential ramp,electrons incident on the voltage ramp across the channel will be partially reflected,although for more realistic, smoother voltage profiles this effect is not as pronounced[35]. As a first crude approximation, the channel resistance will be given by the inverseof the conductance quantum and number of “channels.” The conductance quantum isindependent of material parameters, and in the absence of scattering in an ultra-shortchannel and without a tunnel barrier, the current will only depend on the transmission(taken to be unity at each incoming electron energy in this example) and the number ofchannels which is related to the DoS. Note that increasing VDS beyond the pointwhere the bottom of the source conduction band rises above the drain Fermi energywill not result in increased current. Hence the transistor is in “saturation” although in avery different sense from velocity saturation limited mobility occurring in macroscalefield-effect transistors.

Intermediate to the fully OFF state and the fully ON state, the gate and drain–sourcevoltages are applied to control current flow between these two limits. In Fig. 6.19(b),the electrons from the source must be able to tunnel across the entire channel togenerate a current and for a well-designed transistor this process is suppressed.However, as the gate voltage is applied to reduce the electrostatic barrier at fixedVDS, electrons at higher energies in the source impinge on a potential profile that can beapproximated by a triangular barrier with a width that can become significantly shorterthan the lithographic channel length. Tunneling through a triangular barrier, or Fowler–Nordheim tunneling, is invoked as a simple model for describing currents across gateoxides, surface emission, and for analysis of scanning tunneling microscopy. Variousapproximations exist describing tunneling through and for emission over (or “flyover”) atriangular barrier [36]. A semi-classical method for estimating a solution for theSchrödinger equation known as the Wentzel–Kramers–Brillouin (WKB) approximationcommonly applied to describe Fowler–Nordheim tunneling yields a transmission coef-ficient as

Figure 6.19 A triangular potential barrier. Analysis of the tunneling through a triangular potential barrierallows for a preliminary analysis of source–drain leakage currents in short-channel nanowiretransistors.

208 Charge transport in quasi-1D nanostructures

Page 225: Nanowire Transistors - CAS

T ∝ exp � 4ffiffiffiffiffiffiffiffiffiffiffi2m�q

pϕ3=2B

3ℏΕ

!: ð6:76Þ

Unlike the approximation to the fully ON model whereby electron transport is fullyballistic and there is no tunneling, in the intermediate voltage bias ranges there is acontribution to the current from tunneling which depends directly on the effective mass,a material property, and the voltages and electric fields Ε that are to a large extentgoverned by transistor design such as the gate induced potential barrier ϕB and theelectric field in the channel Ε due to the drain–source voltage. The gate voltage can beapplied to increase the potential barrier height and transmission across the channel israpidly suppressed as seen through Eq. (6.76). At a fixed barrier offset between thechannel and source, a larger drain–source voltage creates a larger electric field in thechannel which acts to narrow the width of the tunnel barrier resulting in increasedcurrent flow. At low drain–source voltage, the slope of the potential barrier is lowerimplying a wider tunnel barrier and a strongly suppressed tunnel current. In addition tothe voltages applied to the device, the effective mass of the charge carriers alsoinfluences the behavior of the device in the tunneling regime. For low effective masses,it is easier for electrons to tunnel through the triangular potential barrier and it becomeseasier to turn the transistor ON, but likewise more difficult to achieve a lowOFF current.

The previous discussion can be summarized as stating that in a fully ballisticregime, the DoS in the source region will determine the maximum current drive. As atransistor is turned OFF, the gate potential and the channel electric field work againsteach other in determining the current–voltage characteristics with a higher gate voltageVG increasing the barrier height ϕB, while increasing drain–source voltage VDS increasesthe channel electric field resulting in a narrower tunnel barrier. The above discussionneglects the thermal distribution of the charge carriers in the drain and source resulting inthermal emission of electrons over the potential barrier, and in ultra-scaled nanowiretransistors tunneling and thermionic emission currents can be of comparablemagnitudes.

The potential barrier across a nanowire channel is smoother than the piece-wiselinear model presented and Fig. 6.20 presents a more realistic channel voltageprofile. However, much of the analysis presented with minor modification remainsvalid. Note the analysis presented assumes a single parabolic band in the source drainregions, so implicitly this presentation is restricted to low voltages although exten-sion of the model to include multiple energy bands is possible with the underlyingbehavior and conclusions remaining applicable. Although very similar current–voltage characteristics compared to field-effect transistors on the macro-scale areobserved, it should be highlighted that through barrier tunneling plays a much largerrole in ultra-scaled nanowire transistors. For quasi-ballistic transport, the saturationin current is related to the energy width of the occupied source conduction band andnot to high electric fields causing velocity saturation that limits transistor operationfor longer length scales where diffusive transport dominates. To ensure high ONcurrents, low effective masses and a large density of states in the source is desired.

6.7 Quasi-ballistic transport in nanowire transistors 209

Page 226: Nanowire Transistors - CAS

However, to suppress tunneling in the OFF state requires large effective masses, andlarge gate and low drain–source biases. Hence transistor design remains, as formacro-scale transistor design, a strongly coupled trade-off against competing mate-rial and geometry constraints but with new physical mechanisms underpinningdevice operation on the nanometer-scale.

6.8 Green’s function treatment of quantum transport

Green’s functions are commonly used in engineering and science to understand theinfluence of a “source” at one position and time on other positions and at other times.Green’s functions are often invoked to describe the effect of an electron, hole or otherparticle injected into a system and to follow the particle’s trajectory and interactions withother potential fields or scattering with other particles. Green’s functions are applied tostudy electron and hole transport in nanostructures with an advantage that the effects ofelastic and inelastic scattering can be included and that the method can be formulated foropen system boundary conditions [37, 38] that give rise to measured current–voltagecharacteristics in nanostructures. Importantly, Green’s function techniques are relativelystraightforward to implement numerically. The use of Green’s functions have beenthoroughly introduced in the literature [39,40] and for more advanced textbooks onthis subject, the reader is referred to the Further reading listed at the end of this chapter.In the following, a brief background to the use of the Green’s function approach inquantum transport is presented.

6.8.1 Green’s function for Poisson’s equation

Before considering the use of Green’s function in transport, its use in the solution ofPoisson’s equation is considered to highlight the main mathematical ideas for the generaluse of the method. Poisson’s equation in differential form is

drain

Ux source

Figure 6.20 The piece-wise linear model of Fig. 6.18 represents a highly idealized view of the channelpotential profile and a more realistic potential profile in one dimension is shown for reference.However, the basic physical principles presented carry over to more realistic potential profiles andfor more realistic device structures.

210 Charge transport in quasi-1D nanostructures

Page 227: Nanowire Transistors - CAS

∇2Vð~rÞ ¼ LVð~rÞ ¼ � qε0ρð~rÞ; ð6:77Þ

where Vð~rÞ is the electrostatic potential arising from a charge distribution qρð~rÞ, and ε0is the permittivity of free space. The equation has been written in an intermediate formwith a linear operator defined to be L ¼ ∇2. An integral solution for Eq. (6.77) is soughtof the form

Vð~rÞ ¼ � qε0

ðGð~r;~r 0 Þρð~r 0 Þd3r0 : ð6:78Þ

Equations (6.67) and (6.68) imply that

∇2Gð~r;~r 0 Þ ¼ δð~r �~r0 Þ; ð6:79Þ

or in operator form the equation for the Green’s function can be expressed as

LL�1 ¼ 1; ð6:80Þ

stating the Green’s function is the inverse of the linear operator relating charge topotential in Poisson’s equation. The solution for the Green’s function is found fromthe relation

∇2 1

j~r �~r0 j ¼ �4πδð~r �~r 0Þ ð6:81Þ

leading to

Gð~r;~r 0Þ ¼ � 1

4π1

j~r �~r0 j : ð6:82Þ

The integral form of Poisson’s equation Eq. (6.78) is now written as

Vð~rÞ ¼ � q4πϵ0

ðρð~r 0Þj~r �~r

0 j d3r0; ð6:83Þ

which is recognized as Coulomb’s law for a continuous charge distribution. The Green’sfunction relates the charge located at position~r 0 to the electrostatic potential at position~r, and the integration sums over all charges to yield the net electrostatic potential at agiven point.

6.8.2 Green’s function for the Schrödinger equation

Similarly, the Green’s function for the Schrödinger equation provides the probabilityamplitude for a particle initially found at a point in space and time ðxi; tiÞ to be found at afinal time at ðxf ; tf Þ. Unlike in Poisson’s equation where the sources are the electriccharges giving rise to the electrostatic potential, in the case of the time-dependent

6.8 Green’s function treatment of quantum transport 211

Page 228: Nanowire Transistors - CAS

Schrödinger equation the wave function in the past acts as a source for the future. TheGreen’s function that describes the propagation of the probability amplitude into thefuture from a point source is the retarded Green’s function, which is required to satisfythe time-dependent Schrödinger equation

iℏ∂∂tGRðxf ; xi; tf ; tiÞ ¼ HGRðxf ; xi; tf ; tiÞ for tf > ti; ð6:84Þ

with boundary condition

limtf→tiþ0

GRðxf ; xi; tf ; tiÞ ¼ �iδðxf � xiÞ: ð6:85Þ

For a time-independent HamiltonianH with eigenvalues Enand eigenfunctions ψnðxÞ,it is straightforward to verify that

GRðxf ; xi; tf ; tiÞ ¼ �iXn

exp½�iðtf � tiÞΕn=ℏ�ψnðxf Þψ�nðxiÞ ð6:86Þ

is a solution to the time-dependent Schrödinger equation Eq. (6.83). Noting that acomplete set of eigenfunctions has the property thatX

n

ψnðxf Þψ�nðxiÞ ¼ δðxf � xiÞ; ð6:87Þ

it is seen that this form of the retarded Green’s function satisfies the boundarycondition as tf←ti þ0, which is a shorthand notation that ti approaches tf fromthe “future” denoted by “+0.” The retarded Green’s function is taken to be GR ¼ 0 fortf < ti: Similar relations hold for defining an advanced Green’s function if tf < ti;however, for the present discussion the focus is on the retarded Green’s function.Knowledge of the Green’s function allows for construction of the wave function atðxf ; tfÞ to be constructed

ψðxf ; tf Þ ¼ iðdxi G

Rðxf ; xi; tf ; tiÞψðxi; tiÞ: ð6:88Þ

Since the Hamiltonian has been assumed to be independent of time, the Green’s functionwill only depend on the difference t ¼ tf � ti; and using the Fourier transform to expressthe Green’s function in the conjugate energy variable Ε yields

GRðxf ; xi;EÞ ¼ iðdte�iEt=ℏGRðxf ; xi; tÞ ¼ �i

Xn

ðdt exp ½itðE � EnÞ=ℏ�ψnðxfÞψ�

nðxiÞ:

ð6:89Þ

A direct Fourier transformation of the eigenfunction expansion of the Green’s func-tion results in an ill-defined integral. Introducing a small, positive imaginary part to theenergy which is denoted as E→E þ iη leads to

212 Charge transport in quasi-1D nanostructures

Page 229: Nanowire Transistors - CAS

GRðxf ; xi;E þ iηÞ ¼Xn

ψnðxf Þψ�nðxiÞ

E þ iη� En: ð6:90Þ

The þiη prescription makes the energy complex by adding a small imaginary termwith η > 0 and ensures that Fourier transform of the retarded Green’s function remainsmathematically well defined. This form enables the Green’s function to describe theinjection of an electron at a time in the past with energyE into a many-electron system. Ifinstead of a small positive energy component being added to the energy, a small negativecomponent �iη is added, the advanced Green’s function is obtained as expressed in theenergy domain.

The Fourier transformed Green’s function that satisfies

ðE þ iη� HÞGRðxf ; xi;E þ iηÞ ¼ δðxf � xiÞ ð6:91Þ

is the operator inverse to the linear operator defining the time-dependent Schrödingerequation with appropriate time domain boundary conditions imposed as

GRðxf ; xi;E þ iηÞ ¼ ðE þ iη� HÞ�1: ð6:92Þ

The solution to the Green’s function for a free electron can be obtained by recallingthe expression for the plane wave eigenfunctions and eigenvalues and to assume acontinuum of states. This allows the sum over energy states to be replaced as anintegral over wave number. The result for the free electron retarded Green’s functionbecomes

GRðxf ; xi;EÞ ¼ �i

ffiffiffiffiffiffim�

2E

reiffiffiffiffiffiffiffi2mE

p jxf�xij: ð6:93Þ

Analytical results using Green’s functions can be very insightful and powerfultheoretical tools; however, finding closed-form solutions can be challenging. For exam-ple, even solution of the simple potential step problem studied earlier in the chapter isrelatively difficult to arrive at using analytical Green’s functions [41,42]. Hence inmodern nanowire studies the primary use of the Green’s function is for the developmentof computer simulations, and relies on the fact that Green’s function formalisms may beimplemented relatively efficiently in terms of computational time and with numericalstability.

6.8.3 Application of Green’s function to transport in nanowires

In transport simulations of nanowire transistors, it is common for source, channeland drain regions to be treated quantum mechanically and the effect of the gatevoltage is coupled semi-classically to the quantum regime as an external electricfield. The effects for many-electrons within the channel region may be solved forself-consistently in the presence of the gate generated electric field. If the

6.8 Green’s function treatment of quantum transport 213

Page 230: Nanowire Transistors - CAS

simulation is performed quantum mechanically using the LCAO approximation orin a similar set of localized basis functions, the Hamiltonian can be spatiallypartitioned as shown in Fig. 6.21. In the literature, the drain and source are oftenreferred to as left “L” and right “R” leads with their role as drain and sourcedetermined by the polarity of the voltage applied across the channel region. Theactive channel region is labeled as the device, “D.” Using these designations, it isseen in Fig. 6.21 that the left- and right-hand electrodes are composed of units orprincipal layers containing a number of atoms that are repeated periodically in thedirections away from the device. The electrodes are constructed such that atomscontained within one repeat unit or principal layer can only interact with atoms inneighboring principal layers. The central region consists of the channel or deviceregion. It is often the case that one principal layer from the construction of theelectrodes is included on either side of the device region to ensure that the device-leadinteractions are the same as between two lead cells within the electrode regions tosimplify the computations; however, this is not a fundamental constraint.

The Hamiltonian for the system is written

left lead

HLL

hLLcell

hLLint hLL

int hLLint hLL

int

VDS+ –

hLLcell hLL

cell hLLcell

hRRint

hRRcell

hRRint

hRRcell

hRRint

hRRcell

hRRint

hRRcell

HDD

HDD

HRR

device region right lead

Fig. 6.21 Typical simulation structure for nanowire transistor scattering for Green’s function simulationspartitioning the Hamiltonians for the left and right electrodes, and the device region. Note that thedevice region incorporates portions of the electrode regions to enable a straightforward treatmentof the coupling between the three regions.

214 Charge transport in quasi-1D nanostructures

Page 231: Nanowire Transistors - CAS

H ¼

. ..

hinty

LL

hintLL hcellLL hinty

LL 0hintLL hcellLL hint

yLL

hintLL HDD hinty

RR

hintRR hcellRR hinty

RR

0 hintRR hcellRR hinty

RR

hcellRR. ..

0BBBBBBBBBBBB@

1CCCCCCCCCCCCAð6:94Þ

where the elements hcellLL , hcellRR , h

intLL, h

intRR, and HDD are the left electrode principle layer,

right electrode principal layer, left electrode layer–layer interaction, right electrodelayer–layer interaction, and device Hamiltonian matrices, respectively. The dimensionsthe matrices are given by the number of localized basis set functions used to describe thedifferent regions. Given this simulation configuration, the Hamiltonian for the devicecan be conceptually written as

HLL HLD 0HDL HDD HDR

0 HRD HRR

0@ 1A ~cL~cD~cR

0@ 1A ¼~cL~cD~cR

0@ 1A: ð6:95Þ

It is assumed in Eq. (6.94) that the localized basis is orthogonal (which is not alwaysthe case). The matrices HLL and HRR are in principle infinite as the principal layersdescribing the electrodes are repeated indefinitely. The solution for the wave function onthe device region is given in terms of the coefficients in~cD that are consistent with theboundary conditions applied to the leads including the drain–source bias voltage, theinteraction of the device region with the electrodes, and the external gate voltage.

Assuming the left and right leads are appropriately treated, the matrix eigenvalueequation can be formally expressed as three equations

HLL~cL þ HLD~cD ¼ E~cL; HDL~cL þ HDD~cD þ HDR~cR ¼ E~cD;

HRD~cD þ HRR~cR ¼ E~cR: ð6:96Þ

The first and last of these equations can be re-expressed as

~cL ¼ ðE � HLLÞ�1HLD~cD; ~cR ¼ ðE � HRRÞ�1HRD~cD; ð6:97Þ

and the inverse matrices are labeled as electrode Green’s functions

gL ¼ ðE � HLLÞ�1;

gR ¼ ðE � HRRÞ�1:ð6:98Þ

The boundary conditions for the electrode Green’s functions are to be chosen toprovide inward propagating electrons or holes from the electrodes into the channel, butsuch that electrons or holes exiting the channel into the electrodes are not back reflected.An effective Schrödinger equation on the device region can be expressed with the aid oftwo self-energies Σ that describe the right and left electrodes

6.8 Green’s function treatment of quantum transport 215

Page 232: Nanowire Transistors - CAS

½HDD þ ΣLðEÞ þ ΣRðEÞ�~cD ¼ E~cD;

ΣLðEÞ ¼ HDLgLHLD;

ΣRðEÞ ¼ HDRgRHRD: ð6:99Þ

The steps leading to Eq. (6.98) treat the left and right electrode Hamiltonians as finitematrices and the matrix algebra is not well defined as the boundary conditions on theelectrode self-energies are not explicitly presented. A formally correct treatment of theelectrodes described by self-energies relies on recursion relationships and explicitlyselecting the appropriate boundary conditions for the propagation of electrons within theelectrode regions which then allows for the manipulation of the electrode Hamiltoniansas finite matrices. Applying the recursion relations and the boundary conditions resultsin electrode self-energies expressible in finite form through Green’s functions developedfor the description of the electronic structure of surfaces, which are named sensibly“surface Green’s functions” [43]. As the electrodes act as the voltage sources to thedevice region, both electrodes are assumed to be locally in equilibrium allowing theelectronic structure for the “left electrode” and “right electrode” to be determined inthe absence of voltage bias. Application of source–drain voltage can then be applied byshifting the Fermi levels in the lead self-energies and solving for the Green’s function onthe device region self-consistently with the leads. In calculations with sufficiently smallapplied voltages, self-consistency is not required [44]. For nanowire transistors a rangeof voltages are applied across a device, iterating to self-consistency has a large effect oncurrent–voltage characteristics and properties such as sub threshold slope, with the self-consistent solution resulting in prediction of improved performance [11]. Although notexplicitly stated, it is assumed that the gate voltage only acts on the device region. Thegate voltage as described can be accomplished in a first approximation as a constantadded to the diagonals of the device region Hamiltonian, or in general and morecorrectly as a classical electrostatic potential added to the device region Hamiltonian.Details for applying open system boundary conditions with Green’s function approachescan be found in [44,45].

The spatial partitioning of the Hamiltonian operators requires their matrix representa-tions to be expressed in terms of localized basis functions. Through the use of theelectrode self-energies, the effective Schrödinger equation reduces the infinite matrixproblem to a dimension of the number of basis functions required to describe the deviceregion. A Green’s function on the device region is defined,

GDðEÞ ¼ ½E � HDD � ΣLðEÞ � ΣRðEÞ��1; ð6:100Þ

and solved for self-consistently over the energy range relevant for electrons or holesinjected from the electrodes. Relationships between the Green’s function and the densitymatrix allow for the current to be directly computed, or more commonly the derivationof the transmission as a function of the Green’s functions and electrode self-energiesallows for the transmission TðEÞ or equivalently the Landauer conductance spectrum inunits of 2q2=h to be calculated from

216 Charge transport in quasi-1D nanostructures

Page 233: Nanowire Transistors - CAS

TðEÞ ¼ Tr½ΓLðEÞGDðEÞ†ΓRðEÞGDðEÞ�: ð6:101Þ

The functions ΓL;RðEÞ ¼ i½ΣL;RðEÞ � ΣL;R†ðEÞ� are spectral densities and in the pre-

sent context describe the coupling of electrode states to electronic states inside thedevice region. A particularly attractive feature of treating electron transport with a one-electron Green’s function is that additional self-energies can be defined to describescattering mechanisms on the device region including electron–phonon scattering andelectron–electron scattering.

6.9 Summary

The combination of voltage sources acting as charge carrier reservoirs in quasi-equili-brium in combination with the properties of individual conducting channels leads toconductance quantization. As scattering is introduced, conductance is reduced and, inthe macroscopic limit, charge carrier mobility and Ohmic resistances emerge. Fornanoelectronic devices fabricated on nanometer length scales, electrons travel acrosslengths less than mean free paths for typical scattering implies that charge transport isintermediate between the ballistic regime characterized by no scattering and the diffu-sive regime which emerges after many scattering events. A method often applied in theliterature to describe this intermediate regime or quasi-ballistic transport is the Green’sfunction method whereby ballistic transport and transport with scattering, and inter-mediate regimes can be described.

Further reading

Quantum transport

S. Datta, Electronic Transport in Mesoscopic Systems, Cambridge: CambridgeUniversity Press, 1995.

D.K. Ferry and S.M. Goodnick, Transport in Nanostructures, Cambridge: CambridgeUniversity Press, 1997.

Green’s functions in physics

G.D. Mahan,Many-Particle Physics, New York: Kluwer Academic/Plenum Publishers,2000.

E.N. Economou, Green’s Functions in Quantum Physics, Berlin: Springer Verlag, 2006.

References

[1] N.Mingo, L. Yang, D. Li, and A.Majumdar, “Predicting the thermal conductivity ofsilicon and germanium nanowires,” Nano Lett., vol. 3, pp. 1713–1716, 2003.

6.9 Summary 217

Page 234: Nanowire Transistors - CAS

[2] E. Pop, S. Sinha, and K.E. Goodson, “Heat generation and transport in nanometer-scale transistors,” Proc. IEEE, vol. 94, pp. 1587–1601, 2006.

[3] W.R. Frensley, “Boundary conditions for open quantum systems driven far fromequilibrium,” Rev. Mod. Phys., vol. 62, pp. 745–791, 1990.

[4] R. Landauer, “Spatial variation of currents and fields due to localized scatterers inmetallic conduction,” IBM J. Res. Devel., vol. 1, pp. 223–231, 1957.

[5] J.C. Greer, “Variational method with scattering boundary conditions imposed bythe Wigner function,” Phys. Rev. B, vol. 83, pp. 245413-1–245413-11, 2011.

[6] L. Weber and E. Gmelin, “Transport properties of silicon,” Appl. Phys. A, vol. 53,pp. 136–140, 1991.

[7] D.M. Caughey and R.E. Thomas, “Carrier mobilities in silicon empirically relatedto doping and field,” Proc. IEEE, vol. 55, pp. 2192–2193, 1967.

[8] F. Balestra (ed.), Nanoscale CMOS: Innovative Materials Modeling andCharacterization, Chapter 15, pp. 545–566, Wiley (2010).

[9] R. Rurali, T. Markussen, J. Suñé, M. Brandbyge, and A.-P. Jauho, “Modelingtransport in ultra-thin silicon nanowires: charged versus neutral impurities,” NanoLett., vol. 8, pp. 2825–2828, 2008.

[10] M. Diarra, Y.-M. Niquet, C. Delerue, and G. Allan, “Ionization energy of donorand acceptor impurities in semiconductor nanowires: Importance of dielectricconfinement,” Phys. Rev. B, vol. 75, pp. 045301-1–045301-4, 2007.

[11] L. Ansari, B. Feldman, G. Fagas, J.-P. Colinge, and J.C. Greer, “Sub-thresholdbehavior of junctionless silicon nanowire transistors from atomic scale simula-tions,” Solid-State Elect., vol. 71, pp. 58–62, 2012.

[12] M.V. Fischetti, D.A. Neumayer, and E.A. Cartier, “Effective electron mobility inSi inversion layers in metal–oxide–semiconductor systems with a high-κ insulator:the role of remote phonon scattering,” J. Appl. Phys., vol. 90, pp. 4587–4608,2001.

[13] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, and M. Metz, “High-/metal-gate stack and its MOSFET characteristics,” IEEE Elect. Dev. Lett., vol. 25,pp. 408–410, 2004.

[14] M. Heyns and W. Tsai (eds.), “Ultimate scaling of CMOS logic devices with Geand III–V materials,” MRS Bulletin, vol. 34, 2009.

[15] S.Monaghan, J.C. Greer, and S.D. Elliott, “Atomic scale model interfaces betweenhigh-k hafnium silicates and silicon,”Phys. Rev. B, vol. 75, pp. 245304-1–245304-14,2007.

[16] H. Sakaki, “Scattering suppression and high-mobility effect of size-quantizedelectrons in ultrafine semiconductor wire structures,” Jpn. J. Appl. Phys.,vol. 19, pp. L735–L738, 1980.

[17] F. Murphy-Armando and S. Fahy, “First-principles calculation of carrier-phononscattering in n-type Si1−xGex alloys,” Phys. Rev. B, vol. 78, pp. 035202-1–035201-14, 2008.

[18] J.C. Mikkelsen Jr. and J.B. Boyce, “Atomic-scale structure of random solidsolutions: extended X-ray-absorption fine-structure study of Ga1−xInxAs,” Phys.Rev. Lett., vol. 49, pp. 1412–1415, 1982.

[19] J.B. Hannon, S. Kodambaka, F.M. Ross, and R.M. Tromp, “The influence ofthe surface migration of gold on the growth of silicon nanowires,” Nature,vol. 440, pp. 69–71, 2006.

218 Charge transport in quasi-1D nanostructures

Page 235: Nanowire Transistors - CAS

[20] Z. Wu, J.B. Neaton, and J.C. Grossman, “Quantum confinement and electronicproperties of tapered silicon nanowires,” Phys. Rev. Lett., vol. 100, pp. 246804-1–246804-4, 2008.

[21] A. Lherbier, M. Persson, Y.-M. Niquet, F. Triozon, and S. Roche, “Quantumtransport length scales in silicon-based semiconducting nanowires: surface rough-ness effects,” Phys. Rev. B, vol. 77, pp. 085301-1–085301-5, 2008.

[22] E.B. Ramayya, D. Vasileska, S.M. Goodnick, and I. Knezevic, “Electron transportin silicon nanowires: The role of acoustic phonon confinement and surface rough-ness scattering,” J. Appl. Phys., vol. 104, pp. 063711-1–063711-14, 2008.

[23] K.W. Adu, H.R. Gutiérrez, U.J. Kim, G.U. Sumanasekera, and P.C. Eklund,“Confined phonons in Si nanowires,” Nano Lett., vol. 5, pp. 409–414, 2005.

[24] M. Luisier and G. Klimeck, “Atomistic full-band simulations of silicon nanowiretransistors: effects of electron-phonon scattering,” Phys. Rev. B, vol. 80,pp. 155430-1–1554301-11, 2009.

[25] F. Murphy-Armando, G. Fagas, and J.C. Greer, “Deformation potentials andelectron-phonon coupling in silicon nanowires,” Nano Lett., vol. 10, pp. 869–873,2010.

[26] F.D.M. Haldane, “Luttinger liquid theory of one-dimensional quantum fluids.I. Properties of the Luttinger model and their extension to the general 1Dinteracting spinless Fermi gas,” J. Phys. C: Solid State Phys., vol. 14,pp. 2585–2609, 1981.

[27] C.L. Kane and M.P.A. Fisher, “Transmission through barriers and resonanttunneling in an interacting one-dimensional electron gas,” Phys. Rev. B, vol. 46,pp. 15233–15262, 1992.

[28] P. Delaney and J.C. Greer, “Correlated electron transport in molecular electro-nics,” Phys. Rev. Lett., vol. 93, pp. 036805–036808, 2004.

[29] G. Fagas and J.C. Greer, “Tunnelling in alkanes anchored to gold electrodes viaamine groups,” Nanotechnology, vol. 18, pp. 424010-1–424010-4, 2007.

[30] S. McDermott and J.C. Greer, “Many-electron scattering applied to atomic pointcontacts,” J. Phys.: Condens. Matter, vol. 24, pp. 125602-1–125602-9, 2012.

[31] P.W. Anderson, D.J. Thouless, E. Abrahams, and D.S. Fisher, “New method for ascaling theory of localization,” Phys. Rev. B, vol. 22, pp. 3519–3526, 1980.

[32] T. Markussen, R. Rurali, A.-P. Jauho, and M. Brandbyge, “Scaling theory put intopractice: first-principles modeling of transport in doped silicon nanowires,” Phys.Rev. Lett., vol. 99, pp. 076803-1–076803-4, 2007.

[33] G. Greene-Diniz, S. Jones, G. Fagas, et al., “Divacancies in carbon nanotubes andtheir influence on electron scattering,” J. Phys.: Condens. Matt., vol. 26,pp. 045303-1–45303-8, 2014.

[34] G. Fagas and J.C. Greer, “Ballistic conductance in oxidized Si nanowires,” NanoLett., vol. 9, pp. 1856–1860, 2009.

[35] M.J. Kelly, “Transmission in one-dimensional channels in the heated regime,”J. Phys.: Condens. Matter, vol. 1, pp. 7643–7649, 1989.

[36] R.G. Forbes and J.H.B. Deane, “Transmission coefficients for the exact triangularbarrier: an exact general analytical theory that can replace Fowler & Nordheim’s1928 theory,” Proc. R. Soc. A, doi:10.1098/rspa.2011.0025, 2011.

[37] L.P. Kadanoff and G. Baym, Quantum Statistical Mechanics. Reading, MA:Benjamin-Cummings, 1962.

References 219

Page 236: Nanowire Transistors - CAS

[38] L.V. Keldysh, Zh. Eksp. Teor. Fiz., Vol. 47, pp. 1515–1527, 1964 [translated in Sov.Phys. JETP, vol. 20, pp. 1018–1026, 1965].

[39] R. Lake and S. Datta, “Non-equilibrium Green’s function method applied todouble-barrier resonant-tunneling diodes,” Phys. Rev. B, vol. 45, pp. 6670–6685,1992.

[40] M. Brandbyge, J.-L. Mozos, P. Ordejón, J. Taylor, and K. Stokbro, “Density-functional method for nonequilibrium electron transport,” Phys. Rev. B, vol. 65,pp. 165401-1–165401-17, 2002.

[41] C. Grosche, “Path integration via summation of perturbation expansions andapplications to totally reflecting boundaries, and potential steps,” Phys. Rev.Lett., vol. 71, pp. 1–4, 1993.

[42] M.A.M. de Aguiar, “Exact Green’s function for the step and square-barrier poten-tials,” Phys. Rev. A., vol. 48, pp. 2567–2573, 1993.

[43] M.P. López Sancho, J.M. López Sancho, and J. Rubio, “Highly convergentschemes for the calculation of bulk and surface Green’s functions,” J. Phys. F:Met. Phys., vol. 15, pp. 851–858, 1984.

[44] S.-H. Ke, H.U. Baranger, and W. Yang, “Electron transport through molecules:Self-consistent and non-self-consistent approaches,” Phys. Rev. B, vol. 70,pp. 085410-1–085410-12, 2004.

[45] D. Sharma, L. Ansari, B. Feldman, M. Iakovidis, J.C. Greer, and G. Fagas,“Transport properties and electrical device characteristics with the TiMeS compu-tational platform: application in silicon nanowires,” J. Appl. Phys., vol. 113,pp. 203708-1–203708-8, 2013.

220 Charge transport in quasi-1D nanostructures

Page 237: Nanowire Transistors - CAS

7 Nanowire transistor circuits

7.1 CMOS circuits

Nanowire FETs can be used in the same fashion as any other type of MOSFET toconstruct the logic gates that are the building blocks for data processors and controlcircuits, as well as memory cells of various types such as static random access memory(SRAM), flash memory, and so on. The topology of nanowire transistors makes themparticularly suitable for making array-like circuits such as crossbar nanowire circuitsand nanoscale application-specific integrated circuits. Nanowire FETs can even be usedas photodetectors [1]. Last but not least, nanowire transistor-based sensors can also becombined with CMOS electronics to deliver powerful chemical or biomedical analyticaldevices.

Nanowire transistors can be used as single devices. They can also be used in serial orparallel combinations. Figure 7.1 shows horizontal nanowire transistors in a parallelconfiguration; using this architecture a high current drive with a small layout footprintcan be achieved [2]. Vertical nanowire transistors lend themselves quite naturally to theformation of NAND-based architectures as shown in Fig. 7.2.

7.1.1 CMOS logic

Techniques for the design and optimization of nanowire circuits are still in their infancy.Key performance indicators (KPIs) include ON/OFF currents, effective current andeffective gate capacitance, CV/I, integration density, and other performance measuresnormally associated with CMOS transistors. Key process and layout parameters includenumber of nanowires per transistor, footprint, nanowire diameter, and other process-related parameters such as gate length, gate over-underlap length and source/drain (S/D)region length. One particular study indicates that through design optimization, the totalcapacitance and parasitic resistance of typical nanowire CMOS gates can be reduced byover 80% compared to nanowire designs without optimized process parameters.Significant improvements are achieved through the reduction of the source/drain exten-sion length, gate overlap, and nanowire diameter. Optimization of the device parameterscan also achieve an improvement of over 90% reduction in delay and power consump-tion at the circuit level [3].

With the decrease of both device dimensions and supply voltage, variability hasbecome an important issue in integrated circuit fabrication. Device parameters such as

Page 238: Nanowire Transistors - CAS

threshold voltage, drain-induced barrier lowering (DIBL), and ON and OFF currentsexhibit statistical variations. The origins of these variations are multiple and include gateline edge roughness (LER), random doping fluctuations (RDF), nanowire diametervariations, and/or nanowire surface roughness. LER basically introduces statisticalvariations of gate length at the device level and from device to device. As a result ofthe excellent control of short-channel effects such as DIBL, gate-all-around (GAA)nanowire transistors show less LER variability than any other type of MOSFET. This

GG

G

G

G

G(a) (c)

(b)

D

S D

D

D

D

DSS

S

S

S

Figure 7.1 Horizontal nanowire transistors. (a) Single transistor. (b) Four transistors in parallel occupying thefootprint of a single transistor. (c) Twelve transistors in parallel occupying the footprint of fourtransistors.

G4

G3G

D

S

S

G

D

(a)

(b)

G2

G1

G4

G3

G2

G1

Figure 7.2 Vertical nanowire transistors. (a) Single transistor. (b) Four transistors in series forming aNAND-type gate.

222 Nanowire transistor circuits

Page 239: Nanowire Transistors - CAS

can be further improved using transistors with gate underlap. RDF is very low in GAAnanowire transistors when using an undoped channel, but increases with doping concen-tration. Control of nanowire diameter and roughness is very important and can beoptimized using processing techniques such as hydrogen anneal or nanowire oxidation.Data from the literature indicate that the variability of GAA nanowire FETs can besignificantly lower than in bulk planar devices and might be comparable with, if not betterthan, that for undoped fully depleted ultrathin-body SOI and FinFET devices [4,5].

Variability effects decrease as the diameter of a nanowire is decreased. As thenanowire radius is reduced from 25 nm to 1 nm in vertical GAA FETs with an undopedbody and a gate length of 40 nm, the variation in threshold voltage decreases from 140 toapproximately 6 mV for nMOS transistors and from 130 to 11 mV for pMOS transistors,both of which are indications of diminishing short-channel effects with reduced dia-meter. Subthreshold slope is 62 mV/dec for this nMOS and 62.5 mV/dec for the pMOStransistors at a drain voltage of 1 V. These characteristics are close to ideal andsignificantly better than in double-gate SOI transistors [6,7]. In addition these vertical,undoped GAA silicon nanowire transistors dissipate less power than bulk and SOI MOStransistors while yielding comparable performance in terms of switching frequency.The nanowire radius and effective channel length can both be varied until a commonbody geometry can be determined for both nMOS and pMOS transistors to limit OFFcurrents below 1 pA while producing highest ON currents. In [6], DC characteristicsof the optimum n- and p-channel transistors for threshold voltage roll-off, DIBL andsubthreshold slope were calculated and simple CMOS gates including an inverter, 2- and3-input NAND, NOR, and XOR gates, and full adder were designed and simulated. Thelayout of the resulting full adder is shown in Fig. 7.3 and the area measures 0.11 µm2,which is 5.2 times smaller than a 6-transistor SRAM cell laid out using a 65 nmtechnology node.

It is worth noting that vertical and horizontal GAA transistors are not necessarilysymmetrical, i.e. electrical characteristics may be different when source and drain areswapped. This is due to processing, for example if the width of the nanowire is not

VDD

200

nm

550 nm

GND

ABCABC

carrysum

Figure 7.3 Full adder layout using 40 nm effective channel length and 4 nm body radius nMOS andpMOS nanowire transistors. A, B, and C are the two inputs of the full adder and the carry-in,respectively. After [6].

7.1 CMOS circuits 223

Page 240: Nanowire Transistors - CAS

constant resulting in the drain end of the channel being wider or narrower than the sourceend can lead to the asymmetric behavior [8]. The asymmetry in the electrical character-istics can also arise due to inhomogeneous doping concentration from source to drainin the channel, or to a difference of resistance between the source and the drainjunction [9,10]. BSIM Spice models for nanowire transistors can be found in theliterature applied for the optimization of transistor designs [11].

The vertical gate-all-around nanowire transistor architecture is of particular interestbecause it offers both integration density and speed/power performance increase withrespect to horizontal device integration. The comparison of vertical nanowire andFinFET CMOS shows nearly 40% delay reduction in nanowires, highlighting theexcellent potential of vertical GAA CMOS for technology nodes below 15 nm [12].The behavior of parasitic resistances and capacitances is markedly different in verticalGAA transistors than in classical lateral devices due to structural asymmetry. The use ofa top metal electrode overlapping the nanowire reduces the resistance difference tothe bottom electrode. The parasitic capacitances can be modeled as parallel platecapacitors with cylindrical fringing field components. Simulations show that the gate-active/extensions are dominating contributors to parasitic capacitance. If the bottomelectrode is used as drain, the capacitance is further amplified due to theMiller effect andcircuits with device sources at the top have higher delay than devices with the sourcelocated at the bottom of a vertical transistor structure. Gate delay can be increased by asmuch as 65% when using the top electrode as the source. The combined parasiticresistances and capacitances to a large degree determine the overall transistor perfor-mance and circuit delay. Thus, the structural asymmetry places layout restrictions oncircuit designs implemented with vertical nanowire FET devices that do not generallyoccur in horizontal FET layouts [13].

7.1.2 SRAM cells

A circuit cell that can greatly benefit from the reduced short-channel effects character-istic of nanowire transistors is the static random-access-memory (SRAM) cell. The staticnoise margin (SNM) of a 6-transistor (6T) SRAM cell represents its ability to retain a bitof information if the supply voltage (VCC) fluctuates. It can be measured during a “hold”cycle (i.e. when the access transistors connecting the memory inverter pair are turnedOFF), or during a read cycle (the access transistors are turned ON). Due to the additionaldisturbance introduced when the access transistors are switched ON, the read SNM isalways smaller than the hold SNM and dictates the minimum operating supply voltagefor the memory cell. The SNM is obtained graphically by drawing and mirroring theinverter input–output characteristics of half a cell (i.e. an inverter plus access transistor)and finding the largest square that can be inserted between the two curves. A graphcontaining the two mirrored curves is commonly referred to as a “butterfly curve.” TheSNM of an SRAM cell represents the minimum DC voltage disturbance necessary toupset the cell state, and can be quantified by the length of the side of the maximumsquare that can fit inside the butterfly curves formed by the cross coupled inverters. TheSNM of a cell is a function of the DIBL of both the pull-up and pull-down transistors

224 Nanowire transistor circuits

Page 241: Nanowire Transistors - CAS

used in the cell’s inverters. Any increase of DIBL degrades both read and hold SNMs.Thus, devices with low DIBL should offer optimum SNM results [14].

A comparative analysis of the stability of 6T SRAM cells made using different FETarchitectures was published in 2006 [15]. The simulation study was made by using amixed-mode device-circuit coupled simulation taking quantum mechanical effects intoaccount. Three different types of devices were considered: planar MOSFETs, omega-gate nanowire transistors, and GAA nanowire transistors. The devices were simulatedwith a gate length of 20 nm. The static noise margins (SNM) of 6T SRAM cellsmade using the different devices were compared. It was found that the SRAM withGAA-nanowire-based design provides an improvement in stability under the modes ofread and hold compared with the two other simulated circuits, and that the omega-gatedesign is itself better than the single-gate planar design. Compared with conventionalplanar MOSFETs, more than 35% improvement of read SNM is observed for the GAAdesign over a large range of supply voltages as plotted in Fig. 7.4, while there is a 10%improvement for the hold SNM. The same study also shows that GAA-nanowire-basedSRAM cells have much more stable SNM against temperature variations than bulk FETcells. Another set of simulations comparing SRAM cells made using SOI FinFETs andGAA nanowires shows similar results and reaches similar conclusions [16].

These simulation results have been qualitatively corroborated by experimental resultsobtained from SRAM arrays with GAA nanowire transistors with a width, height, andchannel length of 5 nm, 15 nm, and 40 nm, respectively. The nanowire SRAM cellsachieve a read SNM of 325 mVat a supply voltage of 1 V, while the corresponding cellmade with planar transistors achieves an SNM of only 160 mV. A comparison betweenthe two circuits is shown in Fig. 7.5 [17].

The SNM can be further improved by introducing additional reduction in DIBL usinga gate underlap architecture [18]. Junctionless nanowire transistors have an inherentunderlap architecture because the source and drain doping is the same as the channeldoping. This allows one to reach higher SNM values when compared to using

Hold

100

200

300

400

500

Sig

nal

-to

-No

ise

Mar

gin

, SN

M (

mV

)

00.0 0.2 0.4 0.6 0.8 1.0

VDD (V)

Read

Planar MOSFETW-gate nanowireGAA nanowire

Figure 7.4 Simulated read and hold SNM versus supply voltage VDD for 6T SRAM cells made with eitherplanar MOSFETs, omega-gate nanowire FETs, or GAA nanowire FETs. Gate length is 20 nm.

7.1 CMOS circuits 225

Page 242: Nanowire Transistors - CAS

inversion-mode devices. Simulations predict a read SNM of 180 mV for a gate length of20 nm and a supply voltage of 900 mV. This is considerably higher than benchmarkinversion-mode FinFETs or trigate SRAM cells as reported in the literature for gatelengths ranging between 22 and 40 nm and featuring read SNM values between 140 and160 mV [19].

In a similar way, the read SNM of GAA nanowire SRAMs increases when thenanowire diameter is decreased. The read SNM of 6T SRAM cells has been simulatedas a function of nanowire diameter for both inversion mode and junctionless transistors.The gate length was 10 nm and the supply voltage was 800 mV. Figure 7.6 shows thesimulation results. As can be expected, DIBL decreases as the nanowire diameter isdecreased and, in turn, the SNM increases. Furthermore, junctionless transistors have asmaller DIBL than the inversion mode transistors, except perhaps in the case wherethe diameter is relatively large (>8 nm). In such a case, short-channel effects can

0.6100

200

300Planar SRAM

GAA Nanowire SRAM

400

0.8 1.0 1.2VDD (V)

Sig

nal

-to

-No

ise

Mar

gin

, SN

M (

mV

)

Figure 7.5 Measured read signal-to-noise margin (SNM) versus supply voltage VDD for 6T SRAM cellsmade using either planar MOSFETs or GAA nanowire FETs. Gate length is 40 nm.

2

100

200

300

Rea

d S

NM

(m

V)

3 4 5Nanowire diameter (nm)

Inversion-mode GAA

Junctionless GAA

6 7 8

Figure 7.6 Simulated read SNM of 6T SRAM cells vs. GAA nanowire diameter for both inversion modeand junctionless operation. The gate length is 10 nm and the supply voltage is 800 mV.

226 Nanowire transistor circuits

Page 243: Nanowire Transistors - CAS

be worse for junctionless or accumulation mode transistors compared to inversion modetransistors because of some loss of gate control over the buried channel [20]. Based onthe measurement of CMOS inverter characteristics, GAA nanowire technology hasbeen shown capable of producing SRAM cells with a hold SNM of 90 mV, 270 mV,and 450 mVat supply voltages of 200 mV, 600 mV, and 1.2 V, respectively [21].

SRAM cell stability and SNM are affected by any variation of the transistorcharacteristics. All sources of variability such as random doping fluctuations (RDF),line-edge roughness (LER), or nanowire diameter fluctuations increase the variabilityof the cell characteristics and reduce the SNM. These variability effects are minimizedwhen GAA transistors are used, such that the minimum cell operating voltage, VCCmin,can be reduced by 100 mVat the 10 nm node when compared to FinFET SRAM cells[22]. Furthermore, using multiple nanowire devices in parallel greatly suppresses theimpact of the fabrication parameters on variability of the SNM due to a statisticalaveraging effect within each individual memory cell [23].

A 16 × 16 SRAM core consisting of 16 identical columns, each of which includes 16rows of six transistor (6T) memory using vertical gate-all-around CMOS nanowiretransistors, can be found in the literature [24]. The GAA nanowires are used for bitstorage cells, pre-charging circuits, sense amplifiers for read operation, write circuits,and for output latch/buffers.

SNM can be further improved using stacked vertical GAA transistors with twotransistors per stack. In this way, “disturb-free” 10-transistor (10T) SRAM cells canbe designed. These cells have a footprint that is only 67% that of an 8T cell made withplanar transistors. The footprint of an 8T vertical GAA SRAM cell without stackedtransistors is 64% that of a planar layout [25].

7.1.3 Non-volatile memory devices

Nanowire transistors are commonly used to fabricate three-dimensional NAND flashmemories with ultra-high density data storage with low cost per bit. These transistorshave a polycrystalline silicon channel and are used to make ultra-high density, low bitcost memories. Among several approaches, the Bit Cost Scalable (BiCS) flash technol-ogy has been demonstrated by several groups. The BiCS cell array consists of multiplecontrol gates placed in series around polysilicon “nano-pipes” or “nano-pillars” asrepresented graphically in Fig. 7.7. Typically, the control gate electrodes are made byetching holes in stacked conductive plates. These are subsequently coated with a gatedielectric stack and filled with the polysilicon gate material. In some instances thepolysilicon does not completely fill the holes but rather coats their inside wall, therebyforming a “macaroni-shaped” semiconductor layer, the inside of which is subsequentlyfilled with a dielectric material. Each intersection of a control gate plate and a polysilicon“nanowire” forms a flash memory cell. The gate dielectric is usually silicon oxide/nitride/oxide (ONO). Electrons from the channel can tunnel though the bottom oxidelayer and be trapped in the nitride, thereby changing the threshold voltage of thetransistor and thereby storing information. The resulting transistor is called a silicon-oxide-nitride-oxide-silicon (SONOS) device. A string of such transistors forms a NAND

7.1 CMOS circuits 227

Page 244: Nanowire Transistors - CAS

flash memory structure. At one end of the string is a source side select gate (SGS) and atthe other end a drain select gate (SGD). The SGD is itself connected to a bit line[26,27,28].

BiCS transistors are made out of polycrystalline silicon and have no heavily dopedsource/drain regions between successive control gates. As a result they are slowcompared to standard silicon devices and present a high resistivity in the ON state.The use of polysilicon as channel material increases pass disturbs and reduces theworst case string current. For every doubling in density, the worst case string current isdivided by a factor of two. As a result of the channel being low-mobility polysiliconand the source/drain regions not being heavily doped, the worst case string current,occurring when all cells in a string have high threshold voltage, quickly tends tounacceptably low current values as density increases. To mitigate these problems,single-crystal nanowire BiCS processes have been proposed. Horizontal GAAMOSFETs with a nanowire diameter of 7 nm were used to make SONOS NANDstrings. The single-crystal nature of the devices enabled large programming thresholdvoltage shifts and fast program/erase operation speed. Both the threshold voltage shiftwindow and programming speed improved as the nanowire diameter and tunnel oxidethickness were decreased. A threshold voltage window of 4 Vwas maintained after 104

program/erase cycles and the cells showed an extrapolated retention time of 10 years atroom temperature [29].

If the low current drive problem of BiCS can be solved by using single-crystalnanowires, it still remains difficult, at least in vertical devices, to make source anddrain diffusions aligned to the gate. This issue can be avoided by using junctionless

(a) (b)

Source Line (SL)Select Gates (SG)

Pipe Pipe Gate

Vertical ‘’NA

ND

” po

lysilicon

nan

ow

ire with

gate o

xide

Pipe Gate

CG16

CG17

CG29

CG30

CG31

CG15

CG14

CG02

CG01

CG00

SGSSGD

BL

Bit Line (BL)

SL SL

SL

Co

ntr

ol G

ates

(C

G)

Figure 7.7 Example of BiCS NAND flash memory structure. (a) Cross-section of the device showingmultiple control gates (32 in series). The pipe gate allows 32 transistors to be placed in series usingonly 16 control gate layers. (b) Equivalent circuit [25].

228 Nanowire transistor circuits

Page 245: Nanowire Transistors - CAS

devices. Junctionless devices realized on vertical silicon nanowire GAA structureswith channel length down to 20 nm have been shown to have comparable electricalcharacteristics to those of junction-based nanowire SONOS devices (SS < 70mV/decade, leakage current < 10−12 A and a memory window of 3.2 V with 1 ms pro-gram/erase time). Being free of junctions, the process complexity is significantlyreduced and this device becomes a suitable platform for vertically stacked ultra-highdensity memory applications [30].

Planar NAND flash memory cells have an intrinsic cell area of 4F2 per bit, where F isthe minimum feature size, assuming a pitch of 2F for each printed level. Density can bepushed beyond that limit by using three-dimensional configurations through verticalstacking of cells. A two-level stacked junctionless GAA SONOS memory fabricatedusing a vertical, single-crystal silicon nanowire platform was reported in 2011. TheseSONOS devices have a footprint of 3F2 per bit and a memory string built based on thistechnology has been demonstrated. Each vertical nanowire comprises two GAA gatesstacked on top of one another like two rings on a finger pointing upwards. The top andbottom cells exhibit similar programming speeds and a 3.4 V threshold shift window. Aprogram/erase time of 10 ms was used at +15 V and –18 V program/erase operations,respectively. The memory is able to store 2 bits per vertical nanowire using the fourstates 0 0, 0 1, 1 0, and 1 1, i.e. two states per gate, one bit being stored at the sourceside of the channel (bottom bit or Bbit) and one at the drain side (top bit or Tbit). It isfound that the memory window can be well maintained after 105 s at 25°C for both freshand cycled cells. Endurance testing reveals that 85% of the memory window can bemaintained after 10 years at 125°C [31].

It is also possible to store multiple bits in the gate dielectric of a single-gate device.Operation of a junctionless vertical silicon nanowire GAA SONOS memory(JL-SONOS) with two physical storage nodes per cell was first reported in 2011 [32].In this device, charges are separately stored in the nitride layer near the top/bottom regionof the vertical wire channel, i.e. either near the source or near the drain as shown inFig. 7.8. Measurements of the program/erase speed, endurance and retention revealthat robust 2-bit-per cell storage was achieved. This structure and the vertical nature ofthe transistor relaxes traditional channel length limitations and integration density limita-tions associated with traditional horizontal devices. In addition, the absence of junctionsmakes this device highly manufacturable with low cost and relatively low thermalbudget. The junctionless SONOS devices have an n-type doping concentration of1019 cm−3 and their electrical characteristics are comparable to those of junction-basedSONOS devices. The reported subthreshold slope is below 70 mV/decade andoff-currents are below 1 pA. This is attributed to the small wire diameter and the excellentgate control provided by the GAA architecture. The retention characteristics of thedevices have been tested for 104 program/erase cycles. The programming windowcorresponding to the threshold voltage shift is larger than 3 V for both the top bit (drainside of the channel) and the bottom bit (source side). This window can be well maintainedafter 105 s at 85°C for fresh and cycled cells. The stable subthreshold slope after program/erase cycling indicates minimal damage or build-up of charges in the ONO layer. Theprogramming window and retention characteristics of the device are shown in Fig. 7.9.

7.1 CMOS circuits 229

Page 246: Nanowire Transistors - CAS

GAA junctionless SONOS devices have been demonstrated using vertical nanowiresas well. Such devices have been demonstrated by making homogeneously n+-dopedsilicon GAA nanowire transistors on a bulk substrate with a diameter and a gate length of4 nm and 20 nm, respectively. The junctionless GAA SONOS device shows a high readcurrent (> 10 µA), a large threshold voltage programming window margin (> 6.5 V), anarrow distribution of the erased VTH, and excellent cycle endurance (105 cycles) [33].

Gate

Metal gate

Drain

Tbit Tbit

Bbit

ONO

P-substrate

Bbit

N+

nan

ow

ire

N+

Source

Figure 7.8 Schematics of a vertical silicon nanowire GAA junctionless SONOS flash memory device. Thecharges can be separately stored in the ONO (oxide-nitride-oxide) gate dielectric stack above thetop (Tbit, drain side) and bottom (Bbit, source side) regions of the vertical-wire channel. The wirediameter for the fabricated device is 20 nm, and the gate length is 120 nm. Tunnel oxide, nitride,and top oxide of the ONO structure have a thickness of 5, 7, and 7 nm, respectively [31].

10–1

2

3

4

5

Th

resh

old

vo

ltag

e (V

)

100 101 102 103 104 105

Retention time (s)

Bbit high + Tbit high

Bbit low + Tbit high

Bbit high + Tbit low

Bbit low + Tbit low

106

Figure 7.9 Programming window (threshold voltage) and retention characteristics of the vertical siliconnanowire GAA junctionless SONOS flash memory device shown Fig. 7.8. “Bbit high” means thebottom of the channel is in a high threshold state, “Tbit low” means the top of the channel is in alow threshold state, etc.

230 Nanowire transistor circuits

Page 247: Nanowire Transistors - CAS

For completeness, one should mention that other types of non-volatile memory cellscan be made using nanowire transistors, beside SONOS. Resistive RAM (RRAM)operation has been demonstrated using a 1T–1R (one transistor, one resistor)architecture built on vertical GAA nano-pillar transistors using either junctionless orjunction-based dopings. The transistors were fabricated using fully CMOS compatibletechnology and RRAM cells were stacked onto the tip of the nano-pillars with smallestdiameters of 37 nm achieving a compact 4F2 footprint. It was found that these cells showexcellent switching properties, including ultralow switching current/power, multi-levelstoring ability, good endurance (over 105 program/erase cycles), 10 year retention at85°C, and fast switching time below 50 ns [34]. To explore this topic further, theexcellent book by B. Prince on vertical 3D memory technologies is recommended [35].

7.2 Analog and RF transistors

The benefits of the GAA nanowire architecture for analog applications and the impact ofexcellent control of short-channel effects on analog performance can be understood usingthe example of a single transistor amplifier. Consider a MOSFET used as an amplifier inthe basic common-source configuration. Two important performance indicators of theamplifier/transistor are the open-loop gain (intrinsic gain) Av0 and transition unit-gainfrequency, fT. These are mathematically defined by the following expressions [36]:

Av0 ¼ gm=gD and fT ¼ gm=ð2πCLÞ; ð7:1Þ

where CL is the load capacitance. Define the Early voltage, VEa, and the “normalized”current of a transistor Is as

VEa ¼ ID=gDIS ¼ ID=ðW=LÞ: ð7:2Þ

The intrinsic gain and transition frequency can be rewritten in the following way:

Av0 ¼ gmID

Vea and fT ¼ gmID

ISW=L2πCL

: ð7:3Þ

The two latter expressions highlight the parameter gm/ID, labeled the “transconduc-tance-current ratio.” It is an important performance indicator of a device since itrepresents the ratio of the amplification and speed (gm) to the power dissipated to achievethis amplification and speed (ID). In weak inversion the transconductance-current ratio isintimately related to the subthreshold slope SS since

gmID

¼ dIDdVG

1

ID¼

d�lnðIDÞ

�dVG

¼ lnð10Þd�log10ðIDÞ

�dVG

¼ lnð10ÞSS

: ð7:4Þ

7.2 Analog and RF transistors 231

Page 248: Nanowire Transistors - CAS

The subthreshold slope is itself linked to the body factor n (or body effect coefficient),which represents the efficiency of channel control by the gate through the followingrelationship:

SS ¼ nkTqlnð10Þ: ð7:5Þ

A minimum subthreshold slope (60 mV/dec at room temperature) is achievedwhen the control of the channel potential by the gate is perfect, in which casen = 1. Imperfect coupling leads to values of n larger than unity. In strong inversiongm/ID is given [37] by

gmID

¼ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2μCoxW=L

nID

s: ð7:6Þ

Here again, the low value for n found in GAA devices ensures optimum gm/IDperformance. The benefits provided by the GAA architecture for analog circuits arethus: high values of gm/ID (related to low values of SS) due to the excellent gate control,and large Early voltage (related to low output conductance).

In order to achieve high analog/RF performance, GAA nanowire devices must beoptimized and their parasitics must be reduced to a minimum. A multi-dimensionaldesign optimization method with awareness of process variations and transistor para-sitics such as source and drain resistance was developed by Liu et al. [38]. Analog/RFperformance indicators such as the cutoff frequency, fT, the transconductance-currentratio, gm/ID, the intrinsic gain, gm/gD, and other figures of merit were optimized using theproposed method. Through design optimization, GAA nanowire FETs were shown todeliver higher fT than planar FETs. Some of the critical parameters for analog perfor-mance, such as source/drain resistance/capacitance, are highly process dependent. Theirvalues can be extracted from the S-parameter analysis of 3D simulations of nanowiretransistors [39]. The highest frequency performance ever measured for an MOS tran-sistor was obtained using omega-gate In0.63Ga0.37As nanowire transistors. The nano-wires have a hexagonal section and a minimum width and height of 11 and 25 nm,respectively. Such devices with a gate length of 32 nm have been reported to reach an fTof 280 GHz and an fmax of 312 GHz at VDD = 0.5 V [40].

Because of their low DIBL and low body effect coefficient, GAA nanowire transistorsoffer a high degree of linearity. Linearity can be improved by using junctionlessnanowire devices, which exhibit lower output conductance than inversion mode devicesbecause in the junctionless transistor the saturation channel length is virtually indepen-dent of drain voltage [41]. Increasing channel doping concentration to degenerate levels(1020 cm−3) further improves linearity. Using a heavily doped channel increases drainsaturation velocity to values up to 5 × 107 cm/s in silicon [42]; this high velocity reducesthe pinch-off effect, further reducing drain conductance and improving linearity. As aresult, performance of junctionless nanowire transistors appears to be much better thanthat of short-channel planar MOSFET in terms of RF linearity [43].

232 Nanowire transistor circuits

Page 249: Nanowire Transistors - CAS

An example of performance estimation for an amplifier made with vertical gate-all-around nanowire transistors can be found in [44]. That paper presented theSPICE modeling of nMOS and pMOS vertical GAA FETs with 10 nm channellength and 4 nm channel diameter. The fully depleted BSIMSOI parameters wereextracted from input and output I–V characteristics obtained from 3D numericalsimulations. The distributed RC parasitic of the nMOS and pMOS transistors werecalculated and added to the SPICE models as subcircuits. The low-frequency, high-frequency, small-signal, and large-signal characteristics of the individual nMOS andpMOS GAA FETs were extracted from the numerical simulations. When biased atVDS = 0.5 V and VGS = 0.5 V, the nMOS and pMOS GAA FETs delivered 2 and 0.7μA drain current, 14 and 8 μA/V transconductance, 36 and 25 THz unity-current-gain cutoff frequency (fT), and 120 and 100 THz maximum frequency of oscillation(fmax), respectively. A simple single-stage amplifier (inverter) made with thesetransistors and dissipating 1.64 μW power was shown to have a 500 GHz bandwidthwith a 6.5-fold gain and −24 dBm third-order intermodulation distortion tones for atwo-tone input signal with 10 mV amplitude and 10 GHz frequency spacing. Thelarge-signal operation of the amplifier with 1 V output swing exhibited 2.2 ps delay,5.4 ps rise time, and 4.7 ps fall time, while oscillating at 30 GHz. A differential pairamplifier was designed using the same devices (L = 10 nm, channel diameter =2 nm) with the circuit and layout shown in Fig. 7.10. The amplifier dissipates 5 μWpower and provides 5 THz bandwidth with a voltage gain of 16 and total harmonicdistortion better than 3%. The layout area of the differential pair amplifier is x =136 nm and y = 190 nm. All these parameters indicate that vertical nanowire GAAFETs are promising candidates for realizing next generation high-speed analogintegrated circuits [45].

Vin

VoutVout

Vin

Q1Q2

Q1a

Q1b

Q3

Q4

Q2b

Q5a Q5b

Q2a

Q5

Q3 Q4

VDDVDD

Vbias2

Vbias1

Vbias1

Vbias2

GND

GateDrain contact

Source contactNanowire

(a) (b) (c)

Figure 7.10 Schematics (a) and layout (b) of simple single-state CMOS amplifier made with vertical GAAnanowire transistors; (c) layout of an individual vertical nanowire transistor [44].

7.2 Analog and RF transistors 233

Page 250: Nanowire Transistors - CAS

7.3 Crossbar nanowire circuits

Doped semiconductor nanowires organized in a row and column arrangement can beused to create a matrix-like array of nanodevices. The nanowire crossings can be turnedinto devices such as pn diodes, bipolar junction transistors, and MOSFETs. Usingclassical boron and phosphorous doping impurities, silicon nanowires have successfullybeen used as building blocks to assemble different types of semiconductor nanodevicesand to create logic gates. Passive diode structures can be made by crossing p- and n-typenanowires. These structures exhibit rectifying transport similar to planar pn junctions.Active bipolar transistors consisting of heavily and lightly n-doped nanowires crossing acommon p-type wire base have been made with common base and emitter current gainsas large as 0.94 and 16, respectively. In addition, p- and n-type nanowires have been usedto assemble complementary inverter-like structures [46].

Crossed nanowire pn junctions and junction arrays can be used to create integratednanoscale MOS transistor arrays where the semiconductor nanowires can be used eitheras conducting channels or as gate electrodes. Such nanowire arrays have been configuredas OR, AND, and NOR logic-gate structures with substantial gain. These logic gateshave then been used to implement basic computation functions such as a binary halfadder [47].

Logic gates and circuits using crossed nanowire FETs have been proposed tofabricate “nanoscale application specific integrated circuits” (NASICs). Such cir-cuits consist of an array of crossed nanowires placed in two superimposed layers,one with horizontal nanowires and one with vertical nanowires. The nanowires areused to form both gate and channel material of the FETs. Enhancement-moden-channel devices can be made using a p-type channel, and an n+ gate, source,and drain. Junctionless nanowire FETS can also be used and are easier to fabricatesince they are composed of a uniformly doped n+ nanowire for source, channel, anddrain, and a p+ nanowire for the gate. Using such an arrangement one can obtainpositive threshold voltages and reasonable ON/OFF current ratios. Portions of thenanowires can be transformed from transistors to simple conductors using localizedsilicidation. This localized “defunctionalization” of the FETs can also be used tocreate desired logic functions as shown schematically in Fig. 7.11 in a mannersimilar to programmable logic arrays [48,49,50].

Design methodologies specific for nanowire array-based circuits have been devel-oped. Starting from a functional description of the circuit and using technological data,the physical design of the described function can be generated by placing nanowires,FETs, and connections in a nanoarray arrangement. Each circuit sub-block can besimulated considering resistances, capacitances, and FET currents using a simulatorsuch as SPICE. The example of such a methodology applied to the design of a 2-bit fulladder with horizontal nanowire transistors can be found in the literature [51]. Thecrossbar architecture can also be used with vertical GAA transistors. Crossbar layoutcan be applied to vertical GAA nanowire transistors. Crossbar layout offers the simpli-city in interconnect routing at the penalty of larger area compared to custom design with,

234 Nanowire transistor circuits

Page 251: Nanowire Transistors - CAS

for example, a 45% larger area in the example of a full adder but with similar speed/power performance [52].

Logic gates can also be achieved with crossbar arrays of junctionless nanowire FETs.This approach has been demonstrated by the fabrication of NAND gates and NOR gatesas well as 2 × 2 and 4 × 6 decoders using heavily doped silicon nanowires as bothconductors and transistors. Nanowires with a cross-section of 23 nm (width) × 18 nm(thickness) were used to demonstrate the functionality of such a crossbar array. Thenanowires are locally thinned down to a thickness of 7 nm and gates are placed to formjunctionless transistor channels. This thinning process is needed to make it possible toturn the transistors to an OFF state. The controlled formation of nanoscale constrictionsin junctionless nanowires allows for the formation of high-quality field-effect transistorsthat efficiently modulate the flow of the current in the nanowire. The constrictions actas potential barriers and the height of the barriers can be selectively tuned by gates,making the device concept compatible with the crossbar geometry in order to createlogic circuits [53].

Nanowire transistors with specific gate dielectric stacks can be programmed by chargeinjection and trapping in the gate dielectric. This programming technique, similar to thatused in SONOS flash memory cells can be used to modify the threshold voltage oftransistors in a non-volatile manner. Each nanowire FET (NWFET) node in an array canthus be programmed to be placed in an active or an inactive state, and by mappingdifferent active-node patterns into the array, combinational and sequential logic func-tions can be achieved. As a demonstration of this concept, Ge/Si core/shell nanowirescoupled with an Al2O3–ZrO2–Al2O3 dielectric stack have been used to fabricate

(a) (b) (c) (d)

hev

aV

DD

INPUTS

OUTPUTS

veva

vpreVDD

GN

Dh

pre

GND

Figure 7.11 NASIC manufacturing pathway with junctionless crossbar FETs and “grid-first” assembly. (a)Formation of a nanowire array with n-type horizontal nanowires and p-type vertical nanowires.(b) Lithography mask to protect regions of vertical nanowires from silicide formation. (c)Silicidation of portions of the top nanowires to avoid the formation of transistors at certain crosspoints (the grey portions of the vertical nanowires are now transformed into silicide). (d)Junctionless NASIC 1-bit full adder circuit with contacts “heva,” “veva,” “hpre,” and “vpre,”which stand for horizontal evaluation gate, vertical evaluation gate, horizontal pre-charge gate,and vertical pre-charge gate, respectively. The transistors whose channels are made using thehorizontal nanowires are n-channel devices and thosemade in the vertical nanowires are p-channeldevices [47].

7.3 Crossbar nanowire circuits 235

Page 252: Nanowire Transistors - CAS

non-volatile nanowire field-effect transistors with programmable threshold voltagesand with the capability to drive cascaded elements. The devices were integrated todefine a logic tile consisting of two interconnected arrays with 496 functional configur-able FET nodes. The logic tile was programmed and operated first as a full adder with amaximal voltage gain of ten and input–output voltage matching. Afterwards the samelogic tile was reprogrammed and used to demonstrate full-subtractor, multiplexer,demultiplexer, and clocked D-latch functions. This programmability feature opens thedoor to promising new circuit architectures for low-power application-specific nanoe-lectronic processors [54].

In 2014, a rudimentary nanocomputer built from an array of 15 nanometer-wide core-shell germanium-silicon nanowire was reported in the press [55]. This nanocomputer isa nanoelectronic finite-state machine built through modular design using a multi-tilearchitecture. Each tile/module consists of two interconnected crossbar nanowire arrayswith each crossing-point consisting of a programmable nanowire transistor node. Thenanoelectronic finite-state machine integrates 180 programmable nanowire transistornodes in three tiles or six total crossbar arrays and incorporates both sequential andarithmetic logic, with extensive inter-tile and intra-tile communication that exhibitsrigorous input/output matching. The system realizes the complete 2-bit logic flow andclocked control over state registration that are required for a finite-state machine orcomputer. The flexibility of the technology was exemplified by reprogramming thecircuit to a functionally distinct 2-bit full adder with 32-set matched and completelogic output. This constitutes the most advanced crossbar nanowire circuit to date[56]. At the design level, computer tools are being developed to predict logic behavior,defect-induced output error rate assessment, switching activity, power, and timingperformance, as well as to improve fault tolerance [57,58].

Programmability of nanowire transistors and circuits can be further improved usingthe approach described by de Marchi et al. in 2012 [59,60] in which the polarity, i.e.either n-channel or p-channel behavior, of a GAA nanowire FET can be changed atwill. This ambipolar silicon nanowire (SiNW) FET features two independent gate-all-around electrodes and vertically stacked SiNW channels. One gate electrode, identi-fied as the “polarity gate” enables dynamic configuration of the device polaritybetween n- or p-type, while the other gate labeled the “control gate” acts as a regularMOSFET gate and is used to switch the device ON and OFF. Measurement results onsilicon show an ION/IOFF ratio larger than 106 and a subthreshold slope of 64 mV/dec(70 mV/dec) for p (n)-type operation within the same device. Furthermore, theexclusive or (XOR) operation is embedded in the device characteristics, which allowsone to make an XOR gate with only two transistors. In this device the silicon nanowireis basically undoped. The “polarity gate” (PG) is located on both sides of the controlgate in close proximity to source and drain Schottky junctions. If a negative voltage isapplied to the PG, those parts of the nanowire covered by the PG are filled with holesand become field-induced p-type source and drain. Conversely, applying a positivebias to the PG accumulates electrons near the Schottky contacts and creates field-induced n-type source and drain. The control gate is then used as in a regular MOSFETto establish a channel between the field-induced source and drain or to turn it ON or

236 Nanowire transistor circuits

Page 253: Nanowire Transistors - CAS

OFF. The basic structure and device operation are shown in Fig. 7.12. The range ofapplied voltage ranges for the PG and CG are comparable. Digital circuits using thesetransistors can therefore exploit both gates as logic inputs, enabling the design ofcompact cells that implement XOR more efficiently than in CMOS. The ability of asingle double-gate nanowire FETwith in-field polarity control to implement the XORfunction enables several applications and advantages in logic circuit design. As analternative, the substrate of an SOI wafer can be used as back gate to act as polarityelectrode [61]. It is also possible to select the polarity of a nanowire transistor by usinga single polarity gate at the source side of the channel [62,63,64].

7.4 Input/output protection devices

The input/output (I/O) transistors of an integrated circuit are exposed to the outsideworld and can experience electrostatic discharges that would normally “kill” a regulartransistor. For example, a person touching the I/O pin of an integrated circuit coulddeliver a spike of electrostatic electricity. The amplitude of the voltage spike might reachseveral thousand volts for a short period of time. The Human Body Model (HBM)represents the electrostatic discharge delivered by someone touching an I/O pin by a100 pF capacitor holding the electrostatic voltage. The electrostatic discharge is deliv-ered to the I/O transistors through a 1.5 kΩ resistor representing the average resistance ofa person handling an integrated circuit.

To prevent electrostatic discharge (ESD) induced damages from occurring in inte-grated circuits, it is essential to develop and implement ESD protection structures. Aneffective way to protect the electronics system against an ESD event is to incorporatean ESD protection structure on the microchip to increase the survivability of the corecircuit when an ESD event occurs. These protections are usually made out of diodes orthyristor-like devices that clamp the input/output voltage to values within the GND–VDD

voltage bracket. In a diode-based ESD protection, negative voltage spikes are shorted

S

(a) Control Gate (b) S PG CG PG DVPG = High N-channel

Turned off

N-channelTurned off

N-channelTurned on

N-channelTurned on

VCG = Low

VPG = HighVCG = High

VPG = LowVCG = Low

VPG = LowVCG = High

D

Polarity Gates

Figure 7.12 (a) Ambipolar silicon nanowire (SiNW) FET featuring a control gate and two polarity gates.(b) Conceptual band diagrams for the device. Four cases are shown, describing the fourcombinations of high/low bias for the polarity gate and control gate of the device.

7.4 Input/output protection devices 237

Page 254: Nanowire Transistors - CAS

to GND by a diode and positive voltage spikes are clamped to VDD by a second diode.These ESD protection devices need to be in a high-impedance state during the normalsystem operation and must be turned on quickly when the ESD event takes place so thatthe current generated can be conducted by the protection devices and discharged to theground or supply rail. It is also important that the ESD protection devices not bedamaged by the ESD stress and return to a high-impedance state after the ESD eventhas occurred [65].

There is, to date, very little published literature on ESD testing of nanowiredevices although there is a publication appearing in 2009 by Liu et al. reportingthe results of ESD testing of polysilicon nanowire FETs [66]. The transistors weretested in the diode configuration (drain tied to gate) using a transmission linepulsing technique. It was found that ESD robustness of these devices depends onthe nanowire dimension, number of nanowires in parallel, and layout topology: ahigher ESD robustness can be obtained by decreasing the channel length andincreasing the number of nanowires placed in parallel. For devices having a fixednumber of parallel nanowires, improved ESD robustness and smaller area consump-tion can be achieved using a multiple drain/source layout. GAA nanowire transistorswith diameter of 10 nanometers and a gate oxide thickness of 5 nm exhibit an HBMESD tolerance of only 435 V, a level much lower than that of typical bulkMOSFETs and that of the industry ESD standards for commercial applications.SEM/TEM failure analysis proves that the poor heat conduction properties of theSOI structure and the very small section of the nanowire channels are the probablecauses of vulnerability to ESD stress. On the other hand, the nanowire devicespresent several favorable features: the floating body enables no-snapback I–Vcharacteristic and low holding voltages, and the use of multi-finger (drain andsource) and multi-nanowire layouts improves area efficiency. Furthermore, theESD robustness of GAA nanowire FETs is superior to that of FinFETs in termsof the failure current and trigger voltage [67].

7.5 Chemical and biochemical sensors

In some applications, frequently called “More than Moore” applications, it is suitable tocombine pure microelectronic circuitry with a layer of devices such as sensors oractuators to create compact monolithic systems with high levels of functionality. Forexample, it can be contemplated making intelligent, implantable medical biosensors thatcan be remotely accessed to monitor outpatients at home instead of them having toremain in a hospital environment. Nanowire-based biosensors are actively being devel-oped to detect early warning signs of a variety of medical conditions such as heartdisease or cancer therapy [68], for real time monitoring of blood sugars for diabetes,amongst others.

Semiconductor nanowires and nanowire transistors are particularly well adapted tothe fabrication of chemical or biochemical sensors for several reasons:

238 Nanowire transistor circuits

Page 255: Nanowire Transistors - CAS

1. Nanowires have an extremely high surface-to-volume ratio, which is ideal forsensing minute quantities of a chemical compound [69].

2. The liquid–solid interface of a nanowire transistor has a strong influence on theelectronic properties of the nanowire and the presence of electrically chargedmolecules can easily be detected through changes in nanowire resistivity.

3. It is possible to create dense arrays of nanowire sensors and interconnect them toreadout electronics.

4. Nanowire sensors can be re-usable [70].5. Silicon nanowire sensor devices are compatible with CMOS processing and can,

therefore, offer biomedical and biochemical “system-on-chip” (SoC) solutions[71,72,73,74,75,76].

Furthermore, the use of top-down silicon fabrication techniques can insure wafer-scale device integration and repeatable device fabrication based on reliable processtechniques established over the years by the semiconductor industry.

The general principle behind the operation of nanowire transistor detection of achemical species is the creation of electric charges at the surface of the nanowire.These charges modulate the conductivity of the wire in such a way that a variation ofcurrent can be measured. Calibration techniques are used to establish a correlationbetween the variation of current and the concentration of the chemical species to bemeasured. The channel of the nanowire transistor can be covered by a gate dielectricor/and by “functionalizing molecules,” or “linkers” that are synthesized to selectivelylink to molecules of the species to be detected as shown in Fig 7.13 [77].

Since the detection takes place in the channel region, the nanowire cannot be fullysurrounded by a gate stack, in order to allow a portion of the sensitive channel region tobe exposed to the ambient. This is usually done using one of the following methods:

1. Back gating technique: One can use a back gate such as the silicon substrate under-neath the buried oxide in the case of an SOI nanowire to modulate the current in thedevice while most of the channel surface area is exposed to the ambient [78]. Usingthis approach a circuit consisting of 36 clusters of five individually addressable

Target species

Linker

Dielectric

Semiconductor

Figure 7.13 Functionalization of a nanowire using a linker.

7.5 Chemical and biochemical sensors 239

Page 256: Nanowire Transistors - CAS

nanowires each and an integrated silicon nanowire array biosensor has been demon-strated. The device is capable of sensing 1 fg/ml of human cardiac troponin-T (a keyprotein biomarker that is present in elevated concentrations in the bloodstream ofpatients suffering from acute myocardial infarction, or “heart attack”) in an assaybuffer solution, as well as 30 fg/mL in an undiluted serum environment. Theconductance changes of the individual nanowires are obtained through direct elec-trical measurement. This array chip can detect ultralow concentrations of biomarkersin human serum solutions, where the total protein concentration exceeds the mini-mum detectable concentration of the target biomolecule by approximately 12 ordersof magnitude, demonstrating the high sensitivity and rapid response of siliconnanowire technology for biomedical applications [79].

2. Liquid gating technique: Gating can be achieved through use of a liquid electrolyte inwhich the species to detect is dissolved or suspended. An example of this detectiontechnique can be found in [80] where complementary silicon nanowire pH sensorswere made on a 150 mm silicon-on-insulator wafer using a conventional wafer-leveltop-down process. One nanowire has an n-type channel and the other has a p-typechannel and they are mounted in a standard CMOS inverter configuration. Themeasured output quantity is the output voltage of the inverter. The nanowire surfaceswere functionalized using 3-aminopropyl-triethoxysilane in order to obtain an amine(-NH2) surface that can selectively respond to the presence of hydrogen ions. Theliquid gate reference electrode consists of a 0.1 M potassium phosphate buffersolution with pH values ranging from 5 to 9 and connected to an Ag/AgCl referenceelectrode. The resulting sensors exhibit an output voltage variation of 162 mV/pH fora supply voltage VDD = 1 V. Many nanowires can be vertically stacked to increasesensitivity and performance [81].

3. Vacuum-gap gate technique: In this case the gate can be all-around, but there is nosolid-state gate dielectric – the “dielectric” is a vacuum or air gap between thenanowire and the gate electrode. Molecules entering the gap region can be detectedthrough a change of permittivity of the ambient in the gap, and thus a change ofelectrical characteristics of the transistor [82].

4. Floating gate technique: One can use a functionalized floating gate electrode locatednext to a control electrode, which can also be functionalized to simplify the fabrica-tion process. When the target molecules bind to the linkers on the gate electrodes, theoverall dielectric constant of the material in the spacing between the control gate andthe floating gate is modified, and the current in the nanowire transistor showsmeasurable variations. A protein sensor based on this floating gate sensing techniqueintegrated into a nano-interdigitated array was first demonstrated in 2009 [83]. Thesensor is able to detect the binding reaction of a typical antibody Ixodes ricinusimmunosuppressor (anti-Iris) protein at a concentration lower than 1 ng/ml andexhibits a high selectivity and reproducible specific detection. The sensor detectionlimit can be improved by optimizing the geometrical parameters of array such asnanowire width and height, inter-wire distance, as well as the gate oxide thickness.This type of nanobiosensor, with real-time and label-free capabilities, can easily beused for the detection of other proteins, DNA, virus and cancer markers. Moreover,

240 Nanowire transistor circuits

Page 257: Nanowire Transistors - CAS

on-chip associated electronics nearby the sensor can be integrated since its fabrica-tion is compatible with complementary metal oxide semiconductor (CMOS)technology.

As a general rule, the sensitivity of a nanowire sensor increases when reduceddoping, at smaller diameters and shorter channel lengths, is used. For maximumsensitivity, the sensors should be operated in the depletion mode; that is, the dopingof the sensor and the molecule should have the same polarity [84]. It is, however, worthpointing out that nanowire transistors with high doping concentration can achieve highsensitivity, provided they are operated in the subthreshold region (i.e. in depletion);backgated junctionless nanowire transistors have demonstrated very high sensitivity tosensing pH levels. When such a device operates in the subthreshold region, it exhibits3 orders of magnitude difference in current, responding to pH values changing from4 to 7 to 10 as shown in Fig. 7.14 [85]. Nanowire sensors can also be made sensitive toionic concentrations on pH-neutral solutions such as that obtained by diluting phtha-late in buffered Fisher pH 7 solution described in [86] with the detection of thedifferent pH levels shown in Fig. 7.15.

Detection sensitivity can be improved by increasing the number of sensing nanowires.The most sensitive nanowire sensor reported so far consists of a 3D array of verticallystacked horizontal silicon nanowire field-effect transistors. The array contains 140 fullydepleted and ultra-thin (15 to 30 nm) suspended channels. The channels are covered by athin gate dielectric. The nanowire conductivity can be controlled by either a referenceelectrode or by three local gates: a back gate (an SOI wafer was used in this experiment)and two symmetrical metal side-gates, which offers unique sensitivity tuning opportu-nities. The nanowires were functionalized using (3-Aminopropyl)-triethoxysilane(APTES) and were biotynilated for pH and streptavidin (protein) sensing, respectively.These nanowire arrays are able to measure a streptavidin concentration of 17 aM(attomoles), which is the lowest reported in literature to date. When operated in the

1.E-05

1.E-06

1.E-07

1.E-08

1.E-09

Dra

in c

urr

ent

(A)

1.E-10

1.E-110 500

pH 4

pH 4pH 4

pH 4

pH 7

pH 7pH 10pH 10

1000 1500 2000Time (s)

2500 3000

Figure 7.14 Drain current variation with pH level at VDD = 1 V, VBG = 0 V, for a back-gated siliconnanowire transistor of 20 nm width and 1 μm length. After [84].

7.5 Chemical and biochemical sensors 241

Page 258: Nanowire Transistors - CAS

subthreshold regime, the devices functionalized with APTES show an extremely highsensitivity (ΔID/pH) of ~0.70 decade/pH [87].

7.6 Summary

This chapter presents a survey of the application of nanowires in circuit and sensorapplications. The use of nanowires in novel circuit configurations and the performanceof nanowire transistors in logic, analog, and RF circuit has been highlighted for selectapplications as well as SRAM and flash memory cells. The use of nanowire devices isparticularly well suited to new circuit architectures such as crossbar circuits and“nanoscale application specific integrated circuits” (NASICs). The large surface area-to-volume ratio of nanowires provides many advantages for sensing minute amounts ofchemicals and biochemicals. Applications of nanowires with detection sensitivity as lowas a few tens of attomoles are reported in the literature. “Nanowire transistors” in theform of FinFETs are already in production at the most advanced nanoelectronicsfabrication sites, and as gate-all-around configurations and new device architecturesbecome available, most advanced circuitry will become based on these novel structures.Their ability to act as switches, logic gates, memory cells, and sensors based onconfiguration and processing, enables new circuit architectures and systems that canbe readily integrated together for new and not yet thought of applications.

References

[1] A. Fadavi Roudsari et al., “Junction-less phototransistor with nanowire channels, amodeling study,” Optics Express, vol. 22, no. 10, pp. 12573–12582 (2014)

1.E-07

1.E-08

1.E-09

Dra

in c

urr

ent

(A)

1.E-10

1.E-11

1.E-120 1000

Dry Dry DryDryDry

Relative buffer concentration

125 12525

5

1

125

2000 3000 4000Time (s)

5000

Figure 7.15 Time dependence of the drain current ID demonstrating the sensitivity of back-gated nanowiretransistors to phthalate diluted at different concentrations in buffered Fisher pH 7 solutions.After [85].

242 Nanowire transistor circuits

Page 259: Nanowire Transistors - CAS

[2] T. Ernst et al., “Ultra-dense silicon nanowires: a technology, transport andinterfaces challenges insight,” Microelectronics Engineering, vol. 88,pp. 1198–1202 (2011)

[3] Y. Liu et al., “Design optimization for digital circuits built with gate-all-aroundsilicon nanowire transistors,” IEEE Transactions on Electron Devices, vol. 59,no. 7, pp. 1844–1850 (2012)

[4] J.W. Sleight et al., “Gate-all-around silicon nanowire MOSFETs and circuits,”Proceedings Device Research Conference (DRC), pp. 269–272 (2010)

[5] K.J. Kuhn et al., “Process technology variation,” IEEE Transactions on ElectronDevices, vol. 58, no. 8, pp. 2197–2208 (2011)

[6] A. Bindal et al., “The design of dual work function CMOS transistors and circuitsusing silicon nanowire technology,” IEEE Transactions on Nanotechnology,vol. 6, no. 3, pp. 291–302 (2007)

[7] A. Bindal, S. Hamedi-Hagh, “Exploratory study on power-efficient silicon nano-wire dynamic NMOSFET/PMESFET logic,” IET Science, Measurement andTechnology, vol. 1, no. 2, pp. 121–130 (2007)

[8] S. Sato et al., “Electrical characteristics of asymmetrical silicon nanowire field-effect transistors,” Applied Physics Letters, vol. 99, pp. 223518/1–3 (2011)

[9] K. Sakui, T. Endoh, “A new vertical MOSFET ‘vertical logic circuit (VLC)MOSFET’ suppressing asymmetric characteristics and realizing an ultra compactand robust logic circuit,” Solid-State Electronics, vol. 54, pp. 1457–1462 (2010)

[10] S. Venugopalan et al., “Modeling intrinsic and extrinsic asymmetry of 3D cylind-rical gate/ gate-all-around FETs for circuit simulations,” Proceedings 11th Non-Volatile Memory Technology Symposium (NVMTS), pp. 1–4 (2011)

[11] S. Hamedi-Hagh, A. Bindal, “Spice modeling of silicon nanowire field-effecttransistors for high-speed analog integrated circuits,” IEEE Transactions onNanotechnology, vol. 7, no. 6, pp. 766–775 (2008)

[12] S.Maheshwaram et al., “Device circuit co-design issues in vertical nanowire CMOSplatform,” IEEE Electron Device Letters, vol. 33, no. 7, pp. 934–936 (2013)

[13] S. Maheshwaram et al., “Vertical nanowire CMOS parasitic modeling and itsperformance analysis,” IEEE Transactions on Electron Devices, vol. 60, no. 9,pp. 2943–2949 (2013)

[14] X. Song et al., “Impact of DIBL variability on SRAM static noise margin analyzedby DMA SRAM TEG,” Technical Digest of International Electron DeviceMeeting (IEDM), pp. 62–65 (2010)

[15] Y. Li, C.-S. Lu, “Characteristic comparison of SRAM cells with 20 nm planarMOSFET, omega FinFET and nanowire FinFET,” Proceedings of the 6th IEEEConference on Nanotechnology (IEEE-NANO), pp. 339–342 (2006)

[16] K. Nayak et al., “CMOS logic device and circuit performance of Si gate all aroundnanowire MOSFET,” IEEE Transactions on Electron Devices, vol. 61, no. 9,pp. 3066–3074 (2014)

[17] S.D. Suk et al., “TSNWFET for SRAM cell application: performance variationand process dependency,”Proceedings Symposium on VLSI Technology, pp. 38–39(2008)

[18] A.K. Rashmi, A. Kranti, G.A. Armstrong, “Insights into gate-underlap design indouble gate based 6-T SRAM cell for low voltage applications,” ProceedingsIEEE International SOI Conference, pp. 61–62 (2008)

References 243

Page 260: Nanowire Transistors - CAS

[19] A. Kranti et al., “Junctionless 6T SRAM cell,” Electronics Letters, vol. 46, no. 22,pp. 1491–1493 (2010)

[20] Y.-B. Liao et al., “Assessment of structure variation in silicon nanowire FETs andimpact on SRAM,” Microelectronics Journal, vol. 43, pp. 300–304 (2012)

[21] K.D. Buddharaju et al., “Gate-all-around Si-nanowire CMOS inverter logic fab-ricated using top-down approach,” Proceedings of European Solid-State DeviceResearch Conference (ESSDERC), pp. 303–306 (2007)

[22] P. Zheng et al., “Variation-aware comparative study of 10-nmGAAversus FinFET6-T SRAM performance and yield,” IEEE Transactions on Electron Devices(2014), DOI: 10.1109/TED.2014.2360351

[23] M.-F. Tsai et al., “Design and optimization of 6T SRAM using vertically stackednanowire MOSFETs,” Proceedings of International Symposium on VLSITechnology, Systems and Applications (VLSI-TSA), pp. 139–140 (2013)

[24] A. Bindal and S. Hamedi-Hagh, “Silicon nano-wire transistors and their applica-tions for the future of VLSI: an exploratory design study of a 16×16 static randomaccess memory using silicon nanowire transistors,” Journal of Nanoelectronicsand Optoelectronics, vol. 2, no. 3, pp. 294–303 (2007)

[25] H. Na, T. Endoh, “A compact half select disturb free static random access memorycell with stacked vertical metal–oxide–semiconductor field-effect transistor,”Japanese Journal of Applied Physics, vol. 51, pp. 02BD03.1–8 (2012)

[26] T. Maeda et al., “Multi-stacked 1G cell/layer pipe-shaped BiCS flash memory,”Symposium on VLSI Technology Digest of Technical Papers, pp. 22–23 (2009)

[27] J. Jang et al., “Vertical cell array using TCAT (terabit cell array transistor)technology for ultra high density NAND flash memory,” Symposium on VLSITechnology Digest of Technical Papers, pp. 192–193 (2009)

[28] W. Kim et al., “Multi-layered vertical gate NAND flash overcoming stacking limitfor terabit density storage,” Symposium on VLSI Technology Digest of TechnicalPapers, pp. 188–189 (2009)

[29] K.H. Yeo et al., “Gate-all-around single silicon nanowire MOSFET with 7 nmwidth for SONOS NAND flash memory,” Symposium on VLSI Technology Digestof Technical Papers, pp. 138–139 (2008)

[30] Y. Sun et al., “Junction-less stackable SONOS memory realized on vertical-Si-nanowire for 3-D application,” Proceedings of International Symposium on VLSITechnology, Systems and Applications (VLSI-TSA), pp. 154–155 (2011)

[31] Y. Sun et al., “Demonstration of memory string with stacked junction-less SONOSrealized on vertical silicon nanowire,” Technical Digest of International ElectronDevice Meeting (IEDM), pp. 223–226 (2011)

[32] Y. Sun, H.Y. Yu, N. Singh, K.C. Leong, G.Q. Lo, D.L. Kwong, “Junctionlessvertical-Si-nanowire-channel-based SONOS memory with 2-bit storage per cell,”IEEE Electron Device Letters, vol. 32, no. 6, pp. 725–727 (2011)

[33] S.-J. Choi et al., “A novel junctionless all-around-gate SONOS device with aquantum nanowire on a bulk substrate for 3D stack NAND flash memory,”Symposium on VLSI Technology Digest of Technical Papers, pp. 74–75 (2011)

[34] X.P.Wang et al., “Highly compact 1T-1R architecture (4F2 footprint) involving fullyCMOS compatible vertical GAA nano-pillar transistors and oxide-based RRAMcells exhibiting excellent NVMproperties and ultra-low power operation,” TechnicalDigest of International Electron Device Meeting (IEDM), pp. 493–496 (2012)

244 Nanowire transistor circuits

Page 261: Nanowire Transistors - CAS

[35] B. Prince, Vertical 3D Memory Technologies, Wiley (2014)[36] A. Vandooren, J.P. Colinge, D. Flandre, “Gate-all-around OTA’s for rad-hard and

high-temperature analog applications,” IEEE Transactions on Nuclear Science,vol. 46, no. 4, pp. 1242–1249 (1999)

[37] J.P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI, 3rd edn.,Springer, p. 182 and pp. 299–231 (2004)

[38] Y. Liu et al., “Improving analog/RF performance of multi-gate devices throughmulti-dimensional design optimization with awareness of variations and parasi-tics,” Technical Digest of International Electron Device Meeting (IEDM),pp. 339–342 (2012)

[39] S. Shin, I.M. Kang, K.R. Kim, “Extraction method for substrate-related compo-nents of vertical junctionless silicon nanowire field-effect transistors and itsverification on radio frequency characteristics,” Japanese Journal of AppliedPhysics, vol. 51, pp. 06FE20/1–7 (2012)

[40] C.B. Zota et al., “Radio-frequency characterization of selectively regrown InGaAslateral nanowire MOSFETs,” IEEE Transactions on Electron Devices (2014),DOI: 10.1109/TED.2014.2363732

[41] R.T. Doria et al., “Junctionless multiple-gate transistors for analog applications,”IEEE Transactions on Electron Devices, vol. 58, no. 8, pp. 2511–2519 (2011)

[42] M.L.P. Tan et al., “The drain velocity overshoot in an 80 nm metal–oxide–semiconductor field effect transistor,” Journal of Applied Physics, vol. 105,no. 7, pp. 074503–1-7 (2009)

[43] T. Wang, L. Lou, C. Lee, “A junctionless gate-all-around silicon nanowire FET ofhigh linearity and its potential applications,” IEEE Electron Device Letters,vol. 34, no. 4, p. 478 (2013)

[44] S. Hamedi-Hagh, A. Bindal, “Spice modeling of silicon nanowire field-effecttransistors for high-speed analog integrated circuits,” IEEE Transactions onNanotechnology, vol. 7, no. 6, pp. 766–775 (2008)

[45] S. Hamedi-Hagh et al., “Design of next generation amplifiers usingnanowire FETs,” Journal of Electrical Engineering & Technology, vol. 3,no. 4, pp. 566–570 (2008)

[46] Y. Cui, C.M. Lieber, “Functional nanoscale electronic devices assembledusing silicon nanowire building blocks,” Science, vol. 291, no. 5505,pp. 851–853, 2001

[47] Yu Huang et al., “Logic gates and computation from assembled nanowire buildingblocks,” Science, vol. 294, no. 9, pp. 1313–1317 (2001)

[48] P. Narayanan et al., “Integrated nanosystems with junctionless crossed nanowiretransistors,”Proceedings 11th IEEE International Conference on Nanotechnology,pp. 845–848 (2011)

[49] C.O. Chuia et al., “Heterogeneous integration of epitaxial nanostructures –Strategies and application drivers,” in Nanoepitaxy: Materials and Devices IV,Edited by Nobuhiko P. Kobayashi, A.A. Talin, M.S. Islam, Proceedings of SPIE,vol. 8467, p. 84670R (2012)

[50] C.A. Moritz, P. Narayanan, C.O. Chui, “Nanoscale application specific integratedcircuits,” in Nanoelectronic Circuit Design, N.K. Jha and D. Chen, eds., Springer,pp. 215–275 (2011)

References 245

Page 262: Nanowire Transistors - CAS

[51] S. Frachel et al., “Silicon nanoarray circuits design, modeling, simulation andfabrication,” Proceedings 12th IEEE International Conference onNanotechnology (IEEE-NANO), pp. 1–5 (2012)

[52] A. Bindal, S. Hamedi-Hagh, “Static NMOS circuits for crossbar architecturesusing silicon nano-wire technology,” Semiconductor Science and Technology,vol. 22, pp. 54–64 (2007)

[53] F. Vaurette et al., “Confinement-modulated junctionless nanowire transistors forlogic circuits,” Nanoscale, vol. 6, pp. 13446–13450 (2014)

[54] H. Yan et al., “Programmable nanowire circuits for nanoprocessors,” Nature,vol. 470, no. 10, pp. 240–244 (2011)

[55] http://spectrum.ieee.org/computing/hardware/rudimentary-computer-built-from-nanowires

[56] J. Yao et al., “Nanowire nanocomputer as a finite-state machine,” Proceedings ofthe National Academy of Sciences of the United States of America (PNAS), vol.111, no. 7, pp. 2431–2435 (2014) DOI: 10.1073/pnas.1323818111

[57] S. Frache, M. Graziano, M. Zamboni, “Nanoarray architectures multilevel simula-tion,” ACM Journal on Emerging Technologies in Computing Systems, vol. 10,no. 1, pp. 6:2–6:20 (2014)

[58] P. Ranone et al., “Fault tolerant nanoarray circuits: automatic design and verifica-tion,” Proceedings of 2014 IEEE 32nd VLSI Test Symposium (VTS), (2014)

[59] M. De Marchi et al., “Polarity control in double-gate, gate-all-around verticallystacked silicon nanowire FETs,” Technical Digest of International Electron DeviceMeeting (IEDM), pp. 183–186 (2012)

[60] M. De Marchi et al., “Configurable logic gates using polarity-controlled siliconnanowire gate-all-around FETs,” IEEE Electron Device Letters, vol. 35, no. 8,pp. 880–882 (2014)

[61] U. Schwalke, T. Krauss, F. Wessely, “Dopant-free CMOS on SOI: multi-gateSi-nanowire transistors for logic and memory applications,” ElectrochemicalSociety (ECS) Transactions, vol. 53, no. 5, pp. 105–114 (2013)

[62] A. Heinzig et al., “Reconfigurable silicon nanowire transistors,” Nano Letters,vol. 12, no. 1, pp. 119–124 (2012)

[63] A. Heinzig et al., “Dually active silicon nanowire transistors and circuits with equalelectron and hole transport,” Nano Letters, vol. 13, no. 9, pp. 4176–4181 (2013)

[64] J. Trommer et al., “Elementary aspects for circuit implementation ofreconfigurable nanowire transistors,” IEEE Electron Device Letters, vol. 35,no. 1, pp. 141–143 (2014)

[65] J.J. Liou, “Challenges of electrostatic discharge (ESD) protection in siliconnanowire technology,” Proceedings of the 28th International Conference onMicroelectronics (MIEL), pp. 11–13 (2012)

[66] W. Liu et al., “Electrostatic discharge robustness of Si nanowire field-effecttransistors,” IEEE Electron Device Letters, vol. 30, no. 9, p. 969 (2009)

[67] W. Liu et al., “Evaluation of nanowire field-effect transistors for electrostaticdischarge (ESD) applications,” 17th IEEE International Symposium on thePhysical and Failure Analysis of Integrated Circuits (IPFA), pp. 1–5 (2010)

[68] H.-C. Chen et al., “Magnetic-composite-modified polycrystalline-silicon nano-wire field-effect transistor for vascular endothelial growth factor detection andcancer diagnosis,” Analytical Chemistry, vol. 86, no. 19, pp. 9443–9450 (2014)

246 Nanowire transistor circuits

Page 263: Nanowire Transistors - CAS

[69] M.-Y. Shen, B.-R. Li, Y.-K. Li, “Silicon nanowire field-effect-transistor basedbiosensors: from sensitive to ultra-sensitive,” Biosensors and Bioelectronics,vol. 60, pp. 101–111 (2014)

[70] M.-Y. Chen, B.-R. Li, Y.-T. Chen, “Silicon nanowire field-effect transistor-basedbiosensors for biomedical diagnosis and cellular recording investigation,” NanoToday, vol. 6, pp. 131–154 (2011)

[71] Y.M. Georgiev et al., “Fully CMOS-compatible top-down fabrication of sub-50 nmsilicon nanowire sensing devices,”Microelectronic Engineering, vol. 118, pp. 47–53(2014)

[72] A. Gao et al., “CMOS-compatible silicon nanowire based field-effect pH sensor,”International Conference on Manipulation, Manufacturing and Measurement onthe Nanoscale (3M-NANO), pp. 113–116 (2012)

[73] E. Stern et al., “Label-free immunodetection with CMOS-compatible semicon-ducting nanowires,” Nature, vol. 445, pp. 519–522 (2007)

[74] T.-S. Pui et al., “CMOS-compatible nanowire sensor arrays for detection ofcellular bioelectricity,” Small, vol. 5, no. 2, pp. 208–212 (2009)

[75] P. Ginet et al., “CMOS-compatible fabrication of top-gated field-effect transistorsilicon nanowire-based biosensors,” Journal of Micromechanics andMicroengineering, vol. 21, no. 6, p. 065008 (2011)

[76] E. Buitrago et al., “The top-down fabrication of a 3D-integrated, fully CMOS-compatible FET biosensor based on vertically stacked SiNWs and FinFETs,”Sensors and Actuators B: Chemical, vol. 193, pp. 400–412 (2014)

[77] X. Zhao et al., “One-dimensional nanostructure field-effect sensors for gas detec-tion,” Sensors, vol. 14, pp. 13999–14020 (2014)

[78] E. Buitrago et al., “Junctionless silicon nanowire transistors for the tunableoperation of a highly sensitive, low power sensor,” Sensors and Actuators B,vol. 183, pp. 1–10 (2013)

[79] J.H. Chua et al., “Label-free electrical detection of cardiac biomarker with com-plementary metal-oxide semiconductor-compatible silicon nanowire sensorarrays,” Analytical Chemistry, vol. 81, pp. 6266–6271 (2009)

[80] J. Lee et al., “Complementary silicon nanowire hydrogen ion sensor with highsensitivity and voltage output,” IEEE Electron Device Letters, vol. 33, no. 12,pp. 1768–1170 (2012)

[81] E. Buitrago et al., “Electrical characterization of high performance, liquid gatedvertically stacked SiNW-based 3D FET biosensors,” Sensors and Actuators B:Chemical, vol. 199, pp. 291–300 (2014)

[82] R. Gautam et al., “Numerical model of gate-all-around MOSFET with vacuumgate dielectric for biomolecule detection,” IEEE Electron Device Letters, vol. 33,no. 12, pp. 1756–1758 (2012)

[83] X. Tang et al., “Direct protein detection with a nano-interdigitated array gateMOSFET,” Biosensors and Bioelectronics, vol. 24, pp. 3531–3537 (2009)

[84] P.R. Nair, M.A. Alam, “Design considerations of silicon nanowire biosen-sors,” IEEE Transactions on Electron Devices, vol. 54, no. 12, pp. 3400–3408 (2007)

[85] R. Yu et al., “Si junctionless transistor for sensing application: subthreshold regionsensor,” Proceedings EUROSOI Conference (2013)

References 247

Page 264: Nanowire Transistors - CAS

[86] Y.M. Georgiev et al., “Fully CMOS-compatible top-down fabrication of sub-50 nmsilicon nanowire sensing devices,”Microelectronic Engineering, vol. 118, pp. 47–53(2014)

[87] E. Buitragoa et al., “Attomolar streptavidin and pH, low power sensor based on 3Dvertically stacked SiNW FETs,” Proceedings of the International Symposium onVLSI Technology, Systems and Applications (VLSI-TSA), pp. 147–148 (2014)

248 Nanowire transistor circuits

Page 265: Nanowire Transistors - CAS

Index

Γ-point, 150, 151, 154, 155, 156Γ valley, 40Π-gate MOSFET, 19Ω-gate MOSFET, 192DEG, 81, 194, 1952DHG, 81, 195

absorption coefficient, 122, 123absorption spectroscopy, 121accumulation, 192acoustic phonon, 197advanced Green’s function, 212, 213alkanes, 114alloy, 109, 151, 154, 194aluminum, 118ambipolar, 115ambipolar silicon nanowire (SiNW) FET, 236amorphous, 108analog, 231Anderson localization, 201angle resolved photo-emission spectroscopy

(ARPES), 119, 127anion, 111anode, 167, 169, 170, 171, 172, 182, 186, 188antimony, 118arsenic, 110, 111, 154, 195atomic chain, 92atomic orbitals, 96Au–Si eutectic, 60

backscattering, 12, 13ballistic, 167, 169, 170, 201, 207, 209ballistic current, 13ballistic resistance, 179ballistic transport, 14, 178band folding, 157band gap, 109, 111, 113, 114, 118, 119, 120, 121,

123, 126, 127, 145, 146, 147, 149, 150, 157, 158,159, 160

band gap widening, 157, 158, 159, 193band-to-band tunneling (BTBT), 45battery, 167, 169Beer–Lambert law, 122

benzene, 109biochemical sensor, 238bipolar transistor, 234bismuth, 118bismuth nanowire, 36, 41Bit Cost Scalable (BiCS) flash memory, 227black body, 170Bloch wave function, 95, 98block copolymer, 54body factor, 7Born–Oppenheimer approximation, 132, 133Born–von Kármán boundary conditions, 87, 93,

98, 176Bose–Einstein statistics, 137bottom-up nanowire fabrication techniques, 58boundary conditions, 85box normalization, 87, 183Boys localization, 97Brillouin zone, 93, 94, 111, 119, 129, 131,

154, 156bulk inversion, 30buried oxide (BOX), 22butterfly curve, 224

capacitance-voltage spectroscopy, 191carbon, 107, 108, 109, 110, 114carbon nanotube, 109, 115, 124carrier velocity, 177cathode, 167, 169, 170, 171, 172, 182, 186, 188cation, 111, 113channel, 5, 113, 114, 152, 154, 155, 159, 213charge carrier, 112, 114, 115, 119, 152, 155, 157, 167,

168, 169, 177, 178charge current, 177charge density, 84, 88, 134, 141, 142, 143,

145, 177charge neutrality, 168charge probability current density, 83charge probability density, 83charge transport, 171, 174, 178, 206chemical sensor, 238chirality, 115CNTFET, 115

Page 266: Nanowire Transistors - CAS

conductance, 176, 178, 179, 186, 202conductance channel, 174, 179, 202conductance quantization, 178, 185conductance quantum, 179, 187conduction band, 96, 109, 111, 112, 114, 118,

120, 126, 127, 150, 151, 152, 155, 156,206, 209

conduction channel, 174, 175conductivity, 120confinement, 87, 99, 102, 103, 104, 105confinement length, 175confinement potentials, 195contact resistance, 179, 201, 202contact-etch stop layer (CSEL), 11continuity, 89continuity equation, 83continuous normalization, 87control gate, 236copper, 109, 118, 119core-shell nanowire, 64correlated independent particle model, 146, 147correlation energy, 141, 147Coulomb interaction, 131, 134, 148Coulomb oscillations, 44Coulomb potential, 144Coulomb Schrödinger equation, 130Coulomb’s law, 211crossbar nanowire circuit, 234crystal momentum, 111, 123, 129current conservation, 91, 92, 181, 183current density, 84current oscillations, 33, 34, 35

dark space, 14Deal–Grove model, 67degree of ballisticity, 14DELTA, 19density functional theory (DFT), 143, 145, 146, 148,

149, 150, 159, 160, 198density matrix, 83, 84density of states, 29, 31, 33, 101, 109, 113, 117, 118,

124, 126, 152, 155, 177, 209depletion region, 5, 9detailed balance, 179, 182, 183, 186, 189diamond, 107, 108, 109, 110, 118dielectric confinement, 159dielectric function, 148diffusion coefficient, 167diffusive, 201diode equation, 120direct band gap, 111, 112, 118, 151, 152, 157direct semiconductor, 123dispersion relation, 177dopants, 191drain, 4, 113, 206, 207, 209, 213drain-induced barrier lowering (DIBL), 9, 26, 28drift velocity, 167, 188, 189, 190, 200, 205

Early voltage, 231effective mass, 88, 100, 112, 113, 119, 150, 151,

152, 156, 157, 171, 172, 176, 177, 178, 190, 196,198, 209

eigenfunctions, 84eigenvalue, 84electric current, 167electric field, 115, 121, 155, 169, 174, 188, 190, 209electrical conductivity, 117electrochemical potential, 169, 171, 182electrode self-energies, 216electrodes, 167, 168, 169, 170, 171, 172, 174, 176,

182, 183, 186, 206electron affinity, 133, 141, 146, 147electron charge, 83electron current, 83electron current density, 83electron density, 148electron wave, 137electronegativity, 146, 147, 159electron–electron scattering, 199electron-hole recombination, 111electronic excitation, 133electronic Schrödinger equation, 132electron–phonon scattering, 197electrostatic discharge (ESD), 237energy band, 81, 98energy dispersion, 88, 150, 176energy ellipsoids, 150, 151energy levels, 84, 100, 177energy subbands, 29epitaxy, 64equilibrium, 170, 171equivalent oxide thickness (EOT), 11, 18evanescent wave function, 183exchange, 137, 138, 139, 141, 145, 147exchange correlation (XC), 143, 144, 145, 149expansion coefficients, 98external potential, 130, 142, 143, 144

facet, 126, 153Fermi energy, 109, 117, 118, 120, 124, 127, 154, 185,

190, 206Fermi hole, 141Fermi level, 118, 119, 124, 129Fermi level pinning, 191Fermi wavelength, 152, 154, 155Fermi–Dirac distribution, 31, 117, 120, 126, 137,

172, 186, 188, 189Fermi–Dirac statistics, 139field-effect transistor, 112FinFET, 19, 113, 153flux, 180, 181flux normalization, 92Fock matrix, 97force, 133, 169Fowler–Nordheim tunneling, 208

250 Index

Page 267: Nanowire Transistors - CAS

fracture strength, 70free electron, 85, 88, 133, 176, 213free electron gas, 101, 104free particle wave functions, 174functionalizing molecule, 239

GAA MOSFET, 228GaAs, 111, 112, 145, 149, 151, 152, 195gallium, 110, 111, 113, 154, 195gallium arsenide, 110, 151, 154, 195gate, 5, 154, 159gate tunnel current, 11gate voltage, 206, 207, 208, 213gate-all-around (GAA) MOSFET, 19gate-all-around (GAA) transistor, 64Ge, 112, 145generalized gradient approximation (GGA), 145,

149, 159germanium, 108, 109, 110, 111, 112, 151, 153, 194germanium nanowire, 38gold, 109gradual channel approximation, 18graphene, 109, 114, 115, 116, 118, 119graphite, 109, 113, 114Green’s function, 148, 210, 211, 212, 215, 216GW approximation, 141, 146, 148, 149, 150, 157

hafnium dioxide, 118Hamiltonian operator, 82, 84, 174, 200hard wall potential, 102, 155, 174, 176Hartree approximation, 138Hartree–Fock, 139, 140, 141, 142, 145, 146,

148, 198Hartree potential, 134, 135, 144, 145Hartree product, 135, 136, 138Heaviside step function, 103heavy hole, 150Heisenberg uncertainty, 82, 86heterojunction, 64HfO2, 11high-κ dielectrics, 10homoparticle growth, 62hopping matrix elements, 98hot-carrier degradation, 28human body model (HBM), 237hybrid functionals, 145hybridization, 108hydrogen, 126, 130, 135, 153, 157, 159hydrogen annealing, 67hydroxyl, 159

ideal voltage source, 169III-V nanowire, 38impact ionization, 7In0.53Ga0.47As, 113indirect band gap, 111, 118, 150, 151indirect semiconductor, 123

indium, 113, 195inelastic processes, 190inelastic scattering, 169, 170, 197InGaAs, 56injection velocity, 207InP, 113input/output (I/O) protection, 237insulator, 117, 118, 119intervalley scattering, 195intrinsic semiconductor, 111, 118, 119, 120, 124inverse photo-emission spectra, 127inversion, 154, 155, 192inversion channel, 5ionization potential, 133, 140, 141, 143, 146, 147ionized impurity scattering, 191, 192

junctionless transistor, 19, 44, 115, 225

kinetic energy, 82, 127, 128, 130, 132, 133, 142, 144,145, 148

Kohn–Sham, 143, 144, 145, 146, 148, 149,198, 199

Koopmans theorem, 140, 141

Lagrangian multiplier, 140, 142Landauer formula, 186, 187leakage current, 9light hole, 150light transmittance, 122line edge roughness (LER), 67, 222line width roughness (LWR), 67linear combination of atomic orbitals (LCAO),

95, 108line-edge roughness (LER), 227linker, 239local density approximation (LDA), 145, 148,

149, 159local density of states, 124, 125, 126localization length, 201

matrix elements, 97mean free path, 167, 190, 200, 205metal, 109, 117, 118, 119, 124, 125, 126, 143Miller effect, 224minimal basis set, 96mobility, 12, 72, 110, 112, 113, 151, 156,

167, 188, 190, 191, 194, 195, 198, 200,201, 205

momentum, 111, 123, 127, 128, 133, 171momentum conservation, 111, 127, 129momentum distributions, 183, 185momentum eigenstates, 85, 86, 174, 179momentum operator, 86momentum representation, 84Moore’s law, 2More than Moore, 238MOSFET, 2, 4, 154

Index 251

Page 268: Nanowire Transistors - CAS

NAND flash memory, 227NAND gate, 222, 235nanoscale application specific integrated circuit

(NASIC), 234nanowire, 92, 104, 107, 108, 113, 122, 126, 127, 129,

143, 146, 147, 152, 153, 154, 156, 157, 158, 159,160, 167, 169, 170, 172, 174, 175, 176, 178, 179,182, 186, 192, 195, 206

nanowire axis, 176nanowire transistor, 171nanowires, 192, 196, 198natural length, 21, 27n-channel, 112negative band gap, 118negative-bias temperature instability

(NBTI), 29neon, 108nickel, 109non-equilibrium, 167non-equilibrium Green function (NEGF), 44NOR gate, 235normalization, 136, 176n-type doping, 191

off- Г valleys, 40Ohmic, 167Ohmic conductors, 204open circuit, 169open source voltage, 168open system boundary conditions, 88, 170, 174, 186,

210, 216open-loop gain, 231optical phonon, 197orbital, 108, 109, 125, 139, 141, 144, 146orthonormality, 136overlap matrix, 97oxide/nitride/oxide (ONO), 227

parabolic band, 94particle-in-a-box, 29, 88, 102, 157, 174passivation, 153Pauli exclusion principle, 137, 199periodic boundary condition, 87permittivity, 131phonons, 111, 112, 132, 170, 189, 190, 194, 196photodetector, 221photoelectric effect, 127photoelectron spectroscopy, 119photon, 111, 123, 127, 128, 133photon momentum, 127photo-transition, 127piecewise linear potential, 88Planck’s constant, 82, 123plane wave basis, 96Poisson’s equation, 21, 142, 210polarity gate, 236

polarization functions, 96position representation, 84potential barrier, 185potential energy, 82, 130, 131, 132, 133, 134, 135,

170, 173, 174, 175potential energy surface, 133potential step, 213power supply, 167principal layers, 214probability density, 82, 134p-type doping, 191

quantization, 93, 176, 178quantum capacitance, 14, 37quantum capacitance limit (QCL), 37quantum confinement, 126, 152, 154,

159, 193quantum mechanical current, 177quantum numbers, 96quantum transport, 210quasi-ballistic, 115, 167, 205, 206, 209quasi-particle, 123, 126, 133, 140, 143, 147, 148,

149, 197

random doping fluctuations (RDF), 222, 227rectangular potential, 88, 123, 124reflection, 91, 184relaxation time, 112, 189, 198, 200remote Coulomb scattering, 194reservoir, 169, 170, 171resistance, 179, 201, 202resistive RAM (RRAM), 231resonance, 133resonant backscattering, 193resonant states, 193retarded Green’s function, 212, 213reverse saturation current, 121roughness, 67, 196

saturation, 208saturation velocity, 232scaling laws, 8scanning probe microscopy (SPM), 119, 124scanning tunneling microscopy (STM), 124, 125,

126, 153, 157scanning tunneling spectroscopy (STS), 123scattering, 12, 34, 87, 88, 112, 115, 167, 169, 170,

178, 179, 182, 188, 189, 190, 195, 200, 201, 202,205, 208

scattering amplitudes, 92scattering boundary conditions, 181scattering resistance, 202, 204scattering states, 89, 91, 179, 181, 182Schrödinger equation, 29, 82, 84, 85, 93, 100, 102,

130, 131, 132, 134, 135, 142, 174, 175, 211,215, 216

252 Index

Page 269: Nanowire Transistors - CAS

screened interaction, 148screening length, 168secular equation, 98self-assembly, 54self-consistent field (SCF), 135self-energy, 147, 148self-interaction, 145semiconductor, 109, 111, 112, 113, 117, 118, 119,

120, 121, 123, 124, 126, 149, 150, 152, 153, 154,157, 159

semiconductor nanowires, 167semiconductor/oxide interface, 195semimetal, 40, 109, 114, 118, 119sensor, 238short-channel effects, 8Si, 112, 145SiGe, 56silicide, 10silicon, 108, 109, 110, 111, 112, 113, 126, 127, 149,

150, 151, 152, 153, 155, 156, 157, 158, 159, 194silicon carbide, 110silicon dioxide, 118silicon germanium, 151, 194silicon-on-insulator (SOI), 54silicon-on-nothing (SON), 56silicon-oxide-nitride-oxide-silicon (SONOS)

device, 227silver, 109single-electron energies, 171, 174single-electron-transistor (SET), 43SixGey, 109Slater determinant, 137, 138, 139, 140,

146, 199SOI MOSFET, 22source, 4, 113, 206, 207, 208, 209, 213source-to-drain tunneling, 38, 113spacer technology, 55sp2 hybridized, 113sp3 hybridization, 108spin degeneracy, 177, 185static noise margin (SNM), 224static random-access-memory (SRAM) cell, 224step down potential, 182step potential, 123, 124, 182, 183, 187step up potential, 182strain, 11, 65, 69, 72stress, 69subband, 37, 103, 155, 157, 174, 175, 176, 177,

178, 179sub-lattice, 110, 111, 113, 194subthreshold slope, 7, 28, 45, 115, 216subthreshold swing, 7, 26sulfur, 116surface roughness scattering, 195surface scattering, 195surface segregation, 192

surface-to-volume ratio, 152, 159, 195SWCNT, 115

Tauc plot, 123technology boosters, 9tetragonal, 109tetrahedral, 108, 110, 113thermal emission, 209thermal energy, 33, 111thermal velocity, 12, 189thermodynamic equilibrium, 169, 170, 171Thomas–Fermi model, 141, 142threshold voltage, 6time-dependent Schrödinger equation, 180,

212, 213time-independent Schrödinger equation, 84time reversal, 87, 88, 179, 182tin, 109, 118tin nanowire, 36, 42top-down fabrication techniques, 54top-of-the-barrier model, 14, 206topological insulator, 43transconductance-current ratio, 231transfer Hamiltonian, 125transition frequency, 231transition metal dichalcogenides, 116transmission, 91, 124, 179, 181, 183, 184, 185, 186,

187, 201, 202, 204, 216transmission channel, 174transmission electron micrographs, 196trial wave function, 129triangular potential, 155, 208trigate FET, 19trigate MOSFET, 30tunnel field-effect transistor (TFET), 45tunneling, 88, 123, 124, 125, 126, 209two-dimensional electron gas (2DEG), 102,

155, 156

underlap, 223, 225

vacuum ultraviolet, 128valence band, 109, 111, 114, 118, 120, 126,

150, 151, 191valence electrons, 108valley folding, 39, 40van der Waals, 113vapor–liquid–solid (VLS) growth, 59variational principle, 129, 130, 135, 139, 146Vegard’s law, 195velocity, 88velocity saturation, 190virtual source, 13voltage difference, 168voltage source, 167, 170, 171, 176, 216volume inversion, 30

Index 253

Page 270: Nanowire Transistors - CAS

Wannier functions, 97wave function, 82, 83, 85, 124, 130, 131, 132, 134,

135, 136, 137, 138, 139, 140, 142, 143, 148, 174,176, 179, 180, 212, 215

wave function normalization, 86wave number, 85, 154, 176, 182, 213wave vector, 117, 154, 183whisker, 58

work function, 124, 127

X symmetry, 150, 151, 155

Young’s modulus, 11, 69

zero band gap, 118zinc blend, 110, 113, 195

254 Index


Recommended