+ All Categories
Home > Documents > Nithin Assignment Copy

Nithin Assignment Copy

Date post: 17-Dec-2015
Category:
Upload: mohammadakram99gmail
View: 242 times
Download: 3 times
Share this document with a friend
Description:
programs
Popular Tags:
40
VHDL Assignment No 1 1. Design and simulate a 4 input NOR Gate program using dataflow modeling in VHDL. Program: entity norgate4 is port(a,b,c ,d: in bit; y: out bit); end norgate4; architecture data of norgate4 is begin y<= not(a or b or c or d); end data; Wave output : 2. Design and simulate a 4 input NAND Gate program using dataflow modeling in VHDL. Program:
Transcript

VHDL Assignment No 1

1. Design and simulate a 4 input NOR Gate program using dataflow modeling inVHDL.

Program:

entity norgate4 isport(a,b,c ,d: in bit;y: out bit);end norgate4;architecture data of norgate4 isbeginy


Recommended