+ All Categories
Home > Documents > NOVEL SYNTHESIS METHODS FOR PREPARATION OF...

NOVEL SYNTHESIS METHODS FOR PREPARATION OF...

Date post: 27-Jun-2018
Category:
Upload: ngothien
View: 229 times
Download: 0 times
Share this document with a friend
220
NOVEL SYNTHESIS METHODS FOR PREPARATION OF CERIA ABRASIVES FOR CHEMICAL MECHANICAL PLANARIZATION APPLICATIONS IN SEMICONDUCTOR PROCESSING By OH MYOUNG HWAN A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY UNIVERSITY OF FLORIDA 2010 1
Transcript
  • NOVEL SYNTHESIS METHODS FOR PREPARATION OF CERIA ABRASIVES FOR CHEMICAL MECHANICAL PLANARIZATION APPLICATIONS IN SEMICONDUCTOR

    PROCESSING

    By

    OH MYOUNG HWAN

    A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT

    OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY

    UNIVERSITY OF FLORIDA

    2010

    1

  • 2010 Oh Myoung Hwan

    2

  • To my lovely wife, Minyoung and daughter, Yujin

    3

  • ACKNOWLEDGMENTS

    I am sincerely appreciative of my advisors, family members, colleagues, and

    friends whose support made it possible for me to complete my doctoral studies and

    research.

    At this time, I would like to take a moment to acknowledge my professor, Dr. Rajiv

    K. Singh for challenging and guiding me through this research and for always being

    present as a mentor in science and in life. His support, guidance, caring, and patience

    allowed me to complete this research and to grow as a scientist. Also, I would like to

    acknowledge my committee, Dr. Stephen Pearton, Dr. David Norton, Dr. Hassan El-

    Shall, and Dr. Chang-Won Park, for their advice and support. In addition, special thanks

    are extended to the faculty and staff at the Particle Engineering Research Center and

    Major Analytical Instrumentation Center, especially Dr. Valentine Craciun, Eric Lambers,

    and Kerry Siebein for always preparing my samples to exact specification and for

    providing access to their instrumentation.

    I am deeply indebted to my family members for all their help which have truly

    made it possible for me to reach my goals and obtain my dreams. I would like to thank

    my grandmother, Dalwha Choi, for her life lessons and encouragement. I would

    especially like to thank father and mother, Bonggeun Oh, and Jungsoon Yoo, for their

    great love and full support for me. I would also like to thank my father-in-law and

    mother-in-law, Soonbong Jang and Hyeonsook Lee, from the bottom of my heart for

    generous love and encouragement. I thank my brother, Kyounghwan Oh, for his deep

    affection for Yujin. I extend special thanks to brother-in-law and his family, Junsoo Jang,

    Yeonok Park, Uin Jang, Soyun Jang. Additionally, I would like to acknowledge to

    president of LG Chem Research Park and Director of Corporate R&D center, Dr. Jin-

    4

  • Nyoung Yoo and Dr. Junguk Choi. I could not have done this without their full support

    and trust.

    I also thank my past and present group members, Sejin Kim, Taekon Kim,

    Jaeseok Lee, Sushant Gupta, Aniruddh Khanna, Balasundaram Kannan, Jungbae Lee,

    and Jinhyung Lee, for their contributions to this research with valuable discussion and

    my old and present friends, Jinuk Kim, Sanghyun Eom, Chanwoo Lee, Donghyun Kim,

    Kangtaek Lee, Inkook Jun, Donghwa Lee, Kyeongwon Kim, Sungwon Choi, Jihun Choi,

    dongjo Oh, Byungwook Lee, Seonhoo Kim, Sangjun Lee, Dongwoo Song, Minki Hong,

    Myonghwa Lee who not only helped and encouraged me in this research but also made

    my graduate study years lots of fun in Gainesville. I would also like to thank Heesung

    Yoon for his helpful research discussion.

    I express my most sincere appreciation to my wife and daughter, Minyoung and

    Yujin, whose endless love, encouragement and support made me the person I am today.

    5

  • TABLE OF CONTENTS page

    ACKNOWLEDGMENTS .................................................................................................. 4

    LIST OF TABLES .......................................................................................................... 11

    LIST OF FIGURES ........................................................................................................ 12

    LIST OF ABBREVIATIONS ........................................................................................... 17

    ABSTRACT ................................................................................................................... 20

    CHAPTER

    1 INTRODUCTION .................................................................................................... 22

    Research Rationale ................................................................................................ 22 Scope of the Research ........................................................................................... 24

    2 LITERATURE REVIEW .......................................................................................... 28

    Chemical Mechanical Planarization (CMP) ............................................................. 28 CMP Process ................................................................................................... 28 CMP Slurry ....................................................................................................... 29

    CMP of Dielectrics .................................................................................................. 30 Oxide CMP ....................................................................................................... 30

    Process of oxide CMP ............................................................................... 30 Mechanism of oxide CMP .......................................................................... 31 Relationship between particles and wafer .................................................. 31

    Shallow Trench Isolation (STI) CMP ................................................................ 32 Process of STI CMP .................................................................................. 32 Mechanism of STI CMP ............................................................................. 33 Mechanism of silicon dioxide using ceria particles ..................................... 34 Mechanism of silicon nitride using ceria particles ...................................... 35 High selectivity ceria-based slurry .............................................................. 37

    Ceria Abrasive ........................................................................................................ 38 Advantages of Ceria Abrasive .......................................................................... 38 Disadvantages of Ceria Abrasive ..................................................................... 39

    Key Quality Issues .................................................................................................. 40 Removal Rate ................................................................................................... 41 Uniformity and Planarity ................................................................................... 42 Global Planarization ......................................................................................... 42 Selectivity ......................................................................................................... 44 Surface Defectivity ........................................................................................... 45

    6

  • 3 EXPERIMENTAL FACILITY AND PROCEDURES ................................................. 58

    Introduction ............................................................................................................. 58 Sample Preparation .......................................................................................... 58

    Wafers ....................................................................................................... 58 Abrasive particles ....................................................................................... 59 Slurries ....................................................................................................... 60

    CMP Equipment ...................................................................................................... 60 CMP Polishers .................................................................................................. 60 Slurry Delivery System ..................................................................................... 60

    Characterization and Method .................................................................................. 61 Abrasives .......................................................................................................... 61

    Microstructure and shape .......................................................................... 61 Physical properties ..................................................................................... 62 Surface and chemical properties ................................................................ 62

    Slurries ............................................................................................................. 63 Electrical potential (Zeta Potential) ............................................................ 63 Particle size distribution ............................................................................. 64

    Polished Wafer ................................................................................................. 65 Film thickness measurement ..................................................................... 65 Selectivity between silicon dioxide and nitride ........................................... 66 Oxide CMP within-wafer nonuniformity (WIWNU) ...................................... 67 Defectivity monitoring by wafer defect scattering analysis ......................... 68

    4 NOVEL METHOD TO CONTROL THE SIZE OF SINGLE CRYSTALLINE CERIA PARTICLES BY HYDROTHERMAL METHOD AND ITS CMP PERFORMANCE .................................................................................................... 75

    Introduction ............................................................................................................. 75 Materials and Methods ............................................................................................ 77

    Abrasives .......................................................................................................... 77 Preparation of sol-type ceria precursor ...................................................... 77 Hydrothermal synthesis of ceria particles .................................................. 78

    CMP Evaluation ................................................................................................ 78 Preparation of ceria-based slurries ............................................................ 78 CMP tools and consumables ..................................................................... 78

    Characterization ............................................................................................... 79 Abrasives ................................................................................................... 79 Ceria-based slurry ...................................................................................... 79 CMP performance ...................................................................................... 79

    Results and Discussion ........................................................................................... 80 Preparation of Ceria Particles ........................................................................... 80

    Influence of solvent type on ceria particle characteristics .......................... 80 Effect of the precipitation participating anions on nucleation and growth ... 83 Effect of hydrothermal conditions ............................................................... 84

    CMP Performance ............................................................................................ 85 Ceria abrasives .......................................................................................... 85

    7

  • Characteristics of ceria abrasive before and after CMP ............................. 87 Polishing performance ............................................................................... 87

    Conclusions ............................................................................................................ 91 Synthesis of Ceria Particles by Hydrothermal Method ..................................... 91 CMP Evaluation ................................................................................................ 91

    5 POLISHING BEHAVIORS OF SPHERICAL CERIA ABRASIVES ON SILICON DIOXIDE AND SILICON NITRIDE CMP ............................................................... 109

    Introduction ........................................................................................................... 109 Materials and Methods .......................................................................................... 111

    Abrasives ........................................................................................................ 111 Preparation of as-prepared particles by hydrothermal method ................ 111 Preparation of ceria abrasive particles by solid state reaction (flux

    method) ................................................................................................ 111 CMP Evaluation .............................................................................................. 112

    Preparation of ceria-based slurries .......................................................... 112 CMP tools and consumables ................................................................... 112

    Characterization ............................................................................................. 113 Abrasives ................................................................................................. 113 Ceria-based Slurry ................................................................................... 113 Polishing of wafers ................................................................................... 113

    Results and Discussion ......................................................................................... 114 Ceria Abrasives .............................................................................................. 114

    Morphological properties .......................................................................... 114 Crystalline structure ................................................................................. 114 Effects of molten salt and as-prepared particle ........................................ 115

    CMP Evaluation .............................................................................................. 116 Characteristics of ceria abrasives before and after CMP ......................... 116 Polishing Test .......................................................................................... 117

    Conclusions .......................................................................................................... 120 Ceria Abrasives .............................................................................................. 120 CMP Performance .......................................................................................... 121

    6 PREPARATION AND CHARACTERISTICS OF THE CERIA COATED SILICA PARTICLES AND ITS CMP PERFORMANCE ..................................................... 134

    Introduction ........................................................................................................... 134 Materials and Methods .......................................................................................... 135

    Abrasives ........................................................................................................ 135 Preparation of monodispersed silica particles .......................................... 135 Preparation of ceria precursors ................................................................ 136 Preparation of ceria coated silica particles ............................................... 137

    Preparation of Ceria-bases Slurry .................................................................. 138 CMP Evaluation .............................................................................................. 138 Characterization ............................................................................................. 139

    Results and Discussion ......................................................................................... 140

    8

  • Ceria Coated Silica Particles .......................................................................... 140 Morphology .............................................................................................. 140 Crystalline phase ..................................................................................... 141 XPS spectra of the ceria coating on silica particles .................................. 142 Electrokinetic behavior ............................................................................. 143 Control of thickness of the ceria coating on silica particles ...................... 145 Size control of the ceria coating on silica particles ................................... 145

    CMP Evaluation .............................................................................................. 146 Effect of pH .............................................................................................. 146 Effect of down pressure ........................................................................... 147 Wafer roughness (WIWNU) ..................................................................... 147

    Conclusions .......................................................................................................... 148 Ceria Coated Silica Particles .......................................................................... 148 CMP Evaluation .............................................................................................. 149

    7 SYNTHESIS OF SPHERICAL CERIA PARTICLES BY THERMAL DECOMPOSITION METHOD AND ITS CMP PERFORMANCE .......................... 167

    Introduction ........................................................................................................... 167 Materials and Methods .......................................................................................... 168

    Preparation of Spherical Ceria Abrasives ....................................................... 168 CMP Evaluation .............................................................................................. 169

    Preparation of ceria-based slurries .......................................................... 169 CMP tools and consumables ................................................................... 169

    Characterization ............................................................................................. 170 Abrasives ................................................................................................. 170 Ceria-based slurry .................................................................................... 170 CMP performance .................................................................................... 170

    Results and Discussion ......................................................................................... 171 Properties of Spherical Cerium Carbonate Precursor .................................... 171

    Influence of solvent type on particle morphology ..................................... 171 Effect of dielectric constant on particle morphology ................................. 173

    CMP Materials ................................................................................................ 174 Preparation of ceria abrasives ................................................................. 174 Characteristics of ceria-base slurry .......................................................... 175

    CMP Evaluation .............................................................................................. 176 Effects of calcination temperature on physical properties of ceria

    abrasives .............................................................................................. 176 Effects of suspension pH on oxide and nitride CMP ................................ 178

    Conclusions .......................................................................................................... 180 Synthesis of Cerium Carbonates .................................................................... 180 Synthesis of Ceria Abrasives .......................................................................... 180 Preparation of Ceria-based Slurry .................................................................. 181 CMP Evaluation .............................................................................................. 181

    9

  • 8 A COMPARISION OF CMP PERFORMANCE IN THE CERIA ABRASIVES SYNTHESIZED VIA VARIOUS METHODS .......................................................... 196

    Introduction ........................................................................................................... 196 Materials and Methods .......................................................................................... 196

    Sample Preparation ........................................................................................ 196 Preparation of ceria abrasives ................................................................. 196 Preparation of ceria-based slurries .......................................................... 197 CMP tools and consumables ................................................................... 197

    Characterization ............................................................................................. 197 Abrasives ................................................................................................. 197 Ceria-based slurry .................................................................................... 198

    CMP performance .......................................................................................... 198 Results and Discussion ......................................................................................... 198

    Comparison in Polishing Removal Rate ......................................................... 198 Comparison in WIWNU .................................................................................. 199 Abrasive Effects on Defectivity ....................................................................... 200

    Conclusion ............................................................................................................ 201

    9 CONCLUSIONS ................................................................................................... 207

    Solution Growth Abrasives .................................................................................... 208 Grain Control Abrasives ........................................................................................ 209 Core/shell Composite Abrasives ........................................................................... 209 Solid State Abrasives ............................................................................................ 210 Comparison of Polishing Behavior ........................................................................ 212

    APPENDIX: DIELECTRIC CONSTANTS OF MIXED SOLUTION OF SOME ORGANIC SOLVENT AND WATER AT ROOM TEMPERATURE ....................... 213

    LIST OF REFERENCES ............................................................................................. 214

    BIOGRAPHICAL SKETCH .......................................................................................... 220

    10

  • LIST OF TABLES

    Table page 2-1 Guiding principles for slurry design in chemical mechanical planarization ......... 57

    4-1 Comparison of slurries used in this study ......................................................... 107

    4-2 The results of the CMP evaluation. ................................................................... 108

    5-1 Comparison of slurries used in this study. ........................................................ 132

    5-2 The results of the CMP evaluation. ................................................................... 133

    6-1 The results of removal rate for the ceria coated silica particles ........................ 166

    7-1 Dielectric constants of mixed solvent, zeta potentials and morphologies of cerium carbonate compounds with the ratio of ethanol to water ....................... 195

    8-1 Comparison of ceria abrasives used in this study ............................................. 205

    8-2 The results of the CMP evaluation .................................................................... 206

    11

  • LIST OF FIGURES

    Figure page 2-1 Schematic for CMP process. .............................................................................. 48

    2-2 Schematics of ideal oxide ILD CMP. .................................................................. 49

    2-3 Oxide removal mechanism by CMP ................................................................... 50

    2-4 Shallow trench isolation (STI) CMP process ...................................................... 51

    2-5 Zeta potential of the oxide/nitride substrates and ceria abrasive as a function of pH. .................................................................................................................. 52

    2-6 Silicon nitride SN2 hydrolysis reaction scheme. .................................................. 53

    2-7 (a) Zeta potential of the oxide/nitride substrates and ceria abrasive as a function of pH and (b) the formation of passivation layer on the surface of STI structure with anionic organic polymer. .............................................................. 54

    2-8 The comparison on (a) removal rate for oxide substrate with different abrasives and (b) Mohs hardness of ceria and materials to be polished during CMP......................................................................................................... 55

    2-9 The schematics of surface defectivity. ................................................................ 56

    3-1 Layout of the SKW-1 pattern wafer: (a) pattern density and pitch size layout, (b) mask floor plan, and (c) cross-sectional view ................................................ 70

    3-2 Schematic diagram of rotational CMP tool ......................................................... 71

    3-3 Schematic illustration of a slurry delivery system ............................................... 72

    3-4 Diagram of film thickness measurement system using NanoSpec. .................... 73

    3-5 Schematic illustration of light scattering analysis ................................................ 74

    4-1 XRD patterns of ceria particles synthesized from the mixture of water and different alcohols; (a) ethylene glycol, (b) methanol, (c) 1,4-buthylene glycol, (d) ethanol. ......................................................................................................... 93

    4-2 FETEM photomicrographs of ceria particles obtained by hydrothermal method using a new type of ceria precursor. ...................................................... 94

    4-3 FESEM photographs of ceria particles prepared from the mixture of water and different alcohols; (a) ethanol, (b) 1,4-buthylene glycol, (c) methanol, (d) ethylene glycol. ................................................................................................... 95

    12

  • 4-4 (a) Average particle size and (b) crystallites sizes of ceria particles synthesized with different dielectric constants of alcohols .................................. 96

    4-5 FESEM photographs of ceria particles prepared with different concentrations of potassium hydroxide; (a) 0.5 M, (b) 1.0 M, and (C) 1.5 M. ............................. 97

    4-6 FESEM photographs of ceria particles prepared from different concentrations of nitric acid in hydrothermal conditions at 230 oC for 12 hr. ; (a) pH 4, (b) pH 2.5, (c) pH 0.5 and (d) pH 0.5. ............................................................................ 98

    4-7 Crystallites size for ceria particles prepared from different pH at (a) 150 oC, (b) 200 oC and 230 oC. ....................................................................................... 99

    4-8 FESEM photographs of the ceria particles prepared with different hydrothermal conditions; (a) pH 3.0 at 220oC, (b) pH 3.0, (c) pH 1.5 and (d) pH 0.5 at 230oC, respectively. .......................................................................... 100

    4-9 XRD patterns and the (111) peaks analyzed to confirm grain size of the ceria abrasives dispersed in ceria-based slurry (a) A, (b) B, (c) C and (d) D. ........... 101

    4-10 FETEM micrographs and of ceria abrasive with average particle diameters of (a) 62 nm (slurry A) and (b) 232 nm (slurry D). ................................................. 102

    4-11 Particle size distribution of ceria-based slurry used in this study. ..................... 103

    4-12 FESEM photographs of ceria abrasives (a) before and (b) after oxide CMP process. ............................................................................................................ 104

    4-13 Results of CMP field evaluation for removal rate and selectivity. ..................... 105

    4-14 Results of CMP field evaluation for within-wafer nonuniformity (WIWNU) of silica film. .......................................................................................................... 106

    5-1 Schematic diagram of experimental procedure. ............................................... 122

    5-2 FESEM photographs of the ceria abrasives prepared with different calcination conditions; (a) slurry A, (b) B, (c) C and d(c) D ............................... 123

    5-3 (a) XRD patterns and (b) the (111) peaks analyzed to confirm crystallite size of the ceria abrasives dispersed in slurry (a) A, (b) B, (c) C and (d) D ............. 124

    5-4 The variation of crystallite size as a function of the concentration of grain growth accelerator ............................................................................................ 125

    5-5 FETEM micrographs of the ceria abrasives prepared with different cerium precursor; (a) cerium hydroxide, (b) cerium nitride, (c) cerium chloride and (d) cerium dioxide .................................................................................................. 126

    5-6 Particle size distribution of ceria slurries as function of abrasive size. .............. 127

    13

  • 5-7 FESEM photographs of ceria abrasives (a) before and (b) after polishing ....... 128

    5-8 Results of CMP field evaluation for removal rate and selectivity ...................... 129

    5-9 TGA curves of the ceria abrasives dried from (a) slurry A and (b) slurry D ...... 130

    5-10 Within-wafer non uniformity (WIWNU) of oxide film. ......................................... 131

    6-1 FESEM images of silica core particles obtained by modified Stber method. .. 150

    6-2 (a) FESEM and (b) HRTEM micrographs for the surface condition of coated particle and FESEM micrographs for ceria coated silica particles prepared by (c) precursor B and (d) precursor A, respectively. ............................................ 151

    6-3 XRD patterns of the synthesized particles; (a) bare silica particles, (b) ceria coated silica particles prepared by precursor B, and (c) precursor A ............... 152

    6-4 XPS survey spectrum of ceria coated silica particles ....................................... 153

    6-5 XPS spectra of O 1s peaks of ceria coated silica particles. .............................. 154

    6-6 XPS Ce 3d multiplex of ceria coated silica particles ......................................... 155

    6-7 FESEM photographs for ceria coated silica particles prepared at different pH (a) 3.2, (b) 6.8 and (c) 9.7 ................................................................................. 156

    6-8 Electrophoretic mobility for (a) silica particles (b) ceria coated silica particles and (c) ceria particles ....................................................................................... 157

    6-9 Scheme of the formation mechanism of ceria coated silica particles at different pH. ...................................................................................................... 158

    6-10 FESEM micrographs of ceria coated silica particles prepared by different concentration of ceria precursors (a) 0.0 ml, (b) 1.0 ml, (c) 2.0 ml, (d) 4.0 ml and (e) 8.0 ml. .................................................................................................. 159

    6-11 The variations of (a) coating thickness and (b) average particle size for samples obtained by changing the concentration of ceria precursors .............. 160

    6-12 FESEM micrographs of the silica particles with different size; (a) 105 nm, (b) 214 nm, (c) 332 nm, and (d) 442 nm ................................................................ 161

    6-13 FESEM micrographs of the ceria coated silica particles obtained from different core silica particles with different size; (a) 146 nm, (b) 256 nm, (c) 334 nm, and (d) 384 nm. .................................................................................. 162

    6-14 Results of CMP field evaluation for removal rate as function of pH .................. 163

    14

  • 6-15 Results of CMP field evaluation for removal rate as function of CMP pressure. ......................................................................................................................... 164

    6-16 The result for within-wafer non uniformity (WIWNU) of oxide film ..................... 165

    7-1 XRD patterns of cerium compositions produced under precipitation conditions with different solvent. ....................................................................... 183

    7-2 FESEM micrographs of cerium carbonate compounds obtained by using pure water as solvent ................................................................................................ 184

    7-3 FESEM micrographs of spherical cerium carbonate particles prepared from the mixture of water and different alcohols; (a) methanol (CH3OH), (b) ethanol (C2H5OH), (c) 2-propanol (C3H8O), and (d) 1, 4-butandiol (C4H10O2). . 185

    7-4 FESEM micrographs of cerium carbonate compounds prepared by various ratio of ethanol to water: (a) 0, (b) 1, (c) 3, and (d) 5 ........................................ 186

    7-5 The XRD pattern of (a) cerium carbonate prepared by using mixed solvent of ethanol and water and (b) ceria abrasives obtained from thermal decomposition of the cerium carbonate at 700 oC. ........................................... 187

    7-6 FESEM micrographs of (a) as-prepared particles of ceria abrasives and (b) ceria abrasives obtained from thermal decomposition at 700 oC. ..................... 188

    7-7 Relationship between surface area and crystalline size of ceria abrasives as a function of calcination temperature. ............................................................... 189

    7-8 Electrokinetic behavior of silica, ceria and ceria with surface active agent added as a function of suspension pH .............................................................. 190

    7-9 The changes in particle size distribution of ceria-based solvent as a function of suspension pH .............................................................................................. 191

    7-10 The CMP evaluation for removal rate of oxide and nitride films as a function of calcination temperature ................................................................................ 192

    7-11 Results of CMP field evaluation for removal rate and selectivity ...................... 193

    7-12 The CMP evaluation for removal rate of silicon oxide wafer as a function of suspension pH. ................................................................................................. 194

    8-1 FESEM micrographs of various kinds of spherical ceria abrasives synthesized by variety methods; (a) hydrothermal method, (b) flux method, (c) surface-induced precipitation method, and (d) thermal decomposition. ...... 202

    8-2 Particle size distribution of ceria-based slurries. ............................................... 203

    8-3 Comparison of different ceria abrasives on surface defectivity. ........................ 204

    15

  • A-1 The dependence of dielectric constant on the composition of different alcohols and water ............................................................................................ 213

    16

  • LIST OF ABBREVIATIONS

    Viscosity

    m Micrometer (1 X10-6cm)

    A Specific surface area

    Angstrom (1 X10-10 cm)

    AW Active trench

    BET Brunauer-Emmett-Teller

    CMP Chemical mechanical planarization

    D Crain size

    dBET Average particle size determined by BET

    DLVO Derjaguin Landau Verwey Overbeek

    dSEM Average particle size determined by FESEM

    dXRD Crystalline size estimated from XRD patterns

    FESEM Field emission scanning electron microscopy

    FTIR Fourier transform infrared

    h Hour

    IC Integrated circuit

    IEP isoelectric point

    ILD Interlayer dielectric

    K Degrees Kelvin

    kV Kilo voltage

    LOCOS Local oxidation of silicon

    17

  • LPCVD Low-pressure chemical vapor deposition

    M Molarity

    mA Milliampere

    mg Milligram

    min Minute

    mL/min Milliliter per minute

    MRR Material removal rate

    nm Nanometer (1 X 10-9 cm)

    oC Degrees Celsius

    PAA Poly acrylic acid

    PECVD Plasma enhanced chemical vapor deposition

    psi Pound per square inch

    rpm Rate per minute

    SSA Specific surface area

    STI Shallow trench isolation

    TEM Transmission electron microscopy

    TG/DTA Thermogravimetric and differential thermal gravimetry

    TW Trench width

    ULSI Ultra large scale integrate

    WIWNU Within-wafer nonuniformity

    wt % Weight percent

    XPS X-ray photoelectron spectroscopy

    18

  • XRD X-ray diffraction

    Half-width of the diffraction peaks

    Dielectric constant

    Diffraction angle

    Wavelength

    Zeta potential

    19

  • Abstract of Dissertation Presented to the Graduate School of the University of Florida in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy

    NOVEL SYNTHESIS METHODS FOR PREPARATION OF CERIA ABRASIVES FOR CHEMICAL MECHANICAL PLANARIZATION APPLICATIONS IN SEMICONDUCTOR

    PROCESSING

    By

    Oh Myoung Hwan

    December 2010

    Chair: Rajiv. K. Singh Major: Materials Science and Engineering

    As the device design rule decreased, ceria-based slurries have been widely used

    instead of silica-based slurries in a variety of chemical mechanical planarization (CMP)

    applications for multilevel integrated circuit (IC) manufacture, since these slurries

    address many of the important issues resulting from the use of silica-based slurries.

    However, ceria (CeO2) abrasives usually induce higher scratch level than silica particles

    due to its cubic crystalline structure, irregular shape, and poor dispersion stability in

    slurry. Therefore, this article is intended to establish novel synthetic methods of ceria

    abrasives leading to lower scratch level on wafer surface and ultimately present the

    direction of CMP abrasive for future technology nodes in order to meet the ever more

    challenging defectivity requirements.

    To accomplish these aims, this article introduced the 4 types of novel synthetic

    methods for the formation of ceria abrasives. The ceria abrasives were synthesized by

    solution growth method, grain control method, core/shell composite method, and

    thermal decomposition method. In this investigation, the influences of solvent type and

    suspension pH on the formation of ceria particles were intensively investigated. The

    20

  • 21

    size of ceria particles was controlled by adjusting the reaction parameters of each

    method without additional mechanical milling and filtration. The relationships between

    dielectric property of the solvent and morphological properties were also discussed in

    terms of the supersaturation of solution and electrostatic attraction mechanism. The

    resultant particles were characterized with field emission scanning electron microscopy

    (FESEM), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy

    (XPS), X-ray diffraction (XRD), Thermogravimetric and differential thermal gravimetry

    (TGA/DTG), Fourier transform infrared (FTIR) spectroscopy, Brunauer-Emmett-Teller

    (BET), light scattering instruments and zeta potential measurements.

    In order to investigate the effects of the synthesized ceria abrasives on CMP

    performance, CMP tests were carried out with the ceria-based slurry formulated by

    dispersing the synthesized ceria particles with anionic organic polymer. The effects of

    the synthesized ceria abrasives in CMP slurry were investigated for silicon dioxide and

    silicon nitride CMP process. The polishing behaviors of ceria abrasives were discussed

    in terms of morphological properties and mechanical abrasion of the ceria particle. In

    this CMP evaluation, material removal rate, selectivity, wafer uniformity, and defectivity

    of the polished wafer were measured by metrology tools, which are used in current

    integrated circuit (IC) fabrication plants in order to support polishing results obtained by

    this investigation.

  • CHAPTER 1 INTRODUCTION

    Research Rationale

    As the minimum feature size of microelectronic devices deceases, the newly

    developed planarization technique and new consumable materials have been utilized in

    modern semiconductor fabrication industry.1 To continually satisfy more demanding

    devices, chemical mechanical planarization (CMP) has become one of the most critical

    semiconductor fabrication technologies because it offers a superior means for global

    and local planarization. Global planarization which is essential to produce a multilevel

    integrated circuit (IC) device is achieved by reducing topographic variation at the wafer

    scale.2 Without CMP, it would be impossible to fabricate complex, dense, and

    miniaturizing multilevel IC devices. Over the past years, CMP has significantly

    advanced both in the development of more sophisticated processing tools and in the

    formulation of novel slurries to further enhance process performance.3,4 Despite these

    advancements, the fundamental knowledge of the effects of the numerous CMP

    process variables on polishing performance is not clear, due to the lack of

    understanding of the substrate to be polished, the slurry that provides the chemistry and

    abrasives for mechanical removal and pad interactions involved. The lack of this

    understanding is a significant barrier to the development of next-generation CMP

    technologies. Therefore, many research has been investigate to understand the nature

    of substrate-slurry-pad interactions that occur during the CMP process.

    The CMP has been used for interlayer dielectric (ILD) planarization, shallow trench

    isolation (STI) structure, and damascene technologies. For these various CMP

    processes, the characteristics of slurry particles are critical in determining the

    22

  • planarization performance of CMP. During the past decade, silica (SiO2) particles were

    traditionally used as CMP abrasives to remove deposited oxide topography at

    technology nodes of 90 nm and higher. Thus, previous studies for the planarization

    performance were focused on mechanical abrasion between silica particles and the

    substrate to be polished and chemical modification in silica-based slurry in order to

    increase the removal ratio of oxide to nitride layer in STI CMP. However, at the 65 nm

    technology node and at below nodes, ceria (CeO2) particles are being introduced in a

    variety of CMP applications for IC manufacture, since ceria particles have the capability

    of achieving higher removal rates and global planarization than silica particles and

    ceria-based slurry can more easily be controlled by additives in the slurry formulation.5

    Recently, the demand of ceria particles as abrasives has been rapidly increased in

    semiconductor fabrication industry. Therefore, the fundamental knowledge for the

    characteristics of ceria particles as CMP abrasive is required in order to enhance the

    ability of current semiconductor devices.

    Compared with other abrasives used in CMP slurry, ceria particles is commonly

    used in the ultra large scale integrated (ULSI) circuit structure due to the effective

    removal rate for oxide film and the softness of the particles. Recently, ceria-based slurry

    has been used in CMP of STI structures consisting of silicon dioxide and silicon nitride

    (Si3N4) deposition due to its high selectivity over nitride.6 For the STI-CMP process, the

    use of high selectivity slurries is very important to halt the polishing at the nitride stop

    layer and reduce the amount of defects such as erosion and dishing. The structural

    properties, chemical aspects and morphological characteristics of the ceria abrasives

    have been identified as the important parameters that influence the CMP performance

    23

  • such as oxide removal rate, removal selectivity, CMP-induced defects and wafer

    uniformity.7 Therefore, many approaches to control these properties of ceria abrasives

    have been extensively investigated.

    Even though the characteristics of ceria particles significantly affect the quality of

    CMP process, it is not easy to manufacture ceria particles as CMP abrasives. The

    commercial method for synthesis of ceria particles involves thermal decomposition of

    cerium salts such as cerium carbonate and cerium hydroxide. This method leads to very

    porous ceria particles with high surface area, inducing softness and high chemical

    reactivity to oxide films.8 However, the size and the shape of ceria abrasives are very

    limited since particle growth is difficult to control during calcination process. To achieve

    the desired particle size and the uniform particle size distribution, mechanical milling

    and filteration is required. Other methods for preparing ceria abrasives are liquid phase

    processes. These methods can lead to ceria abrasives with desirable morphological

    characteristics by manipulating reaction parameters. However, the size of ceria

    abrasives is limited to less than 100 nm. Use of these small size particles results in low

    removal rates of target layers during CMP. Therefore, a new method to overcome these

    problems of ceria abrasives is required.

    Scope of the Research

    The research presented in this dissertation is intended to establish novel synthetic

    methods of preparing ceria abrasives for ILD and STI CMP with precise morphologies

    and chemical composition. The overall objective of this research is to investigate the

    effects of abrasive material properties on polishing removal rate and wafer defectivity by

    using different kinds of ceria particles obtained from a variety of synthesis methods. On

    the basis of results obtained from this study, this article will ultimately present the

    24

  • direction of CMP abrasive for future technology nodes in order to meet the ever more

    challenging defectivity requirements. To achieve these aims, the research presented in

    this dissertation was devoted on a variety of preparation methods of ceria particles. For

    CMP performance evaluation, the effect of the resultant ceria abrasives on the removal

    rate, the oxide-nitride removal selectivity and within-wafer nonuniformity (WIWNU) was

    investigated. A synopsis of the efforts constituting this study is organized as follows.

    Chapter 2 reviews the literature on the CMP process including main components

    in planarization performance. The procedure and mechanism for ILD and STI CMP was

    addressed in detail. From these backgrounds, the need of ceria abrasives was

    emphasized in CMP application and motivations for the implementation of the CMP

    process using ceria abrasives were discussed. Furthermore, the important issues for

    CMP evaluation were summarized and discussed in terms of abrasive characteristics.

    New approaches for developing more effective abrasives are introduced.

    Chapter 3 was devoted to the studies conducted on the preparation and analysis

    techniques of consumables used in this study. For abrasive particles, the synthesized

    particles were analyzed by XRD, FESEM, TEM, XPS, FTIR, TG/DTA and BET. For

    slurry, slurry stability, mean particle size, and zeta potential measurements was

    reported using a variety of light scattering instruments. For CMP evaluation, film

    thickness, selectivity, nonuniformity, and defectivity of the polished wafer were

    measured by metrology tools using in current integrated circuit (IC) fabrication plants in

    order to support polishing results obtained by this investigation. Brief descriptions of

    measurement principles for each facility are also presented in this chapter.

    25

  • Chapter 4 investigated the effects of single crystalline ceria abrasives on silicon

    dioxide and silicon nitride CMP process. The single crystalline ceria particles were

    synthesized by heating peptized ceria sol as precursor under hydrothermal conditions.

    In this chapter, the relationships between dielectric property of the solvent and particle

    size were investigated in terms of the supersaturation of solute. In addition, the

    influences of precipitation participating anions (OH-) and acidic hydrothermal medium on

    crystallites size of ceria particles were studied. Furthermore, the polishing behavior of

    the single crystalline ceria abrasives was discussed in terms of morphological properties

    of the abrasive particle.

    Chapter 5 discussed the effects of spherical ceria abrasives on planarization

    performance. The ceria abrasives were prepared by the flux method, using potassium

    hydroxide (KOH) as the grain growth accelerator. In this chapter, CMP test was carried

    out with four types of ceria-based slurry formulated by dispersing the ceria abrasives

    with different particle size in order to determine how the removal rate, removal

    selectivity, and wafer surface roughness of oxide and nitride films depend on the

    abrasive size and particle size distribution in slurry.

    Chapter 6 presented studies conducted on the synthesis of monodispersed

    ceria coated silica particles and its CMP performance. The coated particles were

    prepared by the surface-induced precipitation method, in which a new type of ceria

    coating precursor was deposited on the surface of spherical silica particles via

    electrostatic attraction route. The ceria coating precursor was synthesized by the sol-gel

    technique, which employs ethanol as a solvent. In this chapter, the effects of solvent

    type and solution pH on the formation of ceria coating layer were investigated. CMP test

    26

  • was performed with the different types of slurries with 146 nm of abrasive size

    controlled by using 135 nm of colloidal silica particles. The suspension pH effects were

    investigated as a function of the applied head load and explained in terms of

    absorption/repulsion behavior between abrasives and materials to be polished.

    Chapter 7 introduced a novel method to synthesize the spherical ceria particles

    via two-step procedure. In first step, spherical cerium carbonate particles were prepared

    via simple precipitation method using alcohol/water mixed solvent. In second step, the

    ceria particles were obtained by subsequent thermal decomposition of the precursor.

    After calcination, the resultant particles were used as abrasives of ceria-based slurry

    without mechanical milling and filteration. In this chapter, the effects of physicochemical

    solvent properties on the crystalline phase, microstructures and morphological

    properties of particles were investigated. In addition, the effects of suspension pH in

    slurry on polishing performance were discussed in terms of electrostatic repulsive forces.

    Chapter 8 investigated the effects of abrasive material properties on polishing

    removal rate and wafer defectivity by using different kinds of ceria particles obtained

    from previous chapters. In this chapter, the effects of the brittle behavior of ceria

    abrasives and particle size distribution of slurry on wafer surface were discussed.

    Chapter 9 summarized the conclusions of this study and offered some suggests

    for future research.

    27

  • CHAPTER 2 LITERATURE REVIEW

    Chemical Mechanical Planarization (CMP)

    Chemical mechanical planarization (CMP) is an abrasive process using chemical

    agents and a circular action to polish the surface of the wafer smooth. Planarization is

    the process of smoothing and planning surface. CMP can be also referred to chemical

    mechanical polishing that causes planarization of surface. However, the meaning of

    polishing is different from the meaning of planarization. Polishing generally refers to

    smoothing the surface not necessarily planar. Thus, the primary function of CMP is to

    planarize individual layers in complex integrated circuits. The slurry is the very important

    key player among the CMP consumables providing both chemical and mechanical

    effects.

    CMP Process

    A schematic of a typical CMP process is illustrated in Figure 2-1. The wafer is held

    on a rotating carrier force down and is pressed against a polishing pad attached to a

    rotating disk, while chemically and mechanically active slurries are applied. CMP slurry

    contains abrasive silica or ceria particles suspended in an aqueous medium. Both

    mechanical action of the abrasive particles and the chemical action of slurry

    constituents remove material from the wafer surface. Planarization results because

    material is removed faster from protruding regions on the surface than from recessed

    regions. The general requirements of CMP can be summarized as follows: First, there is

    a need for high removal rates of the material to be polished to achieve the needed

    throughput. Second, the selectivity of the slurry must be sufficiently high so that only the

    material of interest is polished. Third, the polished surface must exhibit excellent

    28

  • topographical uniformity. Finally, local dishing and erosion effects must be minimized to

    satisfy the die-level flatness requirements of sub-0.3 micron devices. Therefore, in order

    to meet the requirements, it is necessary to understand in detail the nature of contact

    between chemical-mechanical consumable and individual films. Among these

    consumable, CMP slurry is one of the most crucial elements to improve the quality of

    multilevel interconnect networks. It is generally agreed that CMP slurries should be

    designed to optimize for specific applications.1

    CMP Slurry

    CMP slurry is typically contained with suspended abrasive particles, an oxidizing

    agent, corrosion inhibitor, and other additives including dispersants.1 During the CMP

    process, the abrasives in the slurry and the rotating polishing pad provide the

    mechanical action that removes material on the surface layer. The chemical

    components of the slurry accelerate polishing and can be mixed to select specific

    substances on the surface of the wafer. The types of CMP slurry is categorized by the

    target materials polished in CMP process. Abrasives and chemical components are also

    changed by the properties of layers polished during CMP process. Abrasives in the

    slurry play the very important role of transferring mechanical energy to target material.

    Silica or ceria particles are commonly used as abrasive of oxide CMP process and

    alumina particles are used in metal CMP process.1 During CMP process, it has been

    known that the abrasive particle size and size distribution have an enormous impact on

    the evolution of microscratches. Over the past 10 years, the chemical property of

    surface and the hardness of abrasive have been identified as the important parameters,

    which affect removal rate, selectivity, and the quality of surface polished during CMP

    process. Chemical components in the slurry can be designed for specific functions by

    29

  • the addition of oxidizers or adjusting the pH of the chemical vehicle.9 It is generally

    understood that additives modifies the surface to be polished and yields a softer and

    porous complex layer, which is then removed by mechanical force in the process.

    Moreover, dispersion agent is used to provide a stable dispersion of abrasive. Therefore,

    the quality of polishing, which is critical to yield, depends upon the quality and

    consistency of the CMP slurry. CMP slurry must continually improve to meet much

    higher performance specifications demanded by the trend of new types of CMP

    technique and the introduction of noble materials.

    CMP of Dielectrics

    CMP is commonly employed for both the front and back end processing of

    integrate circuit (IC) devices due to its unique global planarization capability. This

    process includes interlayer dielectric (ILD), shallow trench isolation (STI), pre-metal

    dielectric (PMD), and copper CMP. Slurry is specifically modified for each CMP process

    to improve the polishing performance such as removal rate, removal selectivity, global

    planarization, and minimized defectivity. Among these CMP processes, this paper will

    deal with oxide used as ILD and STI CMP to understand the fundamentals of slurry

    design for CMP. In this part, I discuss the procedure and mechanism for these CMP

    process.

    Oxide CMP

    Process of oxide CMP

    Oxide planarization is probably the most common of all CMP processes. Inter-level

    dielectrics (ILD) are routinely planarized prior to the deposition of the next metal layer.

    Oxides layers vary thickness, but generally between 5000 and 10,000 of dielectric

    material is removed during CMP. Figure 2-2 shows the schematic for the ILD CMP.10

    30

  • Mechanism of oxide CMP

    Oxide removal does not occur as a result of physical abrasive action alone. Rather,

    it is the result of chemical reactions in which oxide bonds form between the slurry

    particles and the wafer surface.11,12 The physical abrasive action then comes into play

    as the moving slurry particles break these bonds and move away. Although much

    research is still being conducted in this area, it is known that the process proceeds

    along these lines, as illustrated in Figure 2-3:

    1. Hydroxylation - formation of hydrogen bonds between oxides on the wafer

    surface and the slurry particles

    2. Formation of hydrogen bonds between slurry and wafer

    3. Dehydration (expulsion of H2O)

    4. Breaking of bonds as the slurry particles are forced along

    The most common slurries used for ILD CMP are silica-based and ceria-based.

    These slurries generally have particles which range in size from 30 ~ 150 nm.

    Relationship between particles and wafer

    An understanding of the nature of contact between particles and the wafer to be

    polished is essential to maintain the strict process requirements for manufacturing

    current and future generation integrated circuit (IC) chips. Especially in oxide CMP,

    particles play an important role in achieving desired CMP performance such as high

    material removal rate, low surface defects, and local global planarization via mechanical

    abrasion and chemical modification of the wafer surface.10 In spite of its importance, the

    effect that the slurry particles have no polishing performance is not clear. For polishing

    of copper or ferrite, it was suggested that the polishing rate is proportional to particle

    size and solids loading.13,14 Cook presented data suggesting that the polishing rate is

    31

  • independent of particle size for glass polishing.12 Izumitani suggested that the polishing

    rate decreases with increasing particle size.15 Singh suggests two polishing mechanism

    in silica CMP.16 One is a contact area based mechanism by which

    3/13/10

    CA (2-1)

    where A is the contact area, C0 is the particle concentration (the number of

    particles) and is the particle diameter (abrasive size). In this model, the polishing rate

    increases with an increase in particle concentration and a decrease in particle size,

    which was observed during tungsten CMP.17 The other is an indentation volume based

    mechanism by which

    3/43/10 CV (2-2)

    where V is the indentation volume. According to this indentation volume based

    mechanism, the polishing rate increase with decreasing particle concentration and

    increasing particle size. This mechanism was observed via silica polishing experiments.

    Shallow Trench Isolation (STI) CMP

    Process of STI CMP

    The shallow trench isolation (STI) process is one of the most important

    applications of CMP. This process has emerged as the primary technique for advanced

    ultra large scale integration (ULSI) technologies. This process was developed as an

    alternative to traditional local thermal oxidation processes (LOCOS). The LOCOS

    process has a major drawback known as the birds beak phenomenon. A birds beak

    defect occurs due to the diffusive nature of the oxide growth process.1 As the oxide

    grows vertically downward into the underlying silicon, it also grows horizontally to the

    sides and underneath the silicon nitride mask, thus encroaching into the active device

    32

  • regions. This becomes more of a problem at geometries below 0.25 m. A secondary

    benefit of STI is that it can generally be done faster and at lower temperatures than

    LOCOS. Referring to Fig. 2-4, the STI processes begins with the growing of a very thin

    oxide layer (100 ~ 200 ) sometimes called the pad oxide. Then, a thicker (500 ~

    1,500 ) layer of CVD nitride is deposited on top of the pad oxide. These layers are

    then patterned with photoresist and trench is etched into the substrate. After the trench

    is etched, a thin oxide layer is grown on the trench sidewalls and bottom to smooth out

    the corners and to serve as a liner. Finally, 8,000 ~ 11,000 of CVD oxide is

    deposited to fill the trench. This oxide is then planarized using CMP. With the oxide

    serving as an etch stop, the nitride layer is then stripped away to expose the active

    device regions. One of the key issues of this process is the selectivity of the nitride vs.

    oxide. Results ranging from 5:1 to 175:1 have been reported.

    Mechanism of STI CMP

    STI is a specific CMP application which generally requires the selective removal of

    silicon dioxide to silicon nitride on a patterned wafer substrate. In this case etched

    trenches are overfilled with a dielectric (silicon dioxide) which is polished using the

    silicon nitride barrier film as a stop layer. The process ends with clearing the silicon

    dioxide from the barrier film while minimizing the removal of exposed silicon nitride and

    trench silicon dioxide. This requires slurry capable of achieving a high relative ratio of

    silicon dioxide material removal to silicon nitride removal (high selectivity slurry). Ceria-

    based suspensions have received considerable attention in STI applications because of

    their ability to achieve high selectivity.18,19

    33

  • Mechanism of silicon dioxide using ceria particles

    One mechanism of silica glass polishing using ceria particles previously proposed

    by Cook involves proton abstraction from silica followed by reaction with Ce-OH to form

    a Si-O-Ce bond.13 Cook described the nature of chemical interaction leading to the

    accelerated removal rate with ceria abrasives, listed below:

    1. Water penetrates into the glass surface

    2. Water reacts with the surface, which leads to the dissolution under particle load

    3. Abrasives adsorb some dissolution products and leave from the substrate

    4. Some dissolution products redeposit onto the substrate

    5. Surface dissolution happens between particle impacts

    It is hypothesized that the formation of a strong Si-O-Ce bond leads to break of Si-

    O-Si bond on wafer surface because the free energy of the formation of cerium oxide

    (Hf = -260 kcal/mole) is much less than the free energy of the formation of silicon

    dioxide (Hf= -216 kcal/mole).17,18 Maximum material removal happens when a neutrally

    charged ceria particle approaches a silica substrate with negative surface charges to

    form surface chemical bonds in aqueous environments.

    ++ + 2OHMHOHM (pH < pHIEP) (2-3)

    + + HOMOHM (pH > pHIEP) (2-4)

    where M-OH is the neutral hydroxyl group and pHIEP is pH value at zero charge

    of ceria abrasives (isoelectric point), -M-O- is the deprotonated surface groups and -M-

    OH2+ is the protonated surface groups, respectively. Figure 2-5 shows the variation of

    zeta potential with pH value for substrates (oxide and nitride) and ceria abrasives. At pH

    < pHIEP, silica substrate has a negative surface charge and the ceria abrasives have a

    34

  • positive surface charge, leading to absorption between two materials. On the other hand,

    with the increasing pH of the solution, the ceria surface becomes more negatively

    charged and the silica surface also has a negative surface charge, leading to repulsion

    between two materials. The removal of material from the surface of silica-glass during

    the polishing process is attributed to a temporary attachment (through surface chemical

    bonds) of ceria particles to the silica-glass surface.

    ++ OHCeOSiOHCeOSi (2-5)

    The material removal occur when a silica tetrahedron structure is broken from the

    silica substrate because the strength of Ce-O bonding is greater than Si-O bonding.19

    + CeOSiOSiCeOSiOSi (2-6)

    Mechanism of silicon nitride using ceria particles

    The proposed mechanisms for ceria-based slurry on silicon nitride substrate may

    be slightly more complex than proposed for silicon dioxide substrate.22-24 As shown in

    Fig. 2-5, the surface charge of silicon nitride has a functionally difference in acidic pH

    region. Particularly, at pH 5 ~ 6, the surface of silicon nitride have a positive charge due

    to the presence of protonated amine groups which are not present on silicon oxide

    substrate.25-27 However, hydrolysis reactions on the surface of silicon nitride occur

    readily in aqueous solutions which liberate ammonia and generate silica-like surface

    structures. Such a hydrolysis reaction depicted by Eq. 2-7 would favor formation of

    reactive surface silanol groups and surface charge at pH 5 ~ 6 would also become

    negative.

    32243 436 NHSiOOHNSi ++ (2-7)

    35

  • Therefore, the kinetics of the hydrolysis reaction on silicon nitride affects surface

    reactivity and functionality with ceria abrasives. Laarz et al.28 proposed an acidic

    catalyzed pathway for hydrolysis of silicon nitride in aqueous environments. Fig. 2-6

    depicts hydrolysis reaction scheme for a nucleophilic displacement reaction (SN2) of

    silicon nitride, listed below:

    1. Protonation of surface amine

    2. Coordination of water

    3. Concerted water insertion and Si-N cleavage

    4. Proton transfer to amine leaving group

    5. Continued hydrolysis at Si center

    A water molecule can coordinate to the silicon via an SN2 insertion known in

    organic chemistry as a nucleophilic displacement reaction (SN2 = substitution,

    nucleophilic, bimolecular). In this pathway, an amine is liberated as a leaving group after

    the first water insertion. This reaction introduces a hydroxyl group into silicon and the

    resulting silicon is more electropositive and sterically less hindered. These electronic

    and steric considerations induce that subsequent hydrolysis should proceed more

    quickly than the initial water insertion. In this reaction, three proton transfer reactions

    occur29: (1) from the solution to a surface amine Si-NR2, (2) from the surface amine to

    the adjacent water, and (3) from the protonated silanol to the solution amine. R

    represents the subsurface neighboring atom covalently bonded to silicon (most likely

    nitrogen). R represents the surface atom covalently bonded to nitrogen (either silicon or

    hydrogen). Based on this mechanism, molecules which can compete for surface

    36

  • protons should have an impact on hydrolysis rates, affect Si3N4 surface functionality,

    and ultimately influence reactivity with ceria abrasives.

    High selectivity ceria-based slurry

    The surface potential for oxide and nitride are affected by the suspension pH,

    dispersants and organic additives during STI CMP process. In order to improve the

    selectivity and uniformity, an anionic acrylic polymer is commonly used to passivate the

    surface of the nitride film during STI-CMP, which prevents ceria abrasives from

    contacting the film surface. Hirai et al.30 explained the selective absorption mechanism

    of acrylic polymers on silicon oxide and silicon nitride layers in water-based system.

    They showed that the characteristics of the passivation layer are determined by the

    acrylic polymers and suspension pH during CMP process. Moreover, many researchers

    reported that the selective adsorption is attributed to the difference in surface charge

    between silicon oxide and silicon nitride layers.31-33

    Generally, the silicon oxide layer and the abrasives in ceria-based slurry with

    acrylic polymer have a negative surface charge at pH 3.0, while the silicon nitride layer

    has a positive surface charge at pH 3.0.30-35 Figure 2-7(a) shows the variation of zeta

    potential as a function of pH value for substrates (oxide and nitride) and ceria abrasives

    including acrylic polymer. Philipossian et al.32 also proposed a selective adsorption

    model based on the zeta potential of ceria-based slurry with anionic organic polymer in

    terms of high selectivity. Figure 2-7(b) represent the formation of passivation layer on

    the surface of silicon nitride layer and electrophoretic behavior of each material with

    anionic organic polymer during STI CMP process. The attraction/repulsion reaction

    between ceria abrasives and oxide/nitride layers results from the different

    electrophoretic mobility as a function of suspension pH. These behaviors affect CMP

    37

  • performance such as material removal rate of substrate and removal selectivity between

    silicon oxide and silicon nitride layer. Anionic acrylic polymer is commonly used to

    improve the removal selectivity by formatting passivation layer on the surface of the

    silicon nitride.

    Ceria Abrasive

    Abrasives in the slurry play the very important role of transferring mechanical

    energy to the surface of substrates during CMP. Among these abrasives, ceria as

    abrasive has received considerable attention in CMP process due to its chemical

    functions leading to high removal rate, silicon oxide to silicon nitride selectivity, and

    lower solid content in slurry. However, there are some problems to be worked out.

    Advantages of Ceria Abrasive

    As mentioned earlier, ceria particles receiving intense attention as a main slurry

    component for CMP process in semiconductor manufacturing industry due to the

    effective removal rate for oxide film and the softness of the particles.14 Fig. 2-8(a)

    compares the removal rate of oxide layers between fumed silica, colloidal silica, and

    ceria as a function of normalized polishing stress.19 The removal rate with ceria-based

    slurry is greater than that with silica-based slurry. This is attributed to the fact that ceria

    abrasive exhibits a chemical reactivity for oxide layer leading to acceleration of the

    removal rate during oxide CMP. As a result, the chemical bonding between ceria and

    oxide layer can be rapidly removed by the mechanical force generated by pressed pad

    and abrasive, and this physicochemical reaction lead to the high removal rate of a

    silicon dioxide film by ceria abrasive. Moreover, the hardness of ceria is lower than that

    of substrates to be polished during CMP process as shown in Fig. 2-8(b). From this fact,

    it is expected that the scratches on the surface of wafer will be decreased by the lower

    38

  • hardness of ceria abrasives. Additionally, ceria-based slurry has received considerable

    attention in STI CMP because of its ability to improve removal selectivity. The oxide-to-

    nitride removal selectivity is usually enhanced by adding of an acrylic polymer as

    additive to water-based slurry with ceria abrasives. Thus, removal selectivity is affected

    by the molecular weight, the concentration of acrylic polymer, and the morphological

    properties of ceria abrasive. Furthermore, although ceria is a relatively soft material, it

    has long been used to polish harder glass substrates effectively. Compared with as high

    as 30 wt % for conventional colloidal silica abrasives and 12.5 wt % for fumed silica

    abrasives, ceria-based slurries typically contain less than 1 wt % solid content.36 This

    will induce a considerable reduction in manufacturing cost and solid waste discharge.

    Therefore, ceria particle can provide excellent CMP performance owing to high

    polishing efficiency for silicon dioxide film and lower hardness. Therefore, the ceria

    particle as abrasive for CMP slurry has been widely investigated to improve the quality

    of CMP process.

    Disadvantages of Ceria Abrasive

    In spite of many advantages of ceria abrasive, this contains critical disadvantages

    leading to serious defects on substrates during CMP process. Usually, commercial ceria

    abrasives for CMP slurry were synthesized by thermal decomposition of the cerium salt

    such as cerium carbonate and cerium hydroxide.8 This method offers certain

    advantages, such as the higher chemical activity and the brittle property of ceria

    abrasive due to the high porosity of the surface.35 However, the size and morphology of

    the ceria particles are very limited in that particle growth is difficult to control.38-40 A large

    number of oversized particles in the distribution tend to give high scratch counts on the

    polished wafer.41 Also, these particles need a complicated milling process to regulate

    39

  • the size distribution. In order to overcome this problem, many approaches to control

    these properties of ceria particles have been extensively investigated by using liquid

    phase processes, such as precipitation method,42 hydrothermal method,43-45 sol-gel

    method,46 and electrochemical method.47 These is the attractive methods since particles

    with the desired size and morphology can be produced by carefully manipulating

    parameters such as solution pH, concentration, reaction temperature, time, and the type

    of solvent. Besides, these processes can directly synthesize well-crystallized particles

    without post-heat treatment. However, the size of ceria particles synthesized by using

    liquid phase process was limited to less than ~ 100 nm. These particles lead to the low

    removal rate in the CMP process. Moreover, the ceria abrasive in CMP slurry has easily

    sedimented because ceria is too dense to remain suspended in solution. The settling

    behavior is the different characteristics of ceria abrasive with respect to colloidal silica.

    The specific gravity of ceria and colloidal silica is about 7.13 g/cm3 and 2.2 g/cm3,

    respectively.48 The particle settling is much more severe for ceria-based slurry than that

    for silica. The sedimentation of ceria abrasive induces an unstable polishing rate for

    changeable solid contain during CMP and hard aggregates resulting from poor

    dispersion stability creates surface scratches on the polished film. Therefore, the

    broader particle size distribution and the sedimentation aggregates have a bad

    influence on the quality of the polished films during CMP process. Many approaches

    have been extensively investigated to overcome these problems.

    Key Quality Issues

    The most important issues in slurry performance for CMP relate to removal rate,

    global planarity, surface topography (dishing and erosion), surface defectivity (including

    roughness, scratches, dents, and delaminating), and particle contamination.10 To

    40

  • develop a methodology for designing slurry formulations, one must be able to

    understand the mechanisms active during CMP processing. Table 2-1 lists some of the

    most important fundamental parameters that must be optimized in order to achieve

    acceptable characteristics in CMP slurry.

    Removal Rate

    A high removal rate is an essential aspect of a CMP performance. The removal

    rate is the amount of material removal by CMP in a given time frame. It is calculated

    according to the Preston equation, MRR = KpP0V, where MRR is the material removal

    rate, P0 the down pressure, V the relative velocity of water, and Kp a constant

    representing the effect of other remaining parameters, and the amount is usually

    expressed in /min.49 Removal rates depend on the film being removed, type of pad

    and slurry being used, amount of downforce and relative velocity of the wafer carrier

    and polishing platen.50-52 Especially, the removal rate of dielectrics can be affected by:51

    1. The size and distribution of the abrasives in slurry

    2. The number of abrasives

    3. The pH of the slurry

    4. Pre-CMP film stress

    Increasing any of these properties will usually result in an increased removal rate.

    However, it has been reported that in some instances raising the pH does not

    necessarily increase the removal rate. In fact, for some types of slurries reducing the pH

    can slightly increase the removal rate. The removal rate is affected by the size and

    concentration of slurry abrasives due to frictional force between the abrasives and the

    wafer as mentioned in CMP of dielectrics section. The type of oxide, thermal or CVD,

    also has an effect on the removal rate. Another factor which affects the removal rate is

    41

  • the topography on the surface. Material is typically removed at a higher rate on small

    and isolated features. On larger or tightly spaced features the removal rate can be

    reduced drastically. This will also impact the uniformity of removal.

    Uniformity and Planarity

    Uniformity and planarity are two closely related but distinctly different topics.

    Uniformity is the measure of film thickness (or removal rate) variations across the wafer.

    Planarity is more a measure of overall die flatness. In other words, a given wafer may

    exhibit acceptable planarity but not be very uniform. All of the process variables

    mentioned can have direct effect on uniformity. Controlling the process is the key and

    much work and research still needs to be done. Uniformity is the standard deviation of

    thickness removal rate measurements and it is expressed as a percentage of the

    average thickness removed. Planarity, on the other hand, is simply a measurement of

    the degree of flatness and can be expressed as a percentage (planarity across the

    wafer) or as a specific number. Both of these issues are complicated by a variety of

    factors, such as topography spacing. The topography not only affects uniformity across

    the entire wafer, but it can cause problems within each specific IC device.

    Global Planarization

    Global planarization refers to the ability of the CMP abrasives to rapidly planarize

    pattern-dependent and large-scale surface morphologies. The lateral dimensions of

    surface topography can range from nanometers to several millimeters, due to large

    pattern size or gentle topographic variations. The CMP process is typically conducted

    on films deposited on patterned surfaces that as a result have significant surface

    topography. The pitch of the pattern (the sum of the width of the patterned lines and the

    spacing between them) as well as its density can vary significantly across the die, which

    42

  • results in different local polishing pressures across the patterned surface. The variations

    in local polishing pressure lead to varying removal rates and thus to varying amounts of

    material removed before global planarization can be achieved. Compared with CMP,

    chemical etching decreases surface planarity and increases surface roughness, while

    mechanical polishing can enhance the planarity but only at a low removal rate and at

    the expense of a poor surface finish. A key condition for global planarization is the

    formation of a very thin passivating surface layer that is subsequently removed by

    mechanical component of the slurry. The thickness of this layer is commonly under 2

    nm. The removal rate of thin passivated surface layer is greater at the highest regions of

    the wafer surface than at the lowest regions, due to differences in local pressure in

    these regions. If the passivated layer is thinner than the difference in height between the

    highest and the lowest regions significant planarization is expected to occur with CMP.

    In the case of dielectric CMP, it is generally believed that by controlling the pH in

    the alkaline regions, a thin hydrated surface layer is achieved.12 The role of the hydrated

    surface layer formed under alkaline pH conditions is to soften the surface so that higher

    removal rate can be obtained. It is speculated that the thickness and properties of the

    soft, gel-like layer depend on the pH as well as on the contact pressure. The removal

    rate of silica under purely mechanical conditions (at low to neutral pH) is less than a

    factor of two lower than those obtained under alkaline pH conditions. This indicates that

    oxide CMP is more mechanical in nature than metal CMP.

    High-planarity polishing is typically observed for slurries that exhibit linear variation

    in removal rate with a change in applied pressure (Papp). The planarization capability of

    slurry is related to the sensitivity of the removal rate to high and low regions on the

    43

  • wafer. z is the distance between highest and lowest regions, and then the planarity of

    the removal rate can be directly related to dRR/dz, or the rate of change in the

    removal rate with the variation in surface height. This parameter can be further

    expressed as10

    =

    zddP

    dPdRR

    zddRR (2-8)

    where P is the local pressure on the polishing surface, which is directly

    proportional to Papp. The first term in the product is strongly dependent on the

    characteristics of the slurry, while the second term is dependent on the mechanical

    properties of the pad. The values of dRR/dP are typically enhanced by having a thin

    layer that exhibits pressure-dependent material-removal characteristics and harder pad

    that transfer a significant portion of the applied pressure directly to the abrasives.

    Selectivity

    Another important criterion for STI CMP is selectivity, which represents the ratio of

    material removal rate (MRR) of silicon oxide to silicon nitride. Generally, a high

    selectivity value is desired because the CMP process needs to stop once the silica layer

    is removed. To enhance selectivity, the silica layer is typically polished by applying

    chemical/mechanical action and ensuring that chemicals do not extend their chemical

    assisted synergistic effects to the underlying layer, causing it to be mechanically

    removed. For STI CMP, conventional silica-base slurries achieve removal selectivity

    value of silica-to-silicon nitride layer in the range of 3 ~ 4.10 These low values can lead

    to extensive loss of nitride thickness, especially for large pattern density variations

    across the die. Recently, the removal selectivity value has been significantly increased

    due to reduced mechanical and chemical effects on the silicon nitride layer with the use

    44

  • of ceria-based slurries. A preferred STI process can be achieved by driving the removal

    rate of the protective nitride layer as low as practical while maintaining a reasonable

    rate for the fill oxide. Additionally, by suppressing the nitride removal rate, issues

    associated with pattern dependent nonuniformity with CMP can be reduced or

    minimized. Thus, selected additive and acidic polymer can be added to ceria-based

    slurry. Purely mechanical action on the underlying layer can result in high defectivity,

    especially in soft materials such as low-k dielectrics. Methods to reduce the mechanical

    component of the slurry, for example, by the use of even smaller particles or softer

    abrasives, may be required in the future.

    Surface Defectivity

    Another important aspect of CMP processing is surface defectivity. Defectivity

    issues include surface scratches, indentations, surface roughness, dishing, particle

    adhesion, and corrosion. Figure 2-9 shows the CMP defectivity for wafer surface.

    Among these defects, surface scratches are typical defects of the CMP process and are

    produced mainly due to the aggregates of slu


Recommended