+ All Categories
Home > Documents > One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3...

One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3...

Date post: 27-Jul-2020
Category:
Upload: others
View: 3 times
Download: 0 times
Share this document with a friend
8
Transcript
Page 1: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3
Page 2: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

2 Altera’s Device Portfolio • 2012 • www.altera.com

One Size Does Not Fit AllWhat do you do when system performance demands threaten to increase power consumption and cost? What happens if you’ve got the power you need but not the performance?

Wouldn’t it be great if you didn’t have to sacrifice one benefit to gain another?

That’s the thinking behind the Altera® 28 nm device portfolio. Whether you need the highest performance, the lowest cost, or something in between, our process strategy is tailored to your design needs. So you’ll get just what you need to differentiate your products without any tradeoffs.

The portfolio includes:

•Cyclone® V FPGAs: The industry’s lowest system cost and power, along with performance levels ideal for differentiating high-volume applications

•Arria® V FPGAs: The optimal balance of power, performance, and system cost for midrange applications

•Stratix® V FPGAs: The highest bandwidth, density, and performance at the lowest total power for high-end designs

•CycloneVandArriaVSoCFPGAs: The performance, power, and cost savings of hard logic with the flexibility and time-to-market benefits of programmable logic

•HardCopy®VASICs: The path to lower unit cost, low power, and high-volume production

In our newest devices, you get abundant hard intellectual property (IP) blocks. You’ll be able to reduce design time, related soft costs, and power, while getting the performance you need. What’s more, you also get a broad set of soft and hard embedded processor cores from Altera (Nios® II processor), and partners (such as Freescale’s V1 ColdFire), all using a common design flow.

Page 3: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

Altera’s Device Portfolio • 2012 • www.altera.com 3

Low-Cost CPLD FamilyIn addition to 28 nm FPGAs, Altera’s MAX® V CPLDs provide the industry’s best value with their mix of low price, low power, and robust features for general-purpose and portable applications. You’ll get:

•Anon-volatilearchitectureforfastperformanceof“bootloader”functions

•Upto50percentlowertotalpowerversuscompetingCPLDs,withstaticpoweraslowas45µW

•Packagesassmallas20mm2

Why Process MattersAltera’s 28 nm devices were developed on two different processes from Taiwan Semiconductor Manufacturing Corporation (TSMC). Because each process is optimized for a specific set of advantages, the portfolio of devices is capable of meeting a wide range of design requirements.

•TSMC’s28nmLowPower(28LP)processisoptimizedforlowestpowerandcost,deliveringupto50percentlowerdynamicpowerversusthepreviousgeneration.CycloneVandArriaVFPGAs are manufactured on the 28LP process.

•TSMC’s28nmHighPerformance(28HP)processisoptimizedforhighestbandwidthwithoutexceedingyourpowerbudget,yielding35percentfasterdevicesversusthe28LPprocess.StratixVandFPGAsaremanufacturedonthe28HPprocess.

Our latest programmable devices are supported by rich design resources and meet your unique needs.

ALTERA’sDevice Portfolio

IP Cores andReference Designs

EmbeddedProcessor

DSP Builder

Page 4: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

4 Altera’s Device Portfolio • 2012 • www.altera.com

StratixVFPGAs:HighestBandwidth,Density,andPerformance

•Includesfourvariants:

- Stratix V E FPGA with logic only

-StratixVGSFPGAwith14.1Gbpstransceivers,optimizedforDSPapplications

-StratixVGXFPGAwith14.1Gbpstransceivers,optimizedforlogicapplications

-StratixVGTFPGAwith28.05Gbpsand14.1Gbpstransceivers

•High-performancememorycontrollersforDDR3at1066MHz/2132Gbps,RLDRAMII,andQDRII+SRAM

•EmbeddedhardIPforPCIeGen3

•Highestflexibilityvariable-precisiondigitalsignalprocessing(DSP)blocks

Devices for All Your NeedsWe’ve pushed the envelope in device architecture, transceiver technology, and hard IP blocks. The result? An array of differentiated devices to meet your design requirements.

ArriaVFPGAs:BalancedPower,Performance,andSystemCost

•Includesthreevariants:

-ArriaVGXFPGAwith6.5536Gbpstransceivers

-ArriaVGTFPGAwith10.3125Gbpstransceivers

-ArriaVGZFPGAwith12.5Gbpstransceivers

•Variable-precisionDSPblocks

•HardPCIeGen2x4andGen1x8withmultifunctionsupportinArriaVGXandGT FPGAs, up to Gen3 x8 in Arria V GZ FPGAs

•Hardmemorycontroller(DDR3at500MHz)orsoftmemorycontroller(DDR3at667MHz)forArriaVGXandGTFPGAs,softmemorycontroller(DDR3at800MHz)forArriaVGZFPGAs

•ThreepowerrailsforsimplepowernetworkdesigninArriaVGXandGTFPGAs

CycloneVFPGAs:LowestCostandPower

•Includesthreevariants:

- Cyclone V E FPGA with logic only

-CycloneVGXFPGAwith3.125Gbpstransceivers

-CycloneVGTFPGAwith5Gbpstransceivers

•3.3VI/Os

•MultifunctionPCIExpress®(PCIe®)Gen2x2

•HardmemorycontrollersforDDR3(400MHz),MobileDDR2,andLPDDR2

•Mostdesignsunder5Wofpower

Page 5: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

Altera’s Device Portfolio • 2012 • www.altera.com 5

CycloneVandArriaVSoCFPGAs:ExtendingthePortfolio

•Industry-leadingintegrationwithanARM®-basedhardprocessorsubsystem(HPS)

•RichsoftwaredevelopmentecosysteminheritedfromARMCortex™-A9 MPCore™ processors includes software development tools, operating systems, and middleware

•Efficient8-inputadaptivelogicmodule(ALM)

•New10Kbinternalmemoryblocks(M10K)

•New640-bitmemorylogicarrayblocks(MLABs)

•Variable-precisionDSPblocks

•Fractionalphase-lockedloops(fPLLs)toreduceexternaloscillator needs

•Highlyflexibleclockingnetwork

•Power-optimizedMultiTrackroutingarchitecture

•IncludesthreeCycloneSoCvariants:

-CycloneVSESoCFPGAwithARM-basedHPSandlogic

-CycloneVSXSoCFPGAwithwithARM-basedHPSand 3.125Gbpstransceivers

-CycloneVSTSoCFPGAwithwithARM-basedHPSand 5Gbpstransceivers

•IncludestwoArriaSoCvariants:

-ArriaVSXSoCFPGAwithARM-basedHPSand6.375Gbpstransceivers

-ArriaVSTSoCFPGAwithARM-basedHPSand10.3125Gbpstransceivers

FPGA

Page 6: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

6 Altera’s Device Portfolio • 2012 • www.altera.com

RemoteRadioHeadFor remote radio heads, Arria V FPGAs deliver:

•<10Wpower

•Upto35transceiversatupto6.375GbpsonGXvariant;90mWpertransceiverchannelat6.375Gbps

•Uptosixtransceiversatupto10.3125GbpsonGTvariant;135mWpertransceiverchannelat10.3125Gbps

•Nativesupportforfiniteimpulseresponse(FIR)filtersinvariable-precisionDSP blocks

•HardmemorycontrollerwithsupportforDDR3at533MHz

•Efficientresourceutilizationviatwofull18x19-bitpathsoneachDSPblock

Arria V FPGA

EthernetMAC

CPRIOBSAIFramer

CPU Operationand Maintenance

DigitalUpconversion CFR DPD TX DAC

MIMOAnalog

RFRX ADC

DPDFB ADS

DigitalDownconversion

MemoryControllor

CPUDPD Software

Motor Controller

For motor control applications, Cyclone V FPGAs deliver:

•<5Wpower

•Fewercomponentsforreducedbillofmaterials(BOM)cost

•Nativefloating-pointsupportinallDSPblocks

•AbilityforyoutoquicklyadapttoevolvingIndustrialEthernet protocols

•User-friendlypartialreconfiguration,whichletsyouchange core and transceiver functionality on the fly while other portions of your design are running

•>15-yearlifecycle,supportinglonglifespansofindustrial equipment

•Integratedsecuritytoprotectyourdesignfromcloningandreverseengineering

•Singleeventupset(SEU)detectionandmitigation

Cyclone V FPGA

Security +Fail-Safe Block

I/O or PWM

Industrial Ethernetor Fieldbus

Encoder

Encoder

Encoder

Motor Controllor

Multi-AxisMotion ApplicationDigital Encoder

Block

Industrial Ethernetor Fieldbus

Where You Can Use Our Newest DevicesHere’sasamplingofapplicationswhereyoucantakeadvantageofthecapabilitiesinour newest device families.

Page 7: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

Altera’s Device Portfolio • 2012 • www.altera.com 7

StudioVideoServer

For studio video servers, Stratix V FPGAs deliver:

•Supportformultiplecoder/decoders(CODECs)through user-friendly partial reconfiguration

•Native10-bitmemorysupportforfastervideobuffering of larger and more frames

•Efficientvideoprocessingwithhighratioofmultipliers and memory to logic

•Productivity-enhancingsolutionsupportedbyVideo and Image Processing (VIP) Suite of IP cores

INGE

ST

Encode

VideoProcessing

SDI RX

Motherboard

PCIeGen2 x8

PCIeGen2 x8

PLAY

OUT

Decode1

Decode2

VideoProcessing

SDI TX

SDI N ... SDI O SDI O ... SDI N

Stratix V FPGA

Motion/Motor Control Board

Cyclone V SoC FPGA

ARM/Nios II Processor MAC PHY

PowerStage

HDL Motor Control and I/O Logic

Decimation, ClarkTransformation,Space Vector Modulation.

IGB-T Control, etc. Encoder

Motor

Industrial Ethernet

Load(Mechanical

Components)PWM

A/DConverters

PWM

A/D I/F

Dig. Encoder

CPRIOBSAIframer

EthernetMAC

MultichannelTx SRC/DUC

MultichannelRx SRC/DDC

CFR DPD

Nios IIDPD

software

Memorycontroller

ARM/Nios II O/M andconfiguration

EthernetI/F

RS-232I/F

DDR2/3memory

Flashmemory

TxDAC

MIMOanalog

RFDPD

FB ADCRx

ADC

Arria V SoC

Single sector, 2x2 MIMO - One Arria® II GX FPGA or multiple Cyclone IV GX FPGAsSingle sector 4x4 MIMO or 8x8 MIMO. One Stratix® IV GX/HardCopy® IV GX device or multiple Arria II GX FPGAs

IndustrialNetworking

For industrial networking applications, Cyclone V SoC FPGAs deliver:

•Higherbandwidthandperformanceatalowertotalcostofownership(TCO)

•Asinglehardwareplatformthatcansupport multiple Industrial Ethernet and fieldbus protocol standards

•AbilitytoreconfiguretheFPGAduring manufacturing or even in the field to accommodate any changes in Ethernet specifications

•Supportfordifferentstandardswithouttheneedformultiplededicatedadaptercards

LTERFCardSolutions

For wireless applications, Arria V SoC FPGAs deliver:

•High-performance,power-efficient,scalable silicon platform

•Samplerateconverter(SRC)blocks,suchasdigitalupconverter(DUC),digital downconverter (DDC), crest-factorreduction(CFR),anddigital predistortion (DPD) blocks, canbeimplementedefficiently

•Futureproofingviasupportforin-field programmability.

Notes:1. O&M = Operation and maintenance2. CPRI = Common Public Radio Interface3. OBSAI = Open Base Station Standard Initiative

Page 8: One Size Does Not Fit All - Intel · RLDRAMII, and QDR II+ SRAM • Embedded hard IP for PCIe Gen3 ... • Hard memory controller (DDR3 at 500 MHz) or soft memory controller (DDR3

©2012AlteraCorporation.Allrightsreserved.ALTERA,ARRIA,CYCLONE,HARDCOPY,MAX,MEGACORE,NIOS,QUARTUSandSTRATIXwordsandlogosaretrademarksofAlteraCorporationandregisteredintheU.S.PatentandTrademarkOfficeandaretrademarksorregisteredtrademarksinothercountries.Allotherwordsandlogosidentifiedastrademarksorservicemarksarethepropertyoftheirrespectiveholdersasdescribedatwww.altera.com/legal.15KOctober2012 Broch-1002-2.0

Altera Corporation101 Innovation DriveSan Jose, CA 95134USAwww.altera.com

Altera European HeadquartersHolmers Farm WayHigh WycombeBuckinghamshireHP12 4XFUnited KingdomTelephone: (44) 1494 602000

Altera Japan Ltd.Shinjuku i-Land Tower 32F6-5-1, Nishi-ShinjukuShinjuku-ku, Tokyo 163-1332JapanTelephone: (81) 3 3340 9480www.altera.co.jp

Altera International Ltd.Unit 11-18, 9/FMillennium City 1, Tower 1388 Kwun Tong RoadKwun TongKowloon, Hong Kong Telephone: (852) 2945 7000

Common Design Toolset = Better ProductivityOur28nmdevicefamiliesaresupportedbyacommon,productivity-enhancingdesignenvironment. A set of common software tools and design resources equip you to swiftly turn your concepts into revenue- generating applications:

•Quartus®IIsoftware,includingtheQsyssystemintegrationtoolandPowerPlaypoweranalysistool: QuartusIIsoftwareisnumberoneinperformanceandproductivityforCPLD,FPGA,SoCFPGA,andHardCopyASICdesigns,providingthefastestpathtoconvertyourconceptintoreality.QuartusIIsoftwarealsosupportsmanythird-partytools in synthesis, static timing analysis, board-level simulation, signal integrity analysis, and formal verification.

•Embeddedprocessors:Forefficientimplementationofsoftware-orientedfeatures.Ourmulti-vendor,multi-CPUarchitecturesystem-on-a-chip(SoC)platformincludesARM,MIPS,andNiosIIprocessoroptionstooffertheindustry’sbroadestselectionofsoftprocessors,softwaredevelopmenttools,OSsupport,andembeddedIPcores.Choose from Altera’s customizable processor portfolio to meet your real-time, safety, and power- and cost-sensitive processing needs.

•SoftIPfunctions: For quick and easy implementation of hardware functions. Altera and partners provide a wide variety of IP blocks of differing size and complexity, from the basic arithmetic blocks to transceivers, memory controllers, microprocessors, signal processing, and protocol interfaces.

•Developmentkits,daughtercards,andprogramminghardware: Altera provides a variety of hardware solutions and tools to accelerate the design process. Together with selected partners, Altera offers a wide range of development kits and daughter cards that contain everything an engineer needs to create and implement a design in hours.

•Instructor-led,virtual,andonlineclasses: Altera offers an extensive curriculum of classes to deepen your expertise.Ourclassesarebeneficialwhetheryou’renewtoFPGAandCPLDdesign,orareanadvanceduserwanting an update on the latest tools, tips, and tricks.

Measurable AdvantageWhen system performance, power, and cost requirements clash, you’ve got a solution in our 28 nm device portfolio. Create differentiated products with less time and effort.

Get more details about our 28 nm device portfolio by contacting your local Altera® sales representative or FAE or by visiting www.altera.com/28nmportfolio.


Recommended