+ All Categories
Home > Documents > Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A...

Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A...

Date post: 02-Feb-2017
Category:
Upload: yuvraj-singh
View: 241 times
Download: 8 times
Share this document with a friend
80
This article was downloaded by: [University of York] On: 17 August 2014, At: 06:55 Publisher: Taylor & Francis Informa Ltd Registered in England and Wales Registered Number: 1072954 Registered office: Mortimer House, 37-41 Mortimer Street, London W1T 3JH, UK Polymer Reviews Publication details, including instructions for authors and subscription information: http://www.tandfonline.com/loi/lmsc20 Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review Brijesh Kumar a , Brajesh Kumar Kaushik b & Yuvraj Singh Negi a a Department of Polymer and Process Engineering , Indian Institute of Technology Roorkee, Saharanpur Campus , Saharanpur , U. P. , India b Department of Electronics and Communication Engineering , Indian Institute of Technology , Roorkee , Uttarakhand , India Published online: 18 Feb 2014. To cite this article: Brijesh Kumar , Brajesh Kumar Kaushik & Yuvraj Singh Negi (2014) Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review, Polymer Reviews, 54:1, 33-111, DOI: 10.1080/15583724.2013.848455 To link to this article: http://dx.doi.org/10.1080/15583724.2013.848455 PLEASE SCROLL DOWN FOR ARTICLE Taylor & Francis makes every effort to ensure the accuracy of all the information (the “Content”) contained in the publications on our platform. However, Taylor & Francis, our agents, and our licensors make no representations or warranties whatsoever as to the accuracy, completeness, or suitability for any purpose of the Content. Any opinions and views expressed in this publication are the opinions and views of the authors, and are not the views of or endorsed by Taylor & Francis. The accuracy of the Content should not be relied upon and should be independently verified with primary sources of information. Taylor and Francis shall not be liable for any losses, actions, claims, proceedings, demands, costs, expenses, damages, and other liabilities whatsoever or howsoever caused arising directly or indirectly in connection with, in relation to or arising out of the use of the Content. This article may be used for research, teaching, and private study purposes. Any substantial or systematic reproduction, redistribution, reselling, loan, sub-licensing, systematic supply, or distribution in any form to anyone is expressly forbidden. Terms & Conditions of access and use can be found at http://www.tandfonline.com/page/terms- and-conditions
Transcript
Page 1: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

This article was downloaded by: [University of York]On: 17 August 2014, At: 06:55Publisher: Taylor & FrancisInforma Ltd Registered in England and Wales Registered Number: 1072954 Registeredoffice: Mortimer House, 37-41 Mortimer Street, London W1T 3JH, UK

Polymer ReviewsPublication details, including instructions for authors andsubscription information:http://www.tandfonline.com/loi/lmsc20

Organic Thin Film Transistors:Structures, Models, Materials,Fabrication, and Applications: A ReviewBrijesh Kumar a , Brajesh Kumar Kaushik b & Yuvraj Singh Negi aa Department of Polymer and Process Engineering , Indian Instituteof Technology Roorkee, Saharanpur Campus , Saharanpur , U. P. ,Indiab Department of Electronics and Communication Engineering , IndianInstitute of Technology , Roorkee , Uttarakhand , IndiaPublished online: 18 Feb 2014.

To cite this article: Brijesh Kumar , Brajesh Kumar Kaushik & Yuvraj Singh Negi (2014) Organic ThinFilm Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review, PolymerReviews, 54:1, 33-111, DOI: 10.1080/15583724.2013.848455

To link to this article: http://dx.doi.org/10.1080/15583724.2013.848455

PLEASE SCROLL DOWN FOR ARTICLE

Taylor & Francis makes every effort to ensure the accuracy of all the information (the“Content”) contained in the publications on our platform. However, Taylor & Francis,our agents, and our licensors make no representations or warranties whatsoever as tothe accuracy, completeness, or suitability for any purpose of the Content. Any opinionsand views expressed in this publication are the opinions and views of the authors,and are not the views of or endorsed by Taylor & Francis. The accuracy of the Contentshould not be relied upon and should be independently verified with primary sourcesof information. Taylor and Francis shall not be liable for any losses, actions, claims,proceedings, demands, costs, expenses, damages, and other liabilities whatsoever orhowsoever caused arising directly or indirectly in connection with, in relation to or arisingout of the use of the Content.

This article may be used for research, teaching, and private study purposes. Anysubstantial or systematic reproduction, redistribution, reselling, loan, sub-licensing,systematic supply, or distribution in any form to anyone is expressly forbidden. Terms &Conditions of access and use can be found at http://www.tandfonline.com/page/terms-and-conditions

Page 2: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Polymer Reviews, 54:33–111, 2014Copyright © Taylor & Francis Group, LLCISSN: 1558-3724 print / 1558-3716 onlineDOI: 10.1080/15583724.2013.848455

Organic Thin Film Transistors: Structures, Models,Materials, Fabrication, and Applications: A Review

BRIJESH KUMAR,1 BRAJESH KUMAR KAUSHIK,2

AND YUVRAJ SINGH NEGI1

1Department of Polymer and Process Engineering, Indian Institute of TechnologyRoorkee, Saharanpur Campus, Saharanpur, U. P., India2Department of Electronics and Communication Engineering, Indian Instituteof Technology, Roorkee, Uttarakhand, India

Organic thin film transistor (OTFT) based device modeling and circuit application isa rapidly emerging research area. Taking cognizance of this fact, our paper reviewsvarious basic to advanced OTFT structures, their performance parameters, materialsof individual OTFT layers, their molecular structures, OTFT charge transport phenom-ena, and fabrication techniques. The performance of p- and n-type conducting polymerand small molecule organic semiconductors are reviewed primarily in terms of fieldeffect mobility, current on/off ratio, and operating voltage for various OTFT structures.Moreover, different organic/inorganic materials for realizing the dielectric layer, elec-trodes, and the substrate in an OTFT are analyzed. Some of the compact models thatare essential for predicting and optimizing the device performance are described thattakes into account the mobility enhancement factor and channel length modulation. Adetailed study of the single gate, dual gate, vertical channel, and cylindrical gate OTFTstructures is carried out. Furthermore, the paper discusses some of the interesting andupcoming applications of organic transistors such as inverters, light emitting diodes(LEDs), RFID tags, and DNA sensors. Although organic transistors boast of a brightfuture with a wide spectrum of applications, but they still face several challenges interms of mobility, voltage swings, noise margins, sub-threshold slope, stability, etc., thatneeds to be resolved to make them a commercially sustainable and viable technology.

Keywords Dual gate, mobility, organic thin film transistor, OLED, organic inverter,organic semiconductors, OTFT structure, RFID

1. Introduction

Organic electronics is a field of intense academic and commercial interest over the pasttwo decades. Commercial devices, incorporating organic materials are gradually enteringthe market. Steady improvement in the electrical performance and the stability of organicsemiconductors (OSCs) has opened an era of low cost and large area electronic applications.Prior to the existence of conjugated polymers in the late 1970s, these materials were mainly

Received March 14, 2013; accepted September 20, 2013.Address correspondence to Brijesh Kumar, Department of Polymer and Process Engineering,

Indian Institute of Technology Roorkee, Saharanpur Campus, Saharanpur 247001, U. P., India. E-mail:[email protected]

Color versions of one or more of the figures in the article can be found online atwww.tandfonline.com/lmsc.

33

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 3: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

34 B. Kumar et al.

Performance

Performance

Cos

tOrganic

Silicon

Large Area, Low Cost ,Flexible, Easier Manufacturing

High Speed, ,High

Ultra LowPower,Ultra-Miniature,High Temperature

Figure 1. Cost versus performance of organic and inorganic semiconductors.

known as the insulators. Shirakawa et al.1 in 1976 first introduced the conducting organicmaterials that opened up a new research domain, bridging the fields of condensed matterphysics and chemistry.

Tsumura et al.2 reported the first organic thin film transistor (OTFT) in 1986 thatconsisted of organic semiconductor (OSC) material for facilitating the flow of electriccurrent. Since then, the OTFT characteristics have undergone spectacular improvements.The key benefits of organic transistors are direct fabrication on flexible low-cost substratesand low processing temperatures that enable cost efficient production.3 On the other hand,organic materials are characterized by lower mobility (μ) in comparison to the conventionalsemiconductors. After consistent development, the mobility of organic transistors has beenaugmented by several orders, now in excess of 15 cm2/V.s4 for single crystal and 3.2 cm2/V.s5

for thin film. With optimization of fabrication methodology and synthesis of novel materials,the mobility can be undoubtedly increased further.

A noteworthy progress in fabrication methodology of organic transistors led the re-searchers to utilize various flexible substrates, such as paper,6 plastic,7 glass,8 and fiber.9 TheOTFTs fabricated on flexible substrate and glass, demonstrated comparable characteristicsto the amorphous silicon hydrogenated (a-Si:H) TFT.10 With increased fabrication facili-ties the OTFTs are being integrated in vital and high-end applications, such as flat paneldisplay, light emitting diode (LED),11 radio frequency identification (RFID) tag,12 sensor,13

static random access memory (SRAM),14 e-paper,15 and flexible integrated circuits.16 For acomparative study of organic and inorganic semiconductors applications, Fig. 1 comparestheir performance and cost characteristics. Though, the performance of organic transistoris not comparable to the silicon transistor, still it finds utilization in certain innovativeapplications that are not possible with conventional semiconductors, or if feasible they aretoo expensive to be realized commercially.

The organic semiconductors that are invariably used are pentacene, poly (3-hexylthiophene) (P3HT), poly (3-alkylthiophene) (P3AT), and poly (3-octylthiophene)(P3OT).17 Most of the reported organic TFTs are based on a thick insulator layer, usually inthe range of 100 to 300 nm, and even up to a few micrometers.18, 19 Therefore, reasonablyhigh supply voltage (>25 V) is required to accumulate the charge carriers at OSC/dielectricinterface. However, with the recent progress and latest developed insulators like tantalumpentoxide (Ta2O3)20 and hafnium oxide (HfO2),21 OTFTs can also be driven at lower supplyvoltage (≤5 V) and that too with a reduced thickness (≤50 nm). Although, these inorganicinsulators are characterized by high dielectric constant (k), they find limited applicationbecause of their inability in achieving flexibility due to high fabrication temperature(>800◦C). Currently, researchers are focusing to replace them by the organic insulators

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 4: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 35

that can be fabricated at room temperature through simple printing techniques.22 Withthe advent of soluble organic materials, realization of the printed flexible electronics havebecome feasible now.23

This paper also reviews the recent advancements in the performance of organic TFTswith a motive to present an overview of high performance organic materials, advanceddevice structures, charge transport models, fabrication techniques, performance influencingfactors, and some vital applications. The paper is organized in twelve sections, including thecurrent introductory section numbered section 1. The operating principal and characteristicparameters of organic transistors are discussed in section 2. Different OTFT structuresare explained in section 3, followed by specific DC compact models in section 4. Thecharge transport models for organic semiconductors are presented in section 5. Differentmaterials used in individual OTFT layers are discussed in section 6 along with their chemicalstructures and performance, mainly in terms of field effect mobility (μ) and on/off currentratio (ION/IOFF). Major processing steps involved in the fabrication are illustrated in section7, whereas the performance influencing factors of organic transistors are discussed insection 8. Later on, some important applications are dealt with in section 9, while section10 presents some of the limitations of organic transistors. Recommendations for futurework are incorporated in section 11. Finally, section 12 draws necessary conclusions.

2. Organic Thin Film Transistors

The concept of forming a transistor by means of thin film was primarily suggested anddeveloped by Weimer24 in 1962 by producing a semiconductor film of cadmium sulfide(inorganic semiconductor). Later in 1979, LeComber et al.25 reported a TFT based onhydrogenated amorphous silicon (a-Si: H) that opened up the possibilities of forminga thin film at a comparatively lower temperature. Subsequently, in the 1980s, severalresearchers, including Tsumura et al.,2 Kudo et al.,26 and Ebisawa et al.27 demonstratedorganic transistors on glass and plastic foil. To date, enormous efforts have been made toenhance the performance of OTFTs.

2.1 Operating Principle

An OTFT is realized with a layered structural design consisting of a thin film of organicsemiconductor, an insulator, and three electrodes named source (S), drain (D), and gate(G) as shown in Fig. 2. The source and drain electrodes inject and extract the chargecarriers, respectively, and are in contact with the active layer. On the other hand, the gateis separated from the semiconductor film through insulator that controls the conductivityof the channel. The operating principal of organic transistors is very much similar to theMOSFETS; however, the concept of channel formation is quite different. The channel inOTFT is formed by accumulation of the charge as in the bulk semiconductors, whereas ina MOSFET the inversion process takes place to form a layer of charge carriers.3 Similarto MOSFET, an organic transistor operates as a voltage controlled-current source wherein,on applying a bias between the gate and source (Vgs), a sheet of mobile charge carriersis accumulated near the semiconductor/insulator interface that allows the flow of currentthrough the active layer on applying a suitable drain to source potential (Vds). A minimumgate voltage required for accumulating charge carriers at the OSC/insulator interface isknown as the threshold voltage (Vt).

A uniform density of carriers is established in the channel before applying the voltageat the drain end. However, with the application of Vds, the channel behaves like a variable

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 5: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

36 B. Kumar et al.

W

L

SubstrateDrain (D)Source (S)

Insulator

Gate (G)

Organic Semiconductor

Vds

Vgs

Figure 2. Schematic of the device configuration of OTFT.

resistor that exhibits an increase in potential from the source to drain.10 Despite the differ-ence in charge transport physics of organic transistors, the current-voltage characteristicsfrom linear to the saturation regime can be expressed similar to that of a MOSFET. Aslong as the drain voltage remains lower than the overdrive voltage (Vds<Vgs−Vt), the draincurrent builds up linearly due to the presence of carriers all along the channel. Furthermore,a magnitude of the drain voltage close to Vgs−Vt, results in a non-linear increase in thecurrent. Finally, at Vds = Vgs−Vt, the current saturates due to pinching-off of the channeland further increase in Vds does not contribute in enhancing the magnitude of the current.

Fundamentally, an OTFT operates like a capacitor that produces an electric field inthe dielectric at negative/positive Vgs for p/n type OSCs. It results in an accumulation ofholes/electrons by means of aligning the metal’s Fermi level near HOMO (highest occupiedmolecular orbital) or LUMO (lowest unoccupied molecular orbital) levels of p- or n-typesemiconductors, respectively. Figure 3 shows a combined energy diagram for a typicalcombination of gold (S/D) and pentacene (OSC). Since, the Fermi level has been distantfrom the LUMO edge; therefore, the injection of an electron is insufficient on applyinga positive gate bias. Accordingly, no current flows in the pentacene layer at positive Vgs,

4.9eV5.1eVHOMO

LUMO2

Gold (Au)

Energy (eV)0

3456

1

Pentacene

EF

7

Figure 3. Energy band diagram for a typical combination of gold (S/D) and pentacene (OSC).

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 6: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 37

rather a small amount of leakage current builds up through the insulating layer.28 On theother hand, holes are injected through the source by reversing the gate voltage owing to thecloseness of the HOMO level to the Fermi level. Due to formation of positively chargedchannel, pentacene is said to be a p-type organic material.

2.2 Characteristic Parameters

There are several significant parameters that determine the applicability of an organic tran-sistor. Some of these important parameters include field dependent mobility (μ), thresholdvoltage (Vt), on/off current ratio (ION/IOFF), and sub-threshold slope (SS). These parametersare often influenced by several factors, such as device geometry, materials of differentlayers, grain size of OSC thin film, structural dimensions, and morphology of the semicon-ductor. Necessary prerequisites for a superior organic transistor are 1) high mobility, 2) lowthreshold voltage, 3) large on/off current ratio, and 4) steeper sub-threshold slope.

2.2.1 Mobility. The mobility of a device is described as the average charge carrier driftvelocity per unit electric field. It is a measure of how efficiently charge carriers can movealong the conducting path. It is an important parameter in determining the processing speedof a device. In fact, high mobility is a key factor in obtaining a large on-current that isessentially required for memory applications. The mobility of n-type OSCs is found lowerin comparison to p-type due to their large band gaps. For high performance p-type OSCsuch as pentacene, it has already exceeded beyond 3.2 cm2/V.s5. Now, the researchers aremore focused on improving the mobility of n-type materials, so as to realize complementarylogic circuits successfully. The mobility of an organic transistor enhances with an increasein the gate overdrive voltage and is, thereby, named as field dependent mobility.29–32

Horowitz et al.33 and Deen et al.34 demonstrated the variation in mobility by means of amobility enhancement factor, α. Based on the alpha power law function, gate bias mobilityis expressed as

μ = μ0(Vgs − Vt )α (1)

where μo is the band mobility of an OSC determined at very low Vgs (∼0.5V). The parameterα that usually lies in the range of 0.2–0.535 is dependent on the conduction mechanism ofthe device, doping density, and the dielectric permittivity of active material. An evidenceof enhancement in mobility with respect to gate bias was reported by Dimitrakopoulosand Malenfant36 for pentacene transistor, where it ranged from 0.02–1.26 cm2/V.s for avariation in Vgs from −14 to −146V. Another factor that strongly affects the mobility isgrain size that depends on how perfectly semiconductor layer is deposited. Horowitz andHajlaoui37 reported a grain size dependent mobility for octithiophene TFT. Similarly, Knippet al.38 demonstrated the impact of grain size on the mobility of a pentacene based TFT.Significant improvements in the mobility of p and n type organic materials are obtainedwith the passage of time by synthesizing novel high performance materials and optimizingthe fabrication techniques that would increase the possibility of realizing organic devicesfor high speed applications such as graphics, animation, and the games.

2.2.2 Threshold Voltage. Threshold voltage is the minimum gate voltage required foraccumulating the charge carriers at the OSC/insulator interface forming a conductingpath between the source and the drain. It determines the switching behavior of a device;thereby, it needs to be controlled to ensure proper operation of the devices and thereby the

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 7: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

38 B. Kumar et al.

circuits.39–41 It shows a strong dependence on the doping concentration, dielectric constantof the insulator, channel length, and the thicknesses of the active (tosc) and the dielectric(tox) layers. Kano et al.41 reported that the devices by means of smaller length and thelarger OSC thickness are liable to have reduced threshold voltages. In addition to this, adecrease in the thickness of the insulating layer results in a significant reduction in thethreshold voltage due to high gate capacitance. Lower Vt is useful in reducing the devicepower consumption and is, thereby, beneficial in producing portable devices.

Organic material constitutes the trap states that are caused by non-crystalline structureand defects. Horowitz et al.39 first investigated the presence of shallow traps in the activelayer. The filling of these traps is essentially required before accumulating the carriers at theOSC/insulator interface. Later, Pernstich et al.40 verified an increase in the trap states due toexistence of the charge states or dipoles at the surface of SiO2 insulator. These dipoles canbe reduced substantially by employing a surface treatment to the insulator layer that helpsto build a good interface between the semiconductor and insulator. Besides this, the trapstates can be reduced by forming a smooth layer of organic semiconductor as it exhibitsless morphological disorders. This can be achieved by optimizing the deposition processof the active layer that enables a greater number of charge carriers to be accumulated at thelower gate voltage.

2.2.3 Current On/Off Ratio. The ratio of the current in accumulation mode to the depletionmode is termed as the current on/off ratio. A high dielectric constant of the insulator, thinlayer of semiconductor, and low doping concentration are the key factors in creating a largedifference between the on and off current.42 The on/off current ratio depends on the mobilityand the thicknesses of the semiconductor and dielectric layers which can be expressed as

ION

IOFF= Ciμ(Vgs − Vt )2

toscVdsσ(2)

IOFF = W

LtoscVdsσ (3)

where σ is the conductivity of the channel, L is the channel length, W is the channel width,and Ci represents the gate dielectric capacitance per unit area. Lowering the thickness ofdielectric and semiconducting layers results in an increase of ION and decrease of IOFF,respectively, that increases the ION/IOFF ratio. Resendiz et al.43 reported an increase in theION/IOFF from 10 to 5 × 1010 for P3HT based TFT, on scaling the active film thickness from160 to 20 nm. The current ratio was found to be more dependent on tosc due to a dominantimpact of the off-current over the on-current. The off current can be reduced substantially byusing a smaller thickness of the semiconductor. Recently, Islam44 demonstrated a reductionin off-current by six orders of magnitude when the film thickness was reduced from 45to 10 nm. Instead of high mobility, a high current ratio exceeding 108 is an essentialrequirement for display applications.42 Usually short channel devices are fabricated toproduce a high on/off current ratio.

2.2.4 Sub-Threshold Slope. A sub-threshold slope (SS) is a ratio of change in the gatebiasing to the change in the drain current in logarithmic scale that can be expressed as

SS = ∂Vgs

∂ log10(Ids)(4)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 8: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 39

Alternatively, it is a measure of impurity concentration, interface state, and trap densitythat mainly affect the switching behavior of a transistor. With the change in drain and gatebiasing, large variations can be observed in this slope due to a change in the conductivityof the channel. The sub-threshold operation of an OTFT is closely related to the mobilityenhancement for carrier hopping. Lower trap density results in a steeper slope that showsbetter switching behavior.45

SS is an important parameter that determines an efficient usage of the transistor as aswitch. The quality of an active thin film achieved during the fabrication process affectsthis slope substantially. Cosseddu and Bonfiglio46 demonstrated a discontinuity in thesemiconductor layer that led to the accumulation of defects and increase in the trap stateswhich resulted in a high sub-threshold slope. To circumvent this, Cui and Liang19 reported areduction of 35% in SS by placing an additional gate and dielectric to the single gate device.Furthermore, Schon et al.47,48 reported a decrease in SS by improving interface betweenthe semiconductor and dielectric layer. In fact, a self-assembled monolayer (SAM) of aninsulator is preferably one of the solutions to achieve better switching response. Klauket al.49 illustrated a low sub-threshold slope of about 100 mV/decade by producing a SAMof Al2O3 on the aluminum gate. Besides this, an increase in the carrier injection densityfrom the source contact can also help to attain a high switching response. It can be achievedby improving the metal/semiconductor interface or selecting an appropriate combinationof the semiconductor and contact metal; wherein, the HOMO/LUMO level of OSC alignswell to the Fermi level of metal.

3. Structures of Organic Thin Film Transistor

Organic TFTs are differentiated based on the ordering of the layers regardless of thematerials and dimensions. Even the structures are classified based on the relative positionof S, D, and G contacts with regard to the OSC layer. Single gate thin film transistorswere first proposed and made in the 1960s. Since then, enormous efforts have been madetowards the development of novel structures, such as dual gate,19 cylindrical gate,50 andvertical channel51 that led to the improved electrostatic control of gate over the channel.Certain merits and demerits are associated with each of them. This section presents theperformance of different OTFT structures.

3.1 Single Gate Structures

Primarily, the structure of a thin film transistor is distinguished on the basis of the gateposition that can be either on the top or at the bottom, accordingly named as top gate (TG)and bottom gate (BG) structures, respectively. A TFT structure with the gate on the topof a semiconductor is similar to the conventional MOSFET.2,52 However, the majority ofOTFTs are built as the bottom gate structure due to an ease in deposition of active materialon the insulator instead of at the bottom. In these structures, the methods pertaining tothermal treatment can be safely employed to produce the insulating layer without creatingany impairment in the OSC layer.53 On the other hand, the performance of OTFT in thetop gate structure severely degrades, if the underlying OSC layer is contaminated duringthe deposition of a metal gate at high temperature.54 Therefore, bottom gate structures arepreferred over the top gate.

The position of a source and drain contact with respect to the active layer furtherclassifies them into the top contact and bottom contact structures, while keeping gateelectrode at the same position. Figures 4(a) and 4(b) depicts the OTFT structures in top gate

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 9: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

40 B. Kumar et al.

)b()a(

OSC

Insulator

Gate

Substrate

S D

Vds

Vgs OSC

Insulator

Gate

Substrate

S D

Vds

Vgs

Figure 4. Top gate OTFTs: (a) TGTC and (b) TGBC structures.

top contact (TGTC) and top gate bottom contact (TGBC) configurations, whereas, theiroutput and transfer characteristics are shown in Figs. 5(a) and 5(b), respectively.

The performance of the top and bottom gate structures are compared using pentacenebased TFT, with a channel length and channel width of 10 and 100 μm, respectively.The thicknesses of the insulator and semiconductor are 5.7 and 30 nm, respectively. Gateand S/D electrodes are of aluminum and gold, respectively, with a thickness of 20 nmeach. The structures and electrical characteristics of OTFTs for the bottom gate top contact(BGTC) and bottom gate bottom contact (BGBC) configurations are shown in Figs. 6and 7, respectively. Although, all the single gate structures are analyzed with the similardimensions, materials and operating voltage, but still a significant difference is observedin the electrical characteristics. This difference is due to a dissimilar path traversed by thecharge carriers between the source and drain.54

The performance in terms of current, mobility, on/off current ratio, threshold voltage,and sub-threshold slope for different single gate structures is summarized in the Table 1.The top contact configuration demonstrates better performance in comparison to the bottomcontact due to less morphological disorders in the active layer. An average reduction of62% in mobility is observed for the BGBC structure as compared to its counterpart due toa high metal-OSC contact resistance caused by the contact barrier as well as a non-uniformdeposition of the semiconductor around the pre-patterned S/D contacts.57 On the otherhand, the BGTC structure exhibits a lower contact resistance due to large injection area for

(a) (b)

0.0 -0.5 -1.0 -1.5 -2.0 -2.5 -3.0

0

-1

-2

-3

-4

-5

-6

I ds

μ A

Vgs (V)

TGTCTGBC

0.0 -0.5 -1.0 -1.5 -2.0 -2.5 -3.0

0

-1

-2

-3

-4

-5

-6

-7

TGTC Vgs=0.0V Vgs=-1.8V Vgs=-3.0V

I ds(µ

A)

Vds (V)

TGBC Vgs=0.0V Vgs=-1.8V Vgs=-3.oV

Figure 5. (a) Output and (b) transfer (at Vds = −1.5V) characteristics of OTFTs in the TGTC andTGBC configurations.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 10: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 41

OSC

Insulator

Gate

Substrate

S DVds

Vgs

OSC

Insulator

Gate

Substrate

S D

Vds

Vgs

(a) (b)

Figure 6. Bottom gate OTFTs: (a) BGTC and (b) BGBC structures.

the charge carriers that enables an elevated current at the identical structural parametersand operating bias.58 Additionally, the bottom contact structure demonstrates a higher sub-threshold slope in comparison to the top contact due to formation of a low mobility regionnear the contacts that results in a subsequent rise in the trap density.

These structures are characterized by large performance variation due to the existenceof an energy barrier at the metal-OSC interface. Shim et al.59 reported a strong influence ofthe Schottky barrier in the bottom contact structure, wherein, an increase of 0.4eV in thebarrier height resulted in a corresponding rise of 1K� in the contact resistance. However,the top contact structure showed a negligible dependence on the barrier height. The effectof an energy barrier can be reduced substantially by improving the surfaces of the activelayer thin film and the S/D contacts. Furthermore, Gupta et al.18 reported almost 40 timeshigher current in the top contact structure even at 70% reduced width as compared to thebottom contact. The mobility of the BGBC structure was found to be lower by two ordersof magnitude due to a smaller injection area for the carriers.

Doping density is another important factor that affects the device behavior, significantly.Ishikawa et al.60 demonstrated a large difference in the performance of top and bottomcontact devices based on the doping concentration in the active layer. As compared to thebottom contact, the current in the top contact structure was achieved higher by seven ordersat a carrier concentration of 1014cm−3, whereas both devices exhibited almost an equalcurrent while concentration was increased to 1017cm−3. This is due to the availability ofsufficient charge carriers in the bottom contact structure even after filling the trap states

(a) (b)

0.0 -0.5 -1.0 -1.5 -2.0 -2.5 -3.0

0

-1

-2

-3

-4

-5

-6BGBC

Vgs=-0.0V

Vgs=-1.8V

Vgs=-3.0V

I ds

µA

Vds (V)

BGTC Vgs= 0.0V

Vgs=-1.8V

Vgs=-3.0V

0.0 -0.5 -1.0 -1.5 -2.0 -2.5 -3.00

-1

-2

-3

-4

-5

-6

I ds

µA

Vgs (V)

BGTCBGBC

Figure 7. (a) Output and (b) transfer (at Vds = −1.5V) characteristics of OTFTs in the BGTC andBGBC configurations.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 11: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Tabl

e1

Perf

orm

ance

ofsi

ngle

gate

OT

FTs

indi

ffer

entc

onfig

urat

ions

Supp

lyvo

ltage

(V)

Mat

eria

lsof

I ds

μI O

N/

Vt

SSdi

ffer

entl

ayer

sSt

ruct

ure

(μA

)(c

m2 /V

s)I O

FF

(V)

(V/d

ec.)

Vds

Vgs

Ref

.

OSC

:Pen

tace

ne,S

/D:

Au,

I:A

l 2O

3,G

:Al,

Sub:

Gla

ss

TG

TC

−4.9

0.30

79×

106

−1.0

0.09

6−1

.50

to−3

49,5

3

TG

BC

−2.5

0.24

62×

103

−0.5

0.15

5B

GT

C−4

.50.

395

5×10

7−1

.20.

094

BG

BC

−3.4

0.30

14×

107

−1.0

0.09

8O

SC:P

enta

cene

,S/D

:A

u,I:

SiO

2,G

:n+

Si,

Sub:

Si

BG

TC

−12

0.08

5N

R−3

.2N

R−2

50

to−2

018

BG

BC

−0.4

0.00

14N

R−8

.5N

RO

SC:P

enta

cene

,S/D

:PE

DO

T/P

SS,I

:PE

T,G

:PE

DO

T/P

SS,S

ub:

Plas

tic

BG

TC

−32

0.01

105

−32

7.2

−100

0to

−100

46

BG

BC

−80.

004

105

−30

14.5

OSC

:Pen

tace

ne,S

/D:

Au,

I:A

l 2O

3,G

:Al,

Sub:

Gla

ss

BG

TC

−1.2

0.45

1.6×

103

−0.9

0.18

−50

to−2

55

BG

BC

−0.1

0.15

8.5×

104

−0.1

0.79

OSC

:P3H

T,S/

D:T

i,I:

PMM

A/T

iO2,G

:Au,

Sub:

Si

TG

BC

−1.4

0.01

58.

4×10

6−1

.3N

R−1

010

to−1

056

∗ NR

:Not

repo

rted

42

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 12: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 43

completely. The device structure is not the sole factor that affects the OTFT performance;rather the fabrication process and material properties also play dominant roles. In spite oflow performance, the bottom contact structures are promising for cost-effective flexibleelectronic applications, since it can be fabricated through simple printing techniques61,62

that makes them highly suitable for large area display applications.

3.2 Dual Gate Structure

Organic transistors are realized in a dual gate (DG) configuration to achieve better chargecarrier modulation in the semiconductor layer. In 1981, the first DG-TFT based on theCadmium Selenide (CdSe) was demonstrated by Luo et al.63 Subsequently, Tuan et al.64

and Kaneko et al.65 reported a-Si:H based DG-TFTs in 1982 and 1992, respectively. Laterin 2005, Cui and Liang19 developed the first pentacene based dual gate OTFT.

Organic dual gate transistors demonstrate numerous advantages such as, higher on-current, steeper sub-threshold slope (SS) and most importantly, a better control on thresholdvoltage. Currently, researchers have focused in making use of this technique to realize novelelectronic circuits, such as ring-oscillator, differential amplifier, and A/D converter.16,49

This structure consists of a gate in the bottom (BG) along with its bottom insulator(BI), S/D contacts, organic semiconductor, and a top gate (TG) with a top insulator (TI).Schematics of single and dual gate transistors are shown in Figs. 8(a) and 8(b), respectively.The bottom gate accumulates the carriers in the channel, while a bias on the top gate furtherincreases the conductivity of the channel electrostatically. Therefore, Vt can be controlledby using an additional gate, but at an extra cost of gate material and increased fabricationsteps. External control on Vt can bring out a highly controlled operation of the device.66

A dual gate device performs better than the single gate mainly in terms of mobility,on/off current ratio and sub-threshold slope.67,68 Based on the bias conditions it can beoperated in top, bottom, and the dual gate modes. In the top-gate mode, a voltage at thetop gate is applied, while the bottom gate is kept at ground potential; however, the caseis reversed in the bottom-gate mode. In the single-gate bias mode, the second gate hasno effect on the conduction, whereas, both the gates play a vital role in accumulating thecharge at the OSC/insulator interface in dual-gate mode. By applying bias at both the gates,two separate channels are formed in the active layer.

In the dual-gate mode, the total charge (QTotal) produced by both the gates is expressedas69

QTotal = CB. VB + CT.VT (5)

where CB/CT and VB/VT are the capacitance and voltage, respectively, at the bottom/topgate. Furthermore, by considering fixed bias at the top gate while sweeping the voltage of

(a) (b)

Insulator

OSCS D

Bottom Gate Bottom Insulator

OSCS D

Bottom Gate

Top Insulator

Top Gate

Figure 8. Schematics of OTFT in (a) single and (b) dual-gate structures.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 13: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

44 B. Kumar et al.

(a) (b)

(c) (d)

-10 -20 -30 -400

-10

-20

-30

-40

I ds(

µ A)

Vds (V)

Vgs=0V

Vgs=-10V

Vgs=-20V

Vgs=-30V

Vgs=-40V

Top Gate

0 -10 -20 -30 -400

-10

-20

-30

-40

I ds(

µA)

Vds(V)

Vgs=0V

Vgs=-10V

Vgs=-20V

Vgs=-30V

Vgs=-40V

Bottom Gate

0 -10 -20 -30 -400

-20

-40

-60

-80

I ds( (µ A

)

Vds (V)

Vgs=0V

Vgs=-10V

Vgs=-20V

Vgs=-30V

Vgs=-40V

Dual Gate

0 -10 -20 -30 -400

-20

-40

-60

-80

I ds

µA)

Vgs (V)

Top Gate Bottom Gate Dual Gate

Figure 9. OTFT characteristics, Ids−Vds in (a) top, (b) bottom, (c) dual-gate mode, and (d) combinedIds−Vgs plot in all three modes at Vds = −40V.

the bottom gate, a change in Vt can be specified as

�Vt,B = CT

CB

�VT (6)

Based on the different modes of operation of dual gate, a performance comparison ismade as shown in Figs. 9(a) to 9(d). This DG-OTFT consists of a 40 nm thick active filmof unconventional conducting copolymer Diketopyrrolopyrrole-naphthalene (PDPP-TNT).An advanced dielectric material D139 is considered for the top gate insulator in conjunctionwith 52.5 nm thick gold/chromium (50/2.5 nm) source and drain contacts, whereas W and Lare of 1000 and 50 μm, respectively. The dual-gate mode results in a lower Vt and higher Ids

even more than the sum of currents in both the single-gate modes. As compared to single-gate, an increase in on-current by 45% and decrease in off-current by 92% is observed dueto the better interface conditions in dual-gate mode. The performance of different OTFTsin single and dual gate configurations is summarized in Table 2.

Koo et al.67 reported a change in Vt from 1.95 to −9.8V with variation in the topgate bias of pentacene TFT from −10 to 10V. In addition to the significant deviation inVt, an improvement of 70% in threshold voltage was reported. The mobility and on/offcurrent ratio were also increased by a factor of two in the dual-gate mode as comparedto the bottom-gate. Similarly, Cui and Liang19 reported five times higher mobility and anincrease of 35% in the sub-threshold slope for the dual gate OTFT as compared to the single

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 14: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Tabl

e2

Perf

orm

ance

ofdi

ffer

entd

ualg

ate

TFT

s

Supp

lyvo

ltage

(V)

Mat

eria

lsof

diff

eren

tlay

ers

Mod

eof

oper

atio

nI d

s(μ

A)

μ(c

m2 /V

s)I O

N/I

OF

FV

t(V

)SS

(V/d

ec.)

Vds

Vgs

Ref

.

OSC

:PD

PP-T

NT,

S/D

:A

u/C

r,T

I:D

139,

BI:

SiO

2,T

G:A

l,B

G:S

i,Su

b:Si

Top

gate

−40

0.42

6.4×

105

−2.3

0.83

−40

20to

−40

66

Bot

tom

gate

−40

0.42

6.2×

106

−2.5

0.75

−40

20to

−40

Dua

lgat

e−8

20.

901.

8×10

7−0

.30.

42−4

020

to−4

0O

SC:P

enta

cene

,S/D

:A

u,T

I:Si

O2,B

I:Si

O2,T

G:A

l,B

G:

n+Si

,Sub

:Si

Bot

tom

gate

−0.7

0.02

3.2×

103

−2.0

2.0

−35

to−1

519

Dua

lgat

e−1

.50.

13.

8×10

3−2

.21.

3−3

5to

−15

OSC

:Pen

tace

ne,S

/D:

Au,

TI:

Al 2

O3,B

I:A

l 2O

3,T

G:T

i,B

G:

Ti,

Sub:

Gla

ss

Top

gate

−0.1

0.00

52.

3×10

4−1

1.6

3.3

−20

20to

−20

67

Bot

tom

Gat

e−1

0.03

5×10

5−2

.80.

48−2

020

to−2

0D

ualg

ate

−10

0.06

1×10

6−0

.80.

47−2

020

to−2

0O

SC:P

TAA

,S/D

:A

u/T

i,T

I:Po

ly-

isob

utyl

met

hacr

ylat

e,B

I:Si

O2,T

G:A

u,B

G:n

+Si

,Sub

:Si

Dua

lgat

e−1

00.

0017

NR

−2.6

NR

−20

30to

−30

68

45

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 15: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

46 B. Kumar et al.

gate. DG-TFT shows a significant enhancement in ION/IOFF, especially with the control ofoff-current that makes them more reliable and suitable for display applications.

3.3 Vertical Channel Structure

The performance of a conventional OTFT is limited by the morphological disorders ofthin film, low mobility of carriers, and the long channel length. In the top contact, it isextremely challenging to achieve a short channel length by using a low-cost shadow maskingtechnique. However, it is imperative to reduce the driving voltage without compromisingthe output driving capability that can be achieved by reducing the channel length. To fulfilthis gap, Nishizawa et al.70 investigated a vertical structure for the OTFT that has provenits potential for fabricating smaller length devices. A vertical transistor consists of fivedifferent layers that include metallic layers of the source, drain, and gate in conjunctionwith two semiconductor layers as shown in Fig. 10.

Kudo et al.71 proposed a vertical static induction transistor (SIT) that showed a highperformance due to formation of a Schottky contact at the interface between the active layerand gate. Furthermore, Chen and Shi72 reported a P3HT based vertical top and the bottomcontact transistors with a channel length of 5 μm, wherein the mobility was increasedby a factor of 3.3 and ION/IOFF by 11 for the vertical TC structure compared to planarOTFT due to a significant reduction in the contact resistance. Interestingly, the mobilityand the on/off current ratio of vertical BC structure also increased by 1.5 and 3.6 timesin comparison to the planar BC structure due to less surface contamination in the verticalstructure.72 Furthermore, Naruse et al.73 demonstrated a self-aligned vertical multichannelpentacene based organic transistor with a channel length of 100 nm. Due to multichannel,it demonstrated a high saturation current of 22 μA at −20 V of gate and drain bias. Theperformance of various reported vertical OTFTs are compared in Table 3, mainly in termsof Ids, μ, ION/IOFF, and Vt.

The performance of vertical transistor can be further improved by the insertion ofa semiconductor layer at the contact/OSC interface. Watanabe and Kudo74 reported ahigh performance vertical SIT by inserting an additional layer of copper phthalocyanine(CuPc) OSC between the ITO source and the pentacene active layer to improve the carrierinjection from the source. By adding an ultrathin CuPc layer of 1 nm, the device exhibiteda larger current of 40 μA magnitude, even at low drain and gate voltages of −3 and −1 V,respectively.

Tanaka et al.75 demonstrated a comparison between the pentacene based planar BGBCand vertical transistor. A mobility of 0.2 cm2/V.s was achieved for the vertical transistor,

Source

Gate

Ac�ve channel Layer

Drain

Vgs Vds

Channel

Substrate

Figure 10. Schematic of the vertical organic thin film transistor.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 16: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Tabl

e3

Perf

orm

ance

ofve

rtic

alT

FTs

with

diff

eren

tcom

bina

tions

ofm

ater

ials

Supp

lyvo

ltage

(V)

Mat

eria

lsof

diff

eren

tlay

ers

Stru

ctur

eI d

s(μ

A)

μ(c

m2 /V

s)I O

N/I

OF

FV

t(V

)V

dsV

gsR

ef.

OSC

:P3H

T,S/

D:

Gol

d,I:

SiO

2,G

:n+

Si,S

ub:S

i

TC

−3.2

0.00

8316

4−1

−40

20to

−20

72

BC

−1.8

0.00

3855

+1−4

020

to−2

072

OSC

:Pen

tace

ne,

S-IT

O,D

:Gol

d,G

:Al,

Sub:

Gla

ss

SIT

−40

NR

103

−1−3

0to

−174

OSC

:Pen

tace

ne,

S/D

:IZ

O,

I:Ta

ntal

umox

ide,

G-T

anta

lum

,Su

b:G

lass

Mul

ticha

nnel

−22

NR

NR

NR

−20

0to

−20

73

47

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 17: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

48 B. Kumar et al.

whereas, for BGBC it was limited up to 0.0018 cm2/V.s. In the vertical channel devices,it is difficult to determine the behavior of ultra-short channel devices due to the tunnellingeffect. The performance can be improved substantially by increasing controllability of thechannel.76 It can be achieved by employing a meshed structure for the source electrode. Itallows the gate electric field to penetrate into the channel from the array of small pinholeson the source that can reduce the drive voltage substantially.

3.4 Cylindrical Gate Structure

Organic materials are receiving immense attention as they exhibit a unique combination ofelectronic and mechanical properties that make them applicable for smart textiles. Recently,cylindrical gate (CG) OTFTs have turned out to be promising enough to realize the circuitsfor wearable electronics due to their hysteresis free operation and good bending stability.77

Moreover, cylindrical structures are intended for size reduction, thereby aiming for higherpacking density.78

Fabrication of CG-TFT begins with a metal core of yarn that works as the gateelectrode, thereafter casing it with a thin insulating layer. Later on, the OSC layer isdeposited and finally S/D contacts (metal or conductive polymer) are formed througheither thermal evaporation or soft lithography methods. The structure and cross-sectionalview of a cylindrical OTFT are shown in Figs. 11(a) and 11(b),50 respectively. Recently,distributed cylindrical transistors have been demonstrated on a stretched fiber-like structurefor application in wearable electronics.79 These transistors can be arranged on a single fibersubstrate or at the intersection of two isolated fibers.

Recently, Jang et al.79 reported pentacene based cylindrical OTFTs with two differentpolymer gate dielectrics; 1) poly(vinyl cinnamate) (PVCN) and 2) poly(4-vinyl phenol)(PVP) with a high bending stability. They observed an increase in mobility by 2.5 timesfor transistor with PVCN insulator as compared to PVP. Additionally, Maccioni et al.50 de-veloped the pentacene based CG-OTFTs with gold and Poly-3,4-ethylenedioxythiophene:styrene sulfonic acid (PEDOT: PSS) S/D electrodes. As compared to gold, the devicedemonstrated an improvement in μ and Vt by 50% and 45%, respectively, with PEDOT:PSS contacts. The performance parameters of different reported cylindrical OTFTs arecompared in Table 4. Cylindrical transistors can be realized for some innovative applica-tions, such as a medical shirt for the patient’s imperative indications and defence sensorsfor enemy identification.80 Regardless of their potential applications, they are limited bytheir mechanical durability, especially in the daily wears.

Figure 11. CG-OTFT: (a) basic structure and (b) schematic cross-sectional view.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 18: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 49

Table 4Performance of cylindrical OTFTs

Supply voltage(V)

Materials ofdifferent layers Ids (μA) μ (cm2/Vs) ION/IOFF Vt (V) Vds Vgs Ref.

OSC: Pentacene, S/D:Au, I: PVCN, G: Alwire, Sub: Al

−10 0.53 4.2×103 −7.05 −40 0 to −40 79

OSC: Pentacene, S/D:Au, I: PVP, G: Alwire, Sub: Al wire

−7 0.24 2.5×103 −4.78 −40 0 to −40 79

OSC: Pentacene, S/D:Au, G: Polyimide, I:Polyimide, Sub:metallic fiber

−0.7 0.04 7×103 −17.3 −50 0 to −100 50

OSC: Pentacene,S/D:PEDOT: PSS, G:Polyimide, I:Polyimide, Sub:metallic fiber

−0.34 0.06 3×103 −9.6 −50 0 to −100 50

4. OTFT Models

Analytical models are often incorporated in the simulators to predict and optimize theperformance of electronic devices and the circuits. These models should be precise enoughin the device simulation along with a high degree of convergence in the circuit implemen-tation. Essentially, the model has to take into account the material specifications and thephysical bases of a device structure. Moreover, the models should be simple and easilyimplementable, upgradable, reducible, and modifiable. A few proposed OTFT models arediscussed in the following subsections.

4.1 Compact DC Model

The MOS models are adapted and extended to analyze the characteristics of organic tran-sistors due to their similar behavior. Numerous mathematical models were developed forthe OTFT primarily based on the classical transistor model by introducing the empiricalparameters.35,81 Based on the MOS model the drain current in the OTFT from linear tosaturation regime can be expressed as

Ids = W

Lμ Ci (Vgs − Vt ) Vds for linear regime, Vds< Vgs − Vt (7)

Ids = W

2Lμ Ci (Vgs − Vt )

2 for saturation regime, Vds ≥ Vgs − Vt (8)

This model demonstrates the transistor operation above the threshold voltage. Figure 12illustrates the electrical characteristics of an OTFT based on the compact DC model. For this

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 19: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

50 B. Kumar et al.

0 0.5 1 1.5 2 2.5 3 3.50

0.2

0.4

0.6

1

1.2

1.4

1.6 x 10-5

Vgs= 0.4V

Vgs= 0.8V

Vgs= 1.6V

Vgs= 1.2V

Vgs= 2.0V

I ds

(A)

Vds (V)

Figure 12. Output characteristics of an OTFT based on the compact DC model.

transistor the dimensions are W = 120 μm, L = 10 μm, and the typical model parametersare Ci = 800 nF/cm2, μ = 1.64 cm2/V.s and Vt = 1.2 V. Numerous models have beenprojected to imitate the carrier transport in an organic transistor.35,82–84 Marinov et al.30,85

demonstrated a compact DC OTFT model that claimed the transistor operation from theohmic to the saturation regimes, successfully.

The performance of an OTFT usually deviates from the conventional transistor due tokey parameters, such as the bulk leakage current, contact resistance, contact-OSC interface,OSC-insulator interface, morphological disorders, device configuration, channel lengthmodulation, trap states, gate bias dependent mobility, and many more that raises difficultyin proposing a unified OTFT model. In using typical MOSFET expressions for thesetransistors, one needs to consider these parameters up to maximum extent. A significantvariation in the characteristics of the two similar devices and their dependence on timemake the DC modeling somewhat challenging.81

4.2 Charge Drift Model

A few parameters, such as field dependent mobility and the contact resistance are describedfrequently to develop the analytical models for organic transistors. Among different OTFTmodels, one important common factor is mobility enhancement at the high gate overdrivevoltage, thereby named as the field dependent mobility.30,31,34 It is an important factor forevaluating the OTFT performance in a more realistic way that can be expressed in the formof μ ∝ (Vgs – Vt)α. According to a typical charge drift model, the current per unit width isspecified as

Ids

W= μxqx |Ex | (9)

where |Ex| = ∂Vx/∂x is the electric field and qx is the arial charge density that can beexpressed as

qx = Ci (Vgs − Vt − Vx) (10)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 20: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 51

Furthermore, the field dependent mobility, μx at a point x in the channel 0 ≤ x ≤ L canbe defined as86,87

μx = μ0(Vgs − Vt − Vx)α (11)

where μ0 is the zero field mobility, Vx is the voltage at a point x, and α is the mobilityenhancement factor. Now, the expression for drain current can be simplified by incorporatingqx (Eq. 10) and μx (Eq. 11) as

Ids

W= [μ0(Vgs − Vt − Vx) α] × [C i (Vgs − Vt − Vx)] × [∂Vx/∂x]

= (μ0C i (Vgs − Vt − Vx) α+1) ∂Vx/∂x (12)

By integrating the above expression along the channel length, the current can beexpressed for the whole channel as88

Ids = Wμ0Ci

[(Vgs − Vt − Vs)(α+2) − (Vgs − Vt − Vd )(α+2)

]L (α + 2)

(13)

Based on typical charge drift model, the drain characteristic of an OTFT at differentVgs is shown in Fig. 13(a). Typical parameters include Ci = 800 nF/cm2, μ0 = 0.5 cm2/V.s,Vt = 1.2 V and α = 0.2, whereas, the channel length and width are taken as 10 and120 μm, respectively. In addition to this, a dependence of the drain current on the mo-bility enhancement factor is depicted in Fig. 13(b). The factor alpha is related to thedensity of localized states. The bigger it is, the larger is the TFT deviation from the idealbehavior.

The characteristics of an OTFT strongly depends on its structure. The bottom contactstructure shows a high trap density, thereby a high alpha value in comparison to the topcontact. Cosseddu and Bonfiglio46 reported heterogeneities produced during the depositionof semiconductor around the contacts in the bottom contact structure. It resulted in anincrease in the trap states that, in turn, reduced the mobility and drain current substantially.Additionally, Gupta et al.18 demonstrated a large deviation from the ideal behavior of

(a) (b)

0.5 1 1.5 2 2.5 3 3.50

1

2

3

4

5

Vds (V)

Vgs= 0.4V

Vgs= 2.4V

Vgs= 2.0V

Vgs= 0.8V

Vgs= 1.2V

I ds

(A)

I

Vds (V)

x10

2 3 4 50.0

0.2

0.4

0.6

0.8

I ds

(mA

)

α

Figure 13. (a) Output characteristics of the OTFT based on the charge drift model and (b) charac-teristic plot of Ids with respect to α.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 21: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

52 B. Kumar et al.

bottom contact OTFT. This was due to the smaller grain size of the semiconductor near thecontacts in comparison to the channel that resulted in a lower mobility. These morphologicaldisorders may possibly vary from device to device, despite the same material and similardimensions, since they strongly depend on the deposition accuracy of the active layer. Itraises complexity in the development of the models, especially for bottom contact structureswhere these morphological disorders are prominent.

4.3 Charge Drift Model for Sub-Threshold Region

A model should be applicable in all the regimes under which a device can operate. To observethe transistor operation in the sub-threshold region, the drain current can be expressedas34,89

Ids = Wμ0Ci

L× [f (Vgs − Vt − Vs)](α+2) − [f (Vgs − Vt − Vd )](α+2)

α + 2(14)

where f (Vgs,V) is an asymptotical interpolation function.At V = Vd or V = Vs, the function, f (Vgs,V) is expressed in terms of an overdrive

voltage (Voverdrive) as

Voverdrive(V ) = f (Vgs, V ) = Vsub ln

[1 + exp

(Vgs − Vt − Vs

Vsub

)](15)

By incorporating the overdrive voltage function, the drain current can be simplified as

Ids = W

Lμ0Ci V α+2

sub

{ln

[1 + exp

(Vgs−Vt−Vs

Vsub

)]}α+2−

{ln

[1 + exp

(Vgs−Vt−Vd

Vsub

)]}α+2

α + 2(16)

where Vsub is the sub-threshold slope voltage that corresponds to the steepness of thecurve. Figure 14(a) shows the sub-threshold characteristics with model parameters; W =120 μm, L = 10 μm, Ci = 800 nF/cm2, μ0 = 0.5 cm2/V, Vt = 1.2 V, α = 0.2 and Vsub =0.3 V.

Another important parameter that must be included in the model is channel lengthmodulation. In the saturation regime, as the drain voltage attains its saturation value; Vds =Vds(sat) = (Vgs − Vt), the charge at the drain end (x = L) becomes nearly zero that is calleda “pinch-off” condition. The portion of the channel that is pinched-off (�L) reduces thelength of an effective channel (L − �L). Since the pinch-off segment depends upon thedrain voltage, an empirical relation can be expressed between the �L and the drain voltageas85

L

(1 − �L

L

)= L [1 − β (Vds − Vsat )] ≈ L

(1 + β |Vds − Vs |) (17)

where β is the channel length modulation coefficient. The TFT charge drift model (Eq. 13)can be modified by including β as

Ids = (Wμ0Ci (1 + β (Vds − Vs)))

(L (α + 2))

[(Vgs − Vt − Vs

)(α+2) − (Vgs − Vt − Vd

)(α+2)](18)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 22: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 53

(a)

(b)

0.5 1 1.5 2 2.5 3 3.50

2

4

6

8

x 10-5

Vgs= 2.4V

Vgs= 1.2V

Vgs= 0.8V

Vgs=0V

Vgs= 2.0V

Vgs= 1.6V

Vgs=0.4V

I ds

(A)

Vds (V)

Vgs= 2.0V

Vgs= 1.6V

Vgs= 1.2V

Vgs= 0.8V

Vgs= 0.4V

0.50 1.0 1.5 2.0 2.5 3.0 3.5

6

12

9

15

I ds

(A)

Vds (V)

10 -5x

Figure 14. (a) Sub-threshold and (b) output (including channel length modulation) characteristicsof an OTFT.

Figure 14(b) demonstrates the output characteristic with the channel length modulationcoefficient, β = 0.5%/V while other model parameters, such as Ci, μ0, Vt, α, and thedevice dimensions (W and L) are kept the same as discussed earlier in the sub-thresholdmodel.

In 1992, Xie et al.90 reported a model by incorporating bulk leakage and contactresistance that was among the first few compact models for OTFTs. Furthermore, Necliudovet al.82 included different structural designs to describe the response of different organictransistors. Another model reported by Natali et al.91 was based on the contact resistancedue to a significant amount of the voltage drop across the contacts. Due to high contact

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 23: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

54 B. Kumar et al.

resistance in the organic transistors, the internal voltage at the channel terminals appearssomewhat lesser than the external applied voltage, especially in the linear region.

Another important point is the assumption of a symmetric structure for the organicTFT that implies interchangeability between the source and the drain contacts. This makesthe compact model simpler, since the model developed for the one contact can be extendedfor another contact. Jung et al.92 analyzed the gate-contact overlap region by mappingthis to the resistance that included contact and bulk sheet resistances. The model was firstdeveloped for the overlapping region between the source and the gate and then the contactresistance was doubled by considering the same overlapping between the drain and gateterminals. Contradictorily, Burgi et al.93 considered the low charge carrier injection at thesource as a major obstruction in lowering the performance than the extraction of carriers atdrain that resulted in an asymmetry between the two contact resistances. All the proposedmodels reduced to the basic compact model, while incorporating different parameters foranalyzing the actual behavior of the OTFT.

5. Charge Transport in Organic Semiconductors

The charge transport phenomenon in the amorphous and organic semiconductors is differ-ent from the metals and conventional semiconductors. In the former, it is phonon assisted,whereas, in the latter, phonon scattering limits the transport efficiency. Organic materials ex-hibit sp2-hybridized linear carbon chains that hold a sp2−2pz configuration in double bond.The carrier movement within the molecular chain is called intra-chain, whereas, betweenthe adjacent molecules it is known as the inter-chain. Overlapping of sp2 orbitals throughintra molecular interaction results in the formation of the σ bond94 as shown in Fig. 15(a).

On the other hand, two pz orbitals result in π and π∗ (bonding and anti-bonding)orbitals, where the later bond possesses a higher energy state as depicted in Fig. 15(b).Molecules of different energy levels constitute the energy bands. The energy differencebetween the HOMO and the LUMO is called an energy gap (Eg) that usually lies between1 < Eg < 4.9eV.95 Most of the OSCs exhibit a disordered molecular arrangement that raisesdifficulty in conduction between different molecules.

Figure 15. (a) sp2 hybridization of two carbon atoms and (b) bonding of pz orbitals.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 24: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 55

In organic semiconductors, band formation is rare due to weak intermolecular forces.Therefore, the charge carriers move through hopping between the localized states formedby single or several molecules together.96 The charge carriers of OSCs exhibit a polaronicnature and interact strongly with the defects. These carriers are of very small size thatenables the conducting channel to be formed within a few molecular layers (approx 5 nm)in the vicinity of OSC/insulator interface. The charge transport in these materials is stronglylimited by the surface roughness, doping density, dipole formation, chemical impurity,morphological disorders, and the presence of defects leading to the complexity of modelingthe charge transport phenomena.

In comparison to the organic TFT, a single-crystal organic transistor demonstratesnumerous advantages in terms of high mobility, good reproducibility, and a significantreduction in the morphological disorder.97 Therefore, a single-crystal organic transistor hasturned out to be promising enough to achieve high performance while being simple tounderstand the basic transport mechanism in organic materials. On the other hand, thesematerials exhibit a strong dependence on the temperature. Due to weak intermolecularoverlapping of the electronic orbitals, the formation of electronic bands in the organiccrystal is limited to a narrow width (∼0.1eV), lower by two orders of magnitude thansilicon.97 Several researchers have demonstrated the conduction through band transport(delocalization) in the organic single crystals but at the cryogenic temperature only. In1985, Warta et al.98 reported a very high mobility of 400 cm2/V.s for the single crystal ofnaphthalene at a temperature of 4.2 K. Later, in 2000, Schon et al.99 observed a similarconcept for the single crystals of pentacene and tetracene at 1.7K.

The transistors consisting of high purity organic single crystals demonstrate highmobility that degrades rapidly with an increase in temperature due to the shrinkage ofpolaronic bandwidth.100 Troisi and Orlandi101 reported a weak intermolecular couplingdue to the thermal excitation that could lead to the localization of charge carriers evenin highly ordered crystals. At room temperature, the lattice vibrations may turn out to bestrong enough to destroy the translational symmetry of the lattice due to high characteristicenergies of the phonons that, in turn, results in the crossover from a delocalized to thelocalized charge transport. Therefore, conduction can occur through localization even inperfect crystals due to weak intermolecular interaction.

The organic thin film can also conduct either by temperature dependent localizationor delocalization of the charge carriers. Karl102 demonstrated the possibility of delocalizedconduction in organic films that can enables high carrier mobility (up to 300 cm2/V.s)at a very low temperature (<30 K). The conduction in OTFT degrades rapidly above30 K temperature. Furthermore, the charge hopping (localized conduction) takes over after100 K, resulting in an extremely lower mobility (1 cm2/Vs). The boundary between thedelocalized and localized conduction in organic semiconductors is based on the operatingtemperature, intermolecular force, and mobility of carriers at room temperature.

The interaction between a semiconductor and an insulator is another important factorfor charge transport in an organic TFT. The influence of an insulator is indeed morefundamental than just affecting the morphology of an active layer due to the polarizationeffect. It strongly affects the charge carrier distribution, surface potential, and the motionof carriers in the conducting channel. Several researchers, including Veres et al.103 andSwensen et al.104 reported a direct dependence of the mobility on the dielectric constant (k)of an insulator. In organic TFTs, conduction occurs due to hopping of charge between thelocalized states.3,36 The polarization can increase the localization of charge by distortingthese localized states.103 The polarity of an OSC/insulator interface directly relates to theband gap of an insulator, thereby, playing a vital role in determining the polarization effect.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 25: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

56 B. Kumar et al.

The polarization (P) stands for the electric dipole moment density in a material that canaffect the charge density (Dc) as

Dc = Eε0εr = P + Eε0 (19)

P = Eε0 (εr − 1) (20)

where E is the applied electric field, ε0 is the permittivity of the vacuum, and εr is therelative permittivity/dielectric constant of the insulator. The above relation shows a linearrelationship between the polarization and the dielectric constant of an insulator. Molarpolarization of a medium (Pm) depends on the polarizability, αp (ratio of induced dipolemoment of an atom to the applied electric field) of the material in addition to the permanentdipole moment, Dp as

Pm = NA

3ε0

(αp + D2

p

3kBT

)(21)

where NA is the Avogadro number, kB is the Boltzmann constant, and T is the temperature.High-k insulating materials result in a large polarization effect due to a high polarizabilityand an existence of the random dipoles at the interface. These materials demonstratepolarization even in the absence of any electric field due to the change in the energies oflocalized states in the presence of permanent dipoles. However, in the low-k insulators,polarization mainly occurs with an effect of the external electric field due to less numberof random dipoles.96 The insulating materials undergo a shift in charge distribution due topolarization induced by an external electric field that, in turn, increases the capacitance, Ci

by a factor of εr (or k) as

Ci = ε0εr

tox

(22)

where tox is the thickness of an insulator that relates the probability of crossing the in-sulator barrier height by a charge carrier. A thin film of insulator (comparable or lesserthan the localization length) limits the effect of localized states on the charge transport.Increasing capacitance of the insulator (either by high-k insulator or reduction in tox) re-sults in a high density of charge at the interface at low gate voltage. Therefore, high-kinsulating materials are beneficial in producing high on-current and low threshold voltagedue to their smaller band gaps (Eg) as compared to the low-k materials. Yu et al.105 re-ported a decrease in the dielectric constant with an increase in the band gap, through anexpression

k = 1 +(

Ep

Eg

)2[

1 − Eg

4Ef

+ 0.33

(Eg

4Ef

)2]

(23)

where Ep and Ef are the plasma energy of the valance band and the energy of the Fermilevel, respectively. Mostly organic insulators are characterized by a low-k, wherein theenergy gap between the bonding and anti-bonding states increases due to a decrease inthe probability of overlap molecules. It raises difficulty in the screening of charge at theOSC/insulator interface with the application of an external electric field. On the contrary,Veres et al.103 suggested the use of a low-k dielectric as it produces less defects andimpurities that could act as the traps. However, high-k materials might alter the energy

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 26: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 57

Table 5Field effect mobility of F8T2 based OTFTs with different insulators

Insulator

Dielectricconstant

(k) Structure Surface Treatment μ (cm2/V.s) Ref.

SiO2 3.9 BG None 0.00001 106SiO2 3.9 BG OTS 0.01 107PVP 4.5 TG None 0.001 108PVP 4.5 TG Rubbed polyamide

substrate0.02 109

Si3N4 7.4 BG None 0.00002 104TiO2 41 BG None 0.00005 104CYTOP 2.1 TG None 0.0015 103Copolymer

blend2.5 TG None 0.015 110

levels of localized states due to randomly originated dipoles leading to an increased en-ergetic disorder or broadening of density of states. Therefore, the carriers at a particulartemperature and field would encounter a higher potential barrier for hopping. In addi-tion to this, the charge localization is likely to increase due to higher number of trapstates.

Low-k insulating materials are advantageous in terms of achieving high mobility, lowsub-threshold slope and low hysteresis. Veres et al.96 reported a significant change in themobility of PTAA based OTFTs by means of different gate insulators with k ranging from2.1 to 10.4. The device showed a mobility of 0.005, 0.0005, and 0.00008 cm2/V.s forCYTOP (k = 2.1), PMMA (k = 3.5), and poly(vinyl alcohol) (k = 10.4) organic insulators,respectively. To better understand the dependence of field effect mobility on the dielectricconstant, few results are summarized in Table 5 for F8T2 {Poly[(9,9-dioctylfluorenyl-2,7-diyl)-co-bithiophene]} polyfluorene derivative based organic transistors with differentdielectrics.

A high degree of uniformity can be achieved for an insulator if nearly all monomerunits are non-polar. The low-k dielectric produces a smooth and defect-free layer, whereas,a high-k (inorganic insulators in most of the cases) insulator results in the surface rough-ness leading to the morphological disorders in OSCs that in turn, reduces the mobil-ity of carriers. High mobility can be achieved with high-k materials, but after apply-ing some surface treatment. Salleo and Street107 reported a mobility of 0.01 cm2/V.sfor F8T2 based OTFT when the surface of SiO2 dielectric was improved by octadecyl-trichlorosilane (OTS) treatment. On the other hand, Kanicki et al.106 reported a mobilityof 1 × 10−5cm2/V.s for the same layers without any surface treatment. Device dimensionsmight also be a factor but reduction in mobility is observed mainly due to the surfaceroughness.

The reliability of a homogeneous and smooth layer of the low-k insulator is muchhigher than the surface treatments applied to a high-k material due to the presence ofresidual reactive groups even after the treatments. In fact, non-polar organic insulators can

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 27: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

58 B. Kumar et al.

be employed suitably in top gate structures where surface treatements cannot be appliedsafely without contaminating the active layer.96

The organic materials exhibit the characteristics similar to the amorphous materials.Therefore, the conventional charge transport models can be adapted and extended for them.Charge transport phenomenon in organic materials is generally modeled by the variablerange hopping (VRH), multiple trapping and release (MTR), and polaron models, whichare discussed in the following sub-sections.

5.1 Variable Range Hopping (VRH) Model

The hopping of charge between the localized states overcomes the energy difference byeither emitting or absorbing the phonons. To model the hopping in inorganic semiconduc-tors; Miller and Abrahams111 described the rate of single phonon jumps. Furthermore, acarrier transport dependence on the energy distribution was investigated by Vissenberg andMatters86 for the amorphous transistors that further helped to determine the carrier mobilityof the organic semiconductors.

The hopping of charge carriers is mainly affected by the energy distribution amongthe trap states. Moreover, it also depends on the hopping distance. At low bias, very fewcharge carriers come up to a free state, otherwise, most of them remain entrapped in thelocalized states. Therefore, the system can be modeled as a setup of resistors along witha conductance Xpq = X0 exp(−Spq) between the hopping sites say, p and q. The relationincludes a pre-factor, X0 for the conductivity, and the term Spq is expressed by means of theenergy difference as

Spq = 2xRpq + |Ep − EF | + |Eq − EF | + |Ep − Eq |2kBT

(24)

The first right hand side term illustrates the tunneling process of the carriers betweenp and q sites. It includes an effective overlapping parameter, x, that depends on the overlapof the sites, whereas the parameter Rpq is the distance between the sites. The second termcorresponds to the activation energy required for hopping of the charge carrier from onesite to another.3 The hopping between the two sites strongly depends on the Fermi leveland the respective energies, Ep and Eq of the sites p and q. Due to thermal excitation ofthe charge carriers the energy difference between the Fermi level and the HOMO levelbecomes lesser than the kBT , that in turn increases the conductance in the vicinity ofsemiconductor/insulator interface.

5.2 Multiple Trapping and Release (MTR) Model

This model was primarily proposed by Shur and Hack87 in 1984 for describing the mobilityof a-Si-H semiconductor. It was further extended by Horowitz3 to understand the mechanismof a charge carrier’s trapping and releasing phenomena in organic semiconductors. Chargetransport in OSCs is completely dominated by a large number of structural and chemicaldefects. If the energy levels of traps are aligned near the center of Eg, then the traps canbe deep, otherwise shallow, if located close to HOMO or the LUMO levels, as shown inFig. 16.87

The model assumes that the extended states are liable to the charge transport; however,the majority of injected carriers entrap multiplicatively in the states that are localized in theforbidden gap. Furthermore, releasing the carriers thermally through these states leads to a

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 28: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 59

Eg

Eg

2

HOMO LUMO

DOS

Energy

Figure 16. Trap states distribution between HOMO and LUMO.

drift mobility, μD specified as3

μD = μoa exp

(− Etr

kBT

)(25)

where Etr is the energy level of a single trap and μ0 stands for the intrinsic mobility. A ratioof the effective density of states to the traps concentration is expressed by the parameter, a.Since the temperature affects the Fermi function, that results in a corresponding change inthe number of charge carriers. Therefore, lowering of the temperature reduces drift mobilityregardless of increase in the intrinsic mobility.

5.3 Polaron Model

The quasi-particle composition of an electron with its associated field of polarization iscalled polaron. In organic materials, the charge transport can be modeled by means of thesepolarons. In 1958, Yamashita and Kurosawa112 investigated the first model based on thepolaron’s conduction mechanism in the inorganic semiconductors. Later, in 1959, the samemechanism was demonstrated by Holstein113 for the single and polycrystalline organicmaterials. In organic conjugated polymers, the polaron is generated due to deformation ofthe chain under the action of charge.3

Organic molecules interact with the polarizing neighbor molecules during condensationand thereby reduce their energy. Furthermore, absorption of a phonon by organic moleculegenerates an excitation that may dissociate into charge carriers. An attraction betweenthe excited electron and the hole forms a bound electron-hole pair rather than the freecharge carriers. This binding energy may be higher by one order than the energy at roomtemperature.114 According to the model proposed by Holstein,113 this binding energy isexpressed as

Eb = A2

2Mω20

(26)

where ω0 is the frequency, A is the constant, and M is the reduced mass of each molecularsite. Horowitz3 demonstrated the formation of localized states in the gap between the

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 29: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

60 B. Kumar et al.

HOMO and LUMO levels of polythiophene material. These trap states were generated dueto self-trapping of the charge by means of defects and the chain deformation. The organicmolecules are bounded together by means of weak Van der Waal forces that originatefrom the instantaneous dipole-dipole interaction.3,36 A small polaron localized in the singlemolecule hops from one molecule to another and thus the charge transport takes place inthe organic semiconductors.

6. Materials

Over the last two decades, there has been a significant increase in the efforts for devel-opment and implementation of electronic components on flexible and stretchable sub-strates. With the advent of high performance novel organic materials, the applicabilityof the organic transistors have increased rapidly. These materials urge for a strong asser-tion in terms of cost effectiveness, characteristics, properties, and processing. The per-formance of an OTFT strongly depends on the material constituents for the active layer;however, the selection of materials for other layers, including insulator and electrodes,also play a vital role. Besides this, an appropriate selection of substrate material makesthe device suitable for realizing in flexible circuitry at low cost. This section provides adeep insight into different materials, including semiconductors, insulators, electrodes, andsubstrates.

6.1 Organic Semiconductors

Researchers throughout the world have devoted significant efforts to improve the chargetransport properties and characteristics of the OSCs for their commercial applications.Organic semiconductors are classified as the conducting polymers and small molecules.Mobility of polymers is found to be lower than their counterparts due to their highermolecular weight. For obtaining high mobility, the grains of the semiconductor shouldbe larger in size. The possibilities of producing highly ordered thin films results in asignificant performance improvement of the OTFTs. The mobility of small molecules(>3.2 cm2/V.s)5 is reported better than the polymers (>0.9 cm2/V.s),115 but now, this gapis shrinking due to advancements in the fabrication methodologies. Numerous conductingpolymer and small molecule organic materials have been investigated for possible utiliza-tion as the active layer in organic devices that are categorized to p- and n-type organicsemiconductors.

6.1.1 p-Type. Most of the organic semiconductors investigated so far exhibit the hole asthe charge carrier in their inadvertently doped form. Currently, polymers and π -conjugatedoligomers are the subject of immense research. Most extensively used p type conductingpolymers are Poly (3-hexylthiophene) (P3HT), Poly (3-octylthiophene) (P3OT), poly 3,3′′-dialkylquarterthiophene (PQT-12), Poly-9, 9′ dioctyl-fluorene-co-bithiophene (F8T2),and Poly (2-methoxy-5-(2′-ethyl-hexyloxy)-1,4- phenylene vinylene) (MEH-PPV). How-ever, pentacene, Copper phthalocyanine (CuPc), and tetracene are invariably used as smallmolecule organic materials.

Pentacene has proven to be the most widely used p-type organic semiconductor due tohigh hole mobility that is an effect of adequate orbital overlapping among the moleculesin the crystal lattice. In addition to high mobility, it shows good chemical stability even

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 30: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 61

(a) (b) (c)

(d) (e) (f) (g)

S

S SS

S S

Alpha- sexihiophene

(h)

RR

n

PF

(i) ( j)

Pentacene

S

C6H13

n

P3HT

HN

n

Polypyrrole

S e

R

n

Poly (alkylselenophene)S n

Polythiophene

(k) (l)

S S

S S

C12H25

C 12H25

n

PQT-12

n

Polyacetylene

S

2,5-dimethylthiophene

n

C6H15C6H15

S

S

nF8T2

O

O H3Cn

MEH-PPV

Figure 17. Chemical structures of commonly used p-type conducting polymers and small moleculesorganic semiconductors: (a) pentacene, (b) polyacetylene (PA), (c) P3HT, (d) 2, 5–dimethylthiophene,(e) polypyrrole, (f) poly (alkylselenophene), (g) polythiophene, (h) α-Sexithiophene, (i) phenolformaldehyde (PF), (j) F8T2, (k) MEH-PPV, (l) Poly(3,3′ ′ ′-didodecylquaterthiophene) (PQT-12), (m)DiF-TESADT, (n) poly [5,5′-bis(3-dodecyl-2-thienyl)-2,2′-bithiophene], and (o) benzodithiophenedimer. (Continued on next page)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 31: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

62 B. Kumar et al.

(m)

S

S

5,5'- bis(4-hexylphenyl)-2,2'-bithiophene

(n)

(o)

S S

SS

Benzodithiophene dimer

S

S

F F

Si

Si

Dif-TESADT

Figure 17. (Continued)

in adverse environmental conditions, orderly formation in thin film structure, and goodinterface with commonly used electrode metals such as gold and aluminum.52 Regardlessof better performance as compared to others, it is not a good choice for low-cost printingmethods due to its lower solubility.

In comparison to the pentacene, P3HT exhibits lower mobility but simultaneouslyshows outstanding solubility in a range of organic solvents that makes it suitable forfabricating through solution processing techniques.116 It was initially synthesized by Mc-Cullough et al.117 in 1993 that was further utilized by Bao et al.118 to realize the first P3HTbased TFT in 1996. Chemical structures of different p-type organic materials are shownin Fig. 17.119,120 The performance in terms of field effect mobility and on/off current ratio(ION/IOFF) of various p-type conducting polymers and the small molecule organic materialsalong with their structures is summarized in Tables 6 and 7, respectively.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 32: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 63

Table 6Field effect mobility and on/off current ratio of p-type conducting polymers

Supply voltage(V)

(Hole-mobility) ION/Material Structure μ (cm2/V.s) IOFF Vds Vgs Ref.

P3HT [Poly(3-hexylthiophene)]

BGBC 0.11 1.9×106 −60 50 to −100 29

BGBC 0.08 7×103 −40 40 to −40 121BGBC 0.002 102 −30 30 to −30 122BGBC 0.001 102 −30 0 to −4 123BGBC 0.0025 1.5×101 −40 20 to −20 72

P3HT [Poly(3-hexylthiophene)]

TGBC 0.00003 5.6×106 −40 0 to −40 124

BGBC 0.001 1.0×106 −40 0 to −40 124DG 0.0041 6.0×106 −40 0 to −40 124

C10-DNTT {di-n-decyldinaphtho[2,3-b:2 0, 3 0-f] thieno[3,2-b]thiophene}

BGTC 2.4 107 −60 40 to −60 125

DHα6T BGTC 0.1 104 −50 20 to −100 126PDBT-co-TT

(DPP-Thieno [3,2-b] thiophene)

BGTC 0.94 106 −75 0 to −75 115

P3OT [Poly(3-octylthiophene)]

BGBC 0.00016 104 −10 15 to −10 127

MEH-PPV[poly(2-methoxy, 5ethyl (2′ hexyloxy)paraphenyleneviny-lene)]

BGBC 0.00016 104 −7 0 to −10 127

Poly(4,8-dialkyl-2,6-bis(3-alkylthiophen-2-yl)benzo[1,2-b:4,5-b′]dithiophene)

BGTC 0.15–0.25 105–106 −60 20 to −60 128

F8T2 [Poly(9,9′-dioctylfuorene-co-bithio-phene)]

TGBC 0.0001 105 −10 0 to −10 129

F8T2 {Poly[(9,9-dioctylfluorenyl-2,7-diyl)-co-bithiophene]}polyfluorenederivative

BGTC 0.0001 106 −60 0 to −60 93

(Continued on next page)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 33: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

64 B. Kumar et al.

Table 6Field effect mobility and on/off current ratio of p-type conducting polymers (Continued)

Supply voltage(V)

(Hole-mobility) ION/Material Structure μ (cm2/V.s) IOFF Vds Vgs Ref.

PTAAPoly(triarylamine)

BGBC 0.041 NR −60 0 to −40 31

DH4T(Dihexylquaterthio-phene)

BGBC 0.04 105 −20 4 to −10 130

TFB Pol [9,9-dioctyl-fluorene-co-N-(4-butylphenyl)-diphenylamine]

TGTC 0.02 NR −40 10 to −40 131

PBTTT Poly(2,5-bis(3-alkylthiophen-2-yl)thieno[3,2-b]thiophenes

BGTC 0.34 NR NR 0 to −70 132

PTV Poly (thienylenevinylene)

BGBC 0.00035 NR −1 0 to −20 133

PB16TTTPoly(2,5-bis(3-hexadecylthiophene-2-yl)thieno[3,2-b]thio-phene)

BGBC 0.14 105 −100 20 to −100 134

To make the high performance pentacene material compatible to the solution processingfabrication techniques, Herwig and Mullen149 synthesized a soluble pentacene precursorin 1999. This was further explored by Afzali et al.150 and Anthony et al.151 to obtainthe thin film of pentacene material with an ease of processing at a low cost. Some ofthe frequently used pentacene precursor includes 6,13-bis triisopropyl-silylethynyl (TIPS)pentacene,151–153 Tetracene,17 and difluoro-trietetracenethylsilylethynyl anthradithiophene(diF-TESADT).154,155

Organic semiconductors are also promising for carrier injection and transport layers ina light emitting diode. These materials should exhibit some desirable characteristics, suchas good conductivity, adequate luminescence efficiency, sufficient radical anion stability,and high color saturation with a narrow spectrum. Invariably used p-type materials for thehole transport layer in organic LEDs are napthyl-substituted benzidine (NPB) and biphenyl-diamine (TPD), whereas, CuPc is one of the preferred materials for the hole injection layerat the top of the anode. The CuPc material exhibits good absorption characteristics in theultraviolet region and therefore, can be used as the photo conductor with an ease of lightabsorption on either side of the blue/green region.

6.1.2 n-type. The majority of research work has focused on achieving high performancep-type OSCs. However, only little effort has been made to synthesize the novel n-type

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 34: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 65

Table 7Field effect mobility and on/off current ratio of p-type small molecule organic

semiconductors

(Hole- Supply Voltage (V)mobility) ION/I

Material Structure μ (cm2/V.s) OFF Vds Vgs Ref

Pentacene DG 0.1 103 −2.5 0 to −15 19Pentacene Vertical NR 103 −3 0 to −1 74Pentacene BGBC 0.5 NR −10 10 to −10 135

BGBC 0.1 105 −100 0 to −100 136Pentacene BGTC 1 106 −100 40 to

−100137

BGTC 1.8 104 −6 6 to −6 138CuPc (Copper

phthalocyanine)BGBC 0.02 4 ×104 −100 0 to −100 116

BGTC 0.021 103 −4 2 to −8 139Pentacene BGTC 0.43 NR −30 40 to −60 52

BGBC 0.28 NR −30 40 to −60 52PDPP-TNT

(Diketopyrrolopyrrole-naphthalenecopolymer)

TGBC 0.7 106 −40 20 to −40 66

BGBC 0.28 NR −40 0 to −60 140TGBC 0.40 NR −40 0 to −60 140

TIPS Pentacene (Tris-isopropylsilylethynyl)-pentacene

BGBC 0.07 NR −40 20 to −40 141

BGBC 0.02 104 −40 40 to −60 142DiF-TESADT [2,

8-difluoro-5, 11-bis(triethylsilylethynyl)anthra-dithiophene]

BGBC 0.17 NR −40 20 to −40 143

CuPc (Copperphthalocyanine)

BGBC 0.018 NR −100 0 to −100 144

2A (2,2-bianthyl) BGTC 1.0 NR −100 0 to −100 145BGBC 1.0 NR −100 0 to −100 145

Sexithiophene (α-Sexithienyl)

BGTC 0.37 NR −2 0 to −50 146

α α′

–didecylquaterthiopheneBGTC 0.1 104 −20 20 to −30 147

BGBC 0.5 105 −20 20 to −30 147diF- TEG ADT

(Triethylgermylethynyl-substitutedanthradithiophene)

BGBC 5.4 106 −40 40 to −40 148

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 35: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

66 B. Kumar et al.

semiconductors. While aiming to design a complementary inverter, both types of semicon-ductors are essentially required. Therefore, the development of n-type semiconductors isequally important. To design an n-type OTFT, the semiconductor must be utilized that canallow the injection of electrons into its LUMO. Nearly, all the n-type materials demonstrateinstability in the air that strongly depends on the free energy of activation associated withthe chemical process/reaction with either water or oxygen. In addition to this, most of thecommonly used contact metals exhibit the work functions better suited to inject the holesinto HOMO than the electrons into LUMO that creates another bottleneck in achievinghigh performance n-type transistors. The chemical structures of some of the commonlyused n-type OSCs are shown in Fig. 18.156–165

The field effect mobility and the on/off current ratio of different n-type conducting poly-mers and small molecule organic materials are outlined in Tables 8 and 9, respectively. Theperformance of n-type OSCs is strongly affected by the operational conditions. As an illus-tration, a very high mobility of 6.2 cm2/V.s was reported for naphthalene diimide in an inertatmosphere; however, under ambient conditions it was found to be only 0.57 cm2/V.s.183,184

The high performance n-type organic semiconductors can be synthesized by adding –Cl,–CN, and –F groups to the outer most orbital of molecules, since these groups are stronglycapable of withdrawing the electrons. With the same thought, Bao et al.158 demonstratedan example of making n-type material, copper hexadecafluorophthalocyanine (F16CuPc),by adding –F group to the p-type material CuPc, that exhibited an electron mobility of0.03 cm2/V.s. Furthermore, Malenfant et al.160 reported a highly stable n-type material; N,N′-dioctyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C8H) with a high electronmobility of 0.6 cm2/V.s.

The mobility of the p- and n-type organic materials has improved remarkably with thepassage of time as shown in Fig. 19. A continuous growth is observed for both the typesof materials, attributed to the unremitting advancements in the synthesis and fabricationprocess. Pentacene is the best performing p-type material that showed remarkable rise inthe mobility during the last decade. Similarly, fullerene (n-type) has also demonstrated anoteworthy improvement.

A number of high performance soluble fullerene derivatives are investigated for n-typeOTFTs. Tiwari et al.175 reported an OTFT with 6, 6-phenyl C61 butyric acid methyl ester(PCBM) deposited through solution processing, which exhibited a mobility of 0.13 cm2/V.s.Perfluoropentacene (C22F14) and perfluoro-p-sexiphenyl (C36F26) are the other novel n-typematerials employed in OTFTs, whereas, Tris-(8-hydroxyquinoline) aluminum (Alq3) is acommonly used material for the electron transport layer in the organic LEDs.

6.1.3 Ambipolar Organic Semiconductors. A semiconductor that allows the injection ofhole as well as the electron under certain conditions is known as the ambipolar semicon-ductor. It is fairly possible to operate an ambipolar transistor as the p-channel or n-channel,simply by reversing the polarities of drain and the gate bias. For an ambipolar transistor, itis necessary that the Fermi level of S/D contacts should be close to the HOMO as well asthe LUMO levels of the semiconductor to enable a balanced charge injection of both typesof carrier. It implies that the band gap of the semiconductor should be very small (up tofew hundred meV);53 however, most of the organic semiconductors support the injectionof only one type of charge carrier due to large band gap (>1.5 eV). The performancemainly in terms of field effect mobility and on/off current ratio for different ambipolarsemiconductors is summarized in Table 10.

The organic complementary logic circuits are fabricated by combining discrete n-typeand p-type organic transistors, wherein two different types of OSCs are used, one for the

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 36: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 67

n-channel and other for the p-channel. Both device materials can be patterned and depositedlocally and sequentially.185 With such a method, it is difficult to match the p- and n-channeltransconductances while keeping the parameter spread within limits. To circumvent thisproblem, complimentary circuits are fabricated using ambipolar transistors based on asingle OSC film and a single type of electrode.195,196

(a) (b)

(c) (d)

(e) (f) (g)

N

N

NC

CNDimethyldicyanoquinone-diimine

N

N

O O

O O

CH2C7F15

CH2C7H15F15-NTCDI

N

N

C13H27

C13H27

O O

O O

PTCDI-C13H27

C60 Fullerene

S

NO

N

S

C8H17

C10H21

O

C8H17

C10H21

n

PDPP-TNT

S

NO

N

S

C8H17

C10H21

O

C8H17

C10H21

n

PDPP-TBT

N N

S

S

R

S

S

S

R

n

PBTTT

Figure 18. Chemical structures of commonly used n-type conducting polymers and smallmolecules organic semiconductors: (a) diketopyrrolopyrrole-naphthalene copolymer (PDPP-TNT), (b) diketopyrrolopyrrole-benzothiadiazole copolymer (PDPP-TBT), (c) poly (2,5-bis(3-alkylthiophene-2-yl) thieno [3,2] thiophene) (PBTTT), (d) fullerene (C60), (e) dimethyl-dicyanoquinone-diimine, (f) N,N0-bis(1H,H1-per- fluorooctyl) naphthalene-1,4,5,8-tetracarboxylicdiimide (F15-NTCDI), (g) N,N′-ditridecylperylene-3,4,9,10-tetracarboxylic diimide (PTCDI-C13H27), (h) tetracyan-oquinodimethane (TCNQ), (i) perylene tetracarboxylic dianhydride (PTCDA),and (j) N,N′-dioctyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C8). (Continued on next page)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 37: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

68 B. Kumar et al.

CN NC

CNNC

TCNQ

O

O

O O

O O

PTCDA

N

N

R

R

O O

O O

PTCDI-C8

(h) (i) (j)

Figure 18. (Continued)

A high gain inverter circuit was reported by Vladu et al.194 by using a natural pigment-Indigo that demonstrated balanced electron and hole mobility. Furthermore, Dodabalapuret al.197 suggested a heterostructure for ambipolar transistor by using two layers of dif-ferent semiconductors (p and n both). Similarly, Wang et al.187 reported a C60/pentacenebased bottom contact heterostructure with the hole and electron mobility of 0.14 and0.23 cm2/V.s, respectively. Recently, Ha et al.191 reported an ambipolar transistor based ondiketopyrrolopyrrole-benzothiadiazole copolymer (PDPP-TBT) with a significantly highhole and electron mobility of 0.53 and 0.58 cm2/V.s, respectively.

6.2 Electrode Materials

To achieve a high performance device, the selection of material for making electrodes is ofequal importance as the semiconductors. Contact metal for the source and drain electrodesshould be selected in such a way that it should not produce a high contact resistance.It implies that the contact must possess a low interface barrier with the active layer forenabling a large number of carrier injections. Gold metal is often used in p-type OTFTs dueto its high work function (5 eV). It is an appropriate metal for usage with p-type organicsemiconductors as most of them exhibit their HOMO level near 4.9 eV.198 Thus a lowinterface barrier (∼0.1 eV) between the gold and p-type OSCs makes them suitable forbuilding up contacts with ohmic characteristics. Adding nickel, titanium, and chromiumwith gold improves the adhesivity.66,68

The gate electrode material should have good adhesion and patterning capabilities withsubstrate and gate dielectric, respectively. Moreover, the work function of the gate metalshould be comparable to the semiconductor in attaining low threshold voltage. Such elec-trode materials include heavily doped silicon,18 aluminum,49 and indium tin oxide (ITO).74

The work functions of some of the often used inorganic contact materials are summarizedin Table 11. Indium tin oxide is commonly used to make the anode terminal in organicdisplay devices because of its high work function (∼5 eV) and adequate transparency inthe visible spectrum. On the other hand, magnesium, lithium, and calcium with low workfunction metals are generally used to make the cathode terminal.

A novel series of conducting polymers are also required for fabricating the electrodes,so as to achieve a completely flexible organic device. Poly-3, 4-ethylenedioxythiophene:styrene sulfonic acid (PEDOT: PSS), PSS (poly (styrene sulfonate)), and PANI-CSA

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 38: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 69

Table 8Field effect mobility and on/off current ratio of different n-type conducting polymers

(Electron- Supply voltage (V)mobility)

Material Structure μ (cm2/V.s) ION/IOFF Vds Vgs Ref.

PDI-8CN2{N,N-bis(n-octyl)-dicyanoperylene-3, 4 :9, 10-bis(dicarboximide)}

BGTC 0.063 8.7×103 100 −40 to 100 166

BGBC 0.33 105 20 −30 to 50 167PTCDI-C13H27 (N,N′-

ditridecylperylene-3,4,9,10-tetracarboxylicdiimide)

BGTC 0.6 107 100 0 to 100 168

P(ND12OD-T2) Poly{[n,n9-bis(1-octydodecyl)-naphthalene-1,4,5,8-bis(dicarboximide)-2,6-diyl]alt-5,59-(2,29-bithiophene)}

TGBC 0.62 105 8 0 to 80 162

Benzobis (thiadiazole)(BBT) derivative

BGBC 0.1 108 20 −20 to 40 169

P(NDI2OD-T2) TGBC 0.5 106 60 −20 to 60 170NDI2-DTP

[Dithienopyrrole (DTP),2,2 0-(4-n-hexyl-4H-dithie-no[3,2-b:2 0,3 0-d]pyrrole-2,6-diyl)bis(N,N 0-bis(n-hexyl)naphthalene-1,4:5,8-bis(dicarboximide)]

TGBC 1.2 NR 25 0 to 25 171

P(NDI2OD-T2) TGBC 0.1 NR 60 −20 to 60 172(PDPP-TBT),

(diketopyrrolopyrrole-benzothiadiazolecopolymer)

TGBC 0.1 NR 40 −40 to 40 172

PDI-8CN2 N, N′

bis-(octyl-)-dicyanoperylene-3,4:9,10-bis(dicarboximide)

BGBC 0.01 105 20 −30 to 50 173

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 39: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

70 B. Kumar et al.

Table 9Field effect mobility and on/off current ratio of n-type small molecule organic

semiconductors

(Electron-Supply voltage

(V)mobility)

Material Struture μ (cm2/V.s) ION/IOFF Vds Vgs Ref.

F16CuPc (Copperhexadecafluorophthalocyanine)

BGTC 0.009 NR 100 0 to 100 144

F16CuPc (Copperhexadecafluorophthalocyanine)

Vertical 0.03 28 10 0 to 20 174

PTCDI C-8 N,N′-dioctyl-3,4,9,10-perylenetetracarboxylic diimide

Vertical 0.6 89 10 0 to 20

NTCDA Vertical 0.003 17 10 0 to 20PCBM

(phenyl-C61-butyricacid methylester)

BGBC 0.0348 1.4×106 40 −10 to40

175

TEPP {6}-1-(3-(2-thienylethoxycarbonyl)-propyl)-{5}-1-phenyl-[5,6]-C61

BGBC 0.0779 1.4×106 40 −10 to40

Perfluoropentacene BGTC 0.22 105 40 −50 to100

176

F8 [Poly(9,9-dioctylfluorene)]polyfluorene derivative

BGTC 0.025 103 50 0 to 100 177

Poly[(9,9-dioctylfluorenyl-2,7-diyl)-co-(1,4-benzo-{2,1’,3}-thiadiazole)](F8BT) polyfluorenederivative

BGTC 0.001 103 50 0 to 100

PDIN1400, PolyeraActivink N1400

TGBC 0.037 NR 40 0 to 60 31

C60 Fullerene BGTC 5.1 106 60 −20 to60

178

[60] PCBMMethanofullerenes[6,6]-phenyl-C61-butyric acidester

BGTC 0.21 104 80 0 to 80 179

(Continued on next page)

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 40: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 71

Table 9Field effect mobility and on/off current ratio of n-type small molecule organic semicon-

ductors (Continued)

(Electron-Supply voltage

(V)mobility)

Material Struture μ (cm2/V.s) ION/IOFF Vds Vgs Ref.

[70] PCBM [6,6]-phenyl-C71-butyric acidmethyl ester

BGTC 0.10 103 80 0 to 80

Methanofullerene[6,6]-phenyl C61-butyric acidmethyl ester

BGTC 0.2 103 80 −50 to50

180

F16CuPc (Copperhexadecafluorophthalocyanine)

BGBC 0.02 NR 100 0 to 100 181

F16CuPc (Copperhexadecafluorophthalocyanine)

BGBC 0.002 103 8 −1 to 8 182

(polyaniline doped with camphor-sulphonic acid) are commonly used conducting poly-mers for the electrodes.174,175 The chemical structures for some of the organic electrodematerials are shown in Fig. 20, while some of the commonly used organic electrode mate-rials are outlined in Table 12. Cossedu et al.46 reported an OTFT, wherein the source, drain,and gate electrodes were made of PEDOT material. This transistor exhibited a mobility of0.01 cm2/V.s in BGTC and 0.004 cm2/V.s in BGBC configurations. Additionally, Maccioni

1980 1985 1990 1995 2000 2005 2010 2015

10-4

10-3

10-2

10-1

100

101

µ(c

m2 /V

.s)

Year

Pentacene PolythiophenePCBMC60MC12C60

Figure 19. Comparative plot of mobility growth for p- and n-type OSCs.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 41: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Tabl

e10

Fiel

def

fect

mob

ility

and

on/o

ffcu

rren

trat

ioof

ambi

pola

rO

SCs

μ(c

m2 /V

.s)

Supp

lyvo

ltage

(V)

Mat

eria

lSt

ruct

ure

Hol

e(h

)E

lect

ron

(e)

I ON

/IO

FF

Vds

(h)

Vds

(e)

Vgs

Ref

.

NiD

TB

GB

C2.

10−4

2.0

×10

−5N

R−3

030

±30

185

P(N

DI2

OD

-T2)

Ver

tical

NR

NR

103

−10

3±4

018

6Pe

ntac

ene

and

perfl

uoro

pent

acen

eB

GT

CH

etro

stru

ctur

e0.

042

0.04

110

5−4

040

±60

176

C60

/Pen

tace

neB

GT

CH

etro

stru

ctur

e0.

140.

2310

−100

100

±100

187

PDPP

3T[P

oly

(Dik

etop

yrro

lopy

rrol

e-te

rthi

ophe

ne)]

BG

BC

0.02

0.02

NR

−60

60±8

018

8

PPV

/PC

BM

Ble

ndB

GB

C0.

0110

−6N

R−2

20−2

0/10

018

9PD

PP-T

BT

(Dik

etop

yrro

lopy

rrol

e-B

enzo

thia

diaz

ole

Cop

olym

er)

DG

0.5–

1.64

0.17

–0.5

105

−40

40±4

019

0,19

1

PDPP

-TB

T(D

iket

opyr

rolo

pyrr

ole-

Ben

zoth

iadi

azol

eC

opol

ymer

)

BG

TC

0.06

40.

037

NR

−70

70±7

519

2

PTD

PPSe

-SiH

ybri

dsi

loxa

ne-s

olub

ilizi

nggr

oup

(dith

ieny

l-di

keto

pyrr

olop

yrro

lean

dse

leno

phen

e)

BG

TC

3.97

2.2

NR

−100

100

±100

193

Indi

goT

GB

C0.

005–

0.01

0.01

NR

−10

10−9

to12

194

72

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 42: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 73

Table 11Work functions of inorganic electrode materials

Material Work function (eV) Ref.

Heavily doped n-type (n+) silicon 3.9 18Au (Gold) 5.1 198Cu (Copper) 4.7 199Cr (Chromium) 4.5 200Al (Aluminum) 4.0 − 4.28 201,202Ni (Nickel) 4.1 − 5.0 202,203Ti (Titanium) 3.84 35Pt (Platinum) 5.65 204Ca (Calcium) 2.87 36Co (Cobalt) 5.0 202Fe (Iron) 5.0 202ITO 5.3 74

et al.50 reported a cylindrical OTFT with S/D contacts of PEDOT: PSS that demonstrated amobility of 0.06 cm2/V.s and the on/off current ratio of 3 × 103.

6.3 Gate Insulating Materials

Insulating material is used to prevent the leakage current between the gate and active layer.This also works as the passivation layer in top gate organic transistors. The accumulation ofcharge carriers at the semiconductor/insulator interface strongly depends on the dielectricconstant and the thickness of the insulator. The material used for the insulating layershould properly adhere to the substrate. Moreover, it must produce a good interface withthe semiconductor to avoid the generation of dipoles and trap states. Certain desirablecharacteristics that an insulating material must exhibit are high dielectric constant (k) andhigh resistance. High-k insulating materials are beneficial in attaining a steep sub-threshold

(a) (b) (c)

COOH

NH2

OHHO

3,4-dihydroxy-L-phenylalanineL-DOPA

O O

PEDOTn

NH

HO OH

COOH Eumelanin

n

Figure 20. Chemical structures of commonly used organic electrode materials (a) Poly (3, 4-ethylenedioxythiophene) (PEDOT), (b) Eumelanin, and (c) 3, 4-dihydroxy-l-phenylalanine (l-DOPA).

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 43: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

74 B. Kumar et al.

Table 12Organic electrode materials

Material Ref.

PEDOT/ PSS Poly(ethylene-dioxythiophene)/ Polystyrene sulfonated 28PANI/CSA Polyaniline/Camphor-sulphonic acid 28Poly(3,4-thylenedioxythiophene) 28Polypyrrole 205Polythiophene 205Polyanilene 205Poly(3,4-thylenedioxythiophene) 28PEDOT/PSS Poly(ethylene-dioxythiophene)/ Polystyrene sulfonated 136

slope and low threshold voltage due to their smaller band gaps as compared to the low-kmaterials. Dielectric constants of some of the frequently used inorganic dielectric materialsare summarized in Table 13.

Several high-k insulators, including La2O3,206 HfSiOx,207 HfLaO,208 Pr6O11,209

HfO2,123 and Al2O3210 are investigated that resulted in a significant decrease in Vt and

SS. In addition to the material of high-k, the thickness of the dielectric layer should bekept low, not only to operate at lower voltages, but also to reduce the short channel effectsin submicron devices. Moreover, it should possess high breakdown voltage and long-termstability. These requirements are met by a number of dielectric materials, such as SiO2,Al2O3, PVP, ZrO2, P4VP, PMMA, BZT, PVDF, HfO2, and propylene.

Organic insulating materials, such as PMMA, PS, PVP, PI, and PVA demonstratethe ability of processing through low cost fabrication techniques, good adherence, andfair dielectric properties.215–217 Chemical structures of some of the organic insulators areshown in Fig. 21 and their dielectric constants are summarized in Table 14. In general, ahigh operating voltage is required for the organic insulator based TFTs due to their lowdielectric constant. As a solution, a thin insulating layer can be formed but it results in a high

Table 13Dielectric constants of inorganic insulating materials

Material Dielectric constant Ref.

SiO2 (Silicon di oxide) 3.5–4.5, 3.9 18,211Al2O3 (Aluminium oxide) 8.5–9 212Si3N4 (Silicon nitride) 6.2, 7.1 175, 213HfO2 (Hafnium oxide) 22, 25 123, 212MgO (Magnesium oxide) 9.8 212LaAlO3 (Lnthanum aluminum oxide) 26 212ZrO2 (Zirconium dioxide) 25, 17.5 212TiO2 (Titanium dioxide) 80–100 212La2 O3 (Lanthanum oxide) 30 212CeO2 (Cerium oxide) 52 212Ta2O3 (Tantalum pentoxide) 26 212Nd2O3 (Neodymiumoxide) 11.7 214

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 44: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 75

Table 14Dielectric constants of organic insulating materials

Material Dielectric constant Ref.

PI (Polyimide) 2.6 137PVP (Poly vinyl phenol) 5.3, 3.8, 6.4 220P4VP (Poly (4-vinyl phenol)) 5.3, 2.56 127PS (Polystyrene) 2.6 162P3DDT 3.24 127PVA (Polyvinyl alcohol) 7.8 162PMMA (Polymethyl methacrylate) 3.6, 3.3 162,205P4VP-co-PMMA 4.1 162BZT (Barium zirconate titanate) 3.8 221Polyvinyl alcohol 8.3 138PVP 5.3 177D139 7.4 191P (VDF-TrFE)/(PVDF)- blend 10.3 129

(a) (b) (c)

(d) (e) (f)

Si O

R1

Si

R2

n

Silicon network polymer

n

OHPoly(vinyl phenol)

n

Polystyrene

CH2 H2C

Parylenen OH

n

Poly vinyl alcohol

OO

Poly(methylmethacrylate)

n

CH3

CH3

Figure 21. Chemical structures of organic dielectric materials: (a) polymethyl methacrylate(PMMA), (b) silicon network polymer, (c) poly vinyl phenol (PVP), (d) polystyrene (PS), (e) parylene,and (f) polyvinyl alcohol (PVA).

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 45: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

76 B. Kumar et al.

Table 15Organic substrate materials

Material Ref.

PEN (Polyethylene naphthalate) 137Polyimide 224ITO-coated polyester 225Polyester 226PET (Polyethylene terephthalate) 227Plastic 142Plastic 143Paper 6

leakage current due to the presence of defects in a thin organic layer. As an alternative, Klauket al.10 reported a high performance OTFT by means of forming bi-layers of insulating filmsthrough spin coating of block copolymer on the thermally grown SiO2 surface. Similarly,Angelis et al.218 and Chou et al.219 demonstrated the organic transistors with bi-dielectriclayers of SiO2/PMMA and SiO2/Polyimide that resulted in the mobility of 1.4 cm2/V.s at−30 V and 2.05 cm2/V.s at −40 V, respectively.

6.4 Substrate Materials

The selection of a substrate material for any device primarily depends on the kind of applica-tion the device is meant for. Silicon finds usage in electronics not only because of its intrinsicproperties but also for its ability to produce an oxide layer by the thermal oxidation process.Glass substrate is necessary for fabricating organic light emitting diode displays.222 On theother hand, organic substrates are essentially required for flexible electronics. In 1990, Penget al.223 reported the first TFT fabricated on the glass substrate. Thereafter, Garnier et al.224

fabricated a TFT on a flexible polyimide substrate that demonstrated a performance com-parable to that of TFTs fabricated on silicon or glass. Later, in 1997 the first fully printedP3HT based organic transistor was reported by Bao et al.225 wherein, ITO-coated polyesterwas used as a substrate. Till then, numerous fully printed organic transistors28,205 and cir-cuits16,122,136 were made on the flexible substrates like polyethylene-naphthalate (PEN),poly ethylene-therephthalate (PET), polyimide, polyethylene, plastic, paper, and fiber6–9

that opened a new era of flexible low cost printed electronics. Some important organic andinorganic substrate materials are listed in Tables 15 and 16, respectively.

Table 16Inorganic substrate materials

Material Ref.

Heavily doped silicon 128Highly doped n-type silicon 18Glass 8

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 46: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 77

Figure 22. Basic fabrication steps for the bottom gate top contact OTFT.

7. Fabrication

The technique opted to deposit the materials during fabrication plays a crucial role whiledetermining the performance of different OTFTs, even though similar set of materialsare used to fabricate them. Commonly used fabrication techniques include electron-beam/thermal vacuum evaporation,217,228 solution processing,123 Langmuir-Blodget,3 physicalvapor deposition (PVD),212 photolithography,18 and shadow masking.49 Organic materialsallow the fabrication of transistor and circuits up to 120◦C that reduces the manufacturingcost, spectacularly. A fabrication flow of bottom gate top contact structure is shown inFig. 22. The foremost step includes the selection of a substrate that may be silicon,18

aluminum,49 glass,8 paper,6 plastic,7 fiber,9 foil,13 etc. Heavily doped (n/p) silicon waferacts as the gate and substrate simultaneously.18,32

A dielectric layer of SiO2 can be grown by thermal oxidation of silicon that exposesthe wafer to an oxidizing environment at a high temperature. The other dielectric materiallike Al2O3 is deposited by atomic layer deposition using tri-methyl aluminum, whereasthe solution processing technique is used to deposit the gate and the insulating layer oforganic materials on the flexible substrates. Thereafter, an active layer is formed througheither vacuum evaporation or the solution processing method dependent on the type oforganic semiconductor. S/D contacts in top contact structure are deposited by the shadowmasking technique, whereas in the bottom contact structure they are formed and patternedlithographically prior to the deposition of OSC layer. Small molecule semiconductors such

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 47: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

78 B. Kumar et al.

as pentacene and oligothiophenes are usually deposited through the vacuum evaporationmethod, since they exhibit low solubility in the organic solvents. Contradictorily, conduct-ing polymers, such as P3HT and polythiophene are soluble in solvents like chloroformand toluene;123 therefore, they can be deposited through spin coating or ink-jet printingtechniques. Details of these techniques are incorporated in the following sub-sections.

7.1 Vacuum Evaporation Techniques

The semiconductor film deposited by vacuum evaporation results in a superior chargecarrier transport properties. This technique is primarily employed for inorganic and smallmolecule organic materials. Conducting polymers may decompose or even crack at thehigh temperature, therefore, this technique is not suitable for them. Based on the trans-portation of the molecules of the desired material onto the substrate, the vacuum evapo-ration technique is categorized to thermal vacuum evaporation and electron beam vacuumevaporation. In the thermal vacuum evaporation, the material that needs to be depositedis heated by an electric filament. However, in the electron beam evaporation, this heatingis done through bombardment with a high energy electron beam (usually several KeV)by an electron gun. A vacuum chamber is needed in both the techniques to process thematerial. It must be evacuated to a minimum pressure of 10−5 Torr36 to suck the evaporatedparticles directly on the substrate without colliding with the molecules of the backgroundgas.

Vacuum evaporation methods have been applied frequently to deposit the single crystalsas well as the thin film of organic semiconductors. Butko et al.229 reported a single crystalbased organic transistor that exhibited a hole mobility of 0.3 cm2/V.s and the current on/offratio of 105, wherein the crystals were grown by the thermal deposition method. Similarly,Yun et al.230 reported a pentacene thin film transistor with a mobility of 0.32 cm2/V.s anda high on/off current ratio of 2.2 × 106, deposited through thermal vacuum evaporationtechnique. Additionally, Kumaki et al.145 reported a high mobility of 1 cm2/V.s for theanthracene oligomer based transistor, wherein, an active layer of 30 nm was formed throughthis deposition method.

Dimitrakopoulos et al.231 in 1996 demonstrated the deposition of a pentacene filmthrough electron beam evaporation method that yielded a mobility of 0.038 cm2/V.s. Later,in 2008, Cai et al.232 reported a high performance pentacene based OTFT deposited throughthis technique. The transistor exhibited the current, mobility, threshold voltage, on/off cur-rent ratio, and sub-threshold slope of −80 μA, 1.1 cm2/V.s, −2.71 V, 105, and 0.44 V/decade,respectively. The electron beam evaporation technique yields a higher density film com-pared to thermal evaporation due to a strict control on the evaporation rate. Even, severaldifferent materials can be deposited by using a multiple crucible electron beam gun withoutbreaking the vacuum pressure. Besides this, it helps to increase the adhesion of materialto the substrate and also improves the interfacing between the layers. The electron beamevaporation technique only involves the heating of material rather than the entire crucible.Therefore, the contaminations are less produced from the crucible as compared to thethermal vacuum evaporation method, wherein the whole crucible gets heated up to hightemperature. On the other hand, the electron beam evaporation method requires a complexand costly electron processing unit that is difficult to scale linearly as compared to thethermal evaporation technique.

The performance of an OTFT primarily depends on the purity of organic material,substrate cleaning and the rate of deposition. The mean free path of OSC particles andoccurrence of redundant molecules near the substrate play a crucial role in achieving a high

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 48: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 79

performance film. Vacuum deposition techniques are advantageous in terms of achievinghighly ordered films with a precise control on the thickness. However, a highly sophisti-cated vacuum chamber is required for an adequate flow of the charge carriers. Moreover,deposition of material at a very high temperature makes this technique inappropriate forthe flexible and low cost devices.

7.2 Solution Processing Techniques

Solution processable organic materials are beneficial in realizing large area electroniccircuits at considerably low temperature and therefore, at lower cost too. Spin coating,123

inkjet printing,227 polymer inking and stamping,233 transfer printing,234 and drop casting234

are regularly used cost-effective techniques. In transfer printing, a thin film pattern istransferred from a non-adhesive mold to an adhesive substrate. Using this technique, thedevices obtained are of longer channel length such as 40 μm was reported by Cho et al.234

However, Sele et al.235 reported a significant reduction in the channel length (up to 100 nm)by employing the ink-jet printing technique.

Spin coating is another solution processing technique, often employed for cost effectiveand large area production of the organic devices and circuits. Assadi et al.236 reported thefirst solution processed conducting polymer, P3HT, wherein the solubility was improvedby adding alkyl chains. Raval et al.123 reported a P3HT based organic transistor fabricatedthrough spin coating technique. A high-k insulator HfO2 was used to obtain a current of0.4 μA at low Vds and Vgs of −4 V each. The device exhibited a mobility of 0.001 cm2/V.sand Vt of −0.9 V. Moreover, all p-type organic inverters (with and without bootstrappingtechnique) operated at low voltage (−4 V) were also realized by employing the samefabrication technique. Additionally, Afzali et al.150 reported a mobility of 0.89 cm2/V.s forspin coated pentacene transistor processed in 1–2% chloroform solution.

Ink-jet printing is an additive process, wherein the material deposition and patterningare performed simultaneously.227 The basic fabrication flow of a TGBC organic transistorwith a typical combination of materials (substrate-PET, S/D-gold, OSC-polythiophene(PT), insulator-PVP, and gate-gold) is shown in Fig. 23,227 wherein the OSC is depositedthrough the ink-jet printing technique. Primarily, a layer of positive photoresist is developedon the PET substrate by the lift-off process. A glass mask is used to pattern the S/D contactson the substrate that allows the exposure of S/D chrome area by light, whereas the portionthat remains unexposed is removed through cleaning. Furthermore, the contact material isdeposited on the patterned photo-resist through the vacuum evaporation technique followedby the deposition of polythiophene OSC by ink-jet printing. Later, a layer of gate insulatoris formed through the spin coating of PVP dielectric on the contacts. The fabrication processends with the establishment of interconnections through holes along with the printing ofthe gate. The printing process enables proper alignment of the gate electrode in comparisonto the evaporation method.

Chen et al.227 reported an OTFT based on polythiophene deposited through the ink-jetprinting technique. This transistor exhibited the mobility, threshold voltage, and on/offcurrent ratio of 0.01 cm2/V.s, −4 V and 80, respectively. Furthermore, by processing thesame materials, they reported an organic p-type bootstrap inverter with a gain of 1.8 at 30 Vsupply. Furthermore, Cho et al.234 reported a BGBC organic transistor fabricated on poly(ether sulfone) (PES) substrate by transfer-printing of gold S/D electrodes and solutionprocessing of the PVP insulator. The active layer was made by drop casting of the solutionof 0.5 wt% TIPS pentacene in toluene. This device demonstrated a saturation mobility of0.012 cm2/V.s at Vds of −20V.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 49: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

80 B. Kumar et al.

Substrate

Photoresist Photoresist

Substrate

S D

(c)

(b)

(a)

SubstratePhotoresist

Glass

PhotoresistPhotoresist

SubstrateDS OSC

(d)

(e)

SubstrateDS OSC

Insulator

(f)

Substrate

DS

G

OSC

Insulator

Figure 23. Fabrication flow of TGBC organic transistor. (a) A photoresist layer is developed onthe PET substrate and exposed to light; (b) through cleaning unexposed photoresist is removed; (c)contact material is deposited through vacuum evaporation; (d) cleaning is carried out for finishing thecontacts; (e) OSC is deposited through ink-jet printing followed by the insulator layer through spincoating; (f) holes are made for the interconnections along with printing of gate.

Based on the deposition methods of different organic semiconductors, the performancein terms of drain current, mobility, on/off current ratio, and threshold voltage of few p-typeOTFTs are compared in Table 17.

Table 17Performance of different p-type OTFTs on the basis of deposition method of OSCs

Supply voltage(V)

Method of Organic Ids μ ION Vt

OSC deposition semiconductor (μA) (cm2/V.s) /IOFF (V) Vds Vgs Ref.

Drop casting TIPSpentacene

−0.9 0.012 6×103 −1.8 −20 10 to −10 234

Polymer inkingand stamping

Pentacene −40 0.033 108 −1.2 −5 0 to −5 233

Thermalevaporation

Pentacene −22 0.32 2.2×106 −10 −30 20 to −40 230

Thermalevaporation

Pentacene −3 0.19 107 −3 −20 0 to −20 237

Thermalevaporation

Pentacene −0.8 0.038 NR −6 −20 20 to −40 238

Inkjet printing polythiophene(PHT)

−0.1 0.01 80 −4 −40 20 to −40 227

Spin coating P3HT −1.4 0.015 8.4×106 −1.33 −10 10 to −10 56Electron beam

vacuumdeposition

Pentacene −80 1.1 105 −2.71 −50 0 to −20 232

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 50: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 81

1980 1985 1990 1995 2000 2005 2010 2015

10-5

10-4

10-3

10-2

10-1

100

101

VPSM (n) SPCP (n) SPSM (n)

µ(c

m2 /

V.s

)

Year

VPSM (P)

SPCP (P)

SPSM (P)

Figure 24. Comparative plot for growth in field effect mobility of p- and n-type transistors.

Over the last two decades, researchers have demonstrated noteworthy improvementin the fabrication methodologies of organic devices.227–239 Figure 24 shows a comparativestudy of growth in mobility for different p- and n-type organic materials such as vacuumprocessed small molecule (VPSM), solution processed conducting polymer (SPCP), andsolution processed small molecule (SPSM). The highest mobility is reported for the p- and n-type small molecule organic materials, deposited through the vacuum evaporation method.However, the solution processed p- and n-type conducting polymers have also shownnoteworthy progress with the passage of time. In addition to this, the small molecule (p/n)organic materials deposited through solution processing have demonstrated a continuousgrowth during the last decade due to synthesis of several precursors like TIPS pentacene151

and diF-TESADT154 that showed compatibility with the solution processing techniques.The polymer inking and stamping technique is promising for fabricating organic de-

vices with shorter channel length. Li et al.233 reported a fully printed pentacene organictransistor (L = 1 μm) on poly ether sulfone (PES) substrate by using this technique. Thedevice exhibited a significantly high current of 40 μA at low bias voltage (−5 V). In fact,by using polymer inking and stamping printing methods, they demonstrated roll to rollprinting that is attractive due to low-cost fabrication, high throughput, and processing atroom temperature.

8. Performance Influencing factors of OTFTs

The performance of an OTFT is evaluated by a range of parameters that include on-current,mobility, threshold voltage, sub-threshold slope, contact resistance, and off-current. Theseparameters are strongly dependent on the device dimensions, material, and fabricationprocess. In addition to this, the interface also plays a vital role due to multiple layers. Semi-conductor surface interfacing with S/D contacts and gate dielectric affects the performanceof the organic transistor to a large extent. This section presents the impact of dimensionalparameters and interfaces on the performance of organic transistors.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 51: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

82 B. Kumar et al.

8.1 Dimensional Parameters

Dimensional parameters like channel length, device width, and the thicknesses of the activeand insulating layers affect the performance of an organic transistor significantly. Thethickness of the insulator should be small enough to achieve a high capacitance that inturn enables high drain current at low switching voltage. In addition to this, the mobilityalso increases with decreasing thickness of the insulator. Singh et al.239 reported the rise inmobility by almost twenty times with reduction in thickness of PMMA dielectric from 700to 210 nm.

The thickness of the semiconductor primarily affects the on-current. However, offcurrent also rises with an increase in tosc due to an increasing fraction of the layer, wherethe charge carriers can move.43 The increase in off current results in a higher leakage powerand reduced noise margins. A thinner film can significantly reduce the off-current that ishighly desirable for organic display and memory circuits.44 Resendiz et al.43 reported a highon/off current ratio of 6 × 109 at tosc of 20 nm as compared to 10 with 160 nm thickness forP3HT based OTFT. Besides this, an increase of 8.5% in mobility and a decrease of 50% inthreshold voltage were observed on reducing the thickness from 160 to 20 nm. Similarly,Kano et al.41 demonstrated the effect of Dibutylquaterthiophene active layer thickness onthe device performance. On increasing the thickness from 20 to 80 nm, they observed areduction in mobility from 0.05 to 0.03 cm2/V.s and an increase in the sub-threshold slopefrom 3.5 to 5 V/decade due to proportionate increase in the access resistance. Surprisingly,a large variation from −10 to +18 V in the threshold voltage was observed with an increasein tosc from 20 to 80 nm.

To improve the performance of OTFT, noteworthy efforts have been made for fab-ricating the devices with shorter channel lengths. Rogers et al.240 in 1999 reported α-sexithiophene (α-6T) based p-type and F16CuPc based n-type OTFTs, each with 100 nmchannel length. These devices performed well at low voltage of ±3 V that resulted in mobil-ity of 0.0001 and 0.0008 cm2/V.s and current of −1.5 and 2 μA for p- and n-type transistors,respectively. Later in 2003, Zhang et al.241 observed a low voltage operation of sub-micronpentacene TFT of 30 nm channel length that yielded a mobility of 0.02 cm2/V.s and on/offcurrent ratio of 102 at supply voltage of −2 V. Furthermore, Lee et al.242 in 2005 reported anorganic transistor of 10 nm length with a reasonably good drain and transfer characteristicsat low voltage of −0.3 V. Additionally, they analyzed the performance dependence on thechannel length variation. Scaling down the length from 125 to 10 nm resulted in an increasein current by thirteen times and decrease in ION/IOFF by 71%.

8.2 Contact-Semiconductor Interface

The charge carrier injection and extraction to and from the channel is governed by theinterface between the metal and semiconductor. A large difference between the Fermi levelof metal and the HOMO/LUMO level of semiconductor results in a potential barrier, leadingto insufficient carrier injection. This leads to an additional resistance to contact resistancethat drops a significant amount of the applied bias, thereby reducing the internal voltage atthe channel ends. Burgi et al.93 reported a large barrier height of 1.2 eV for n-type organicsemiconductors with commonly used gold, aluminum, and copper metals. The effect ofmetal/OSC interface is less pronounced in p-type materials due to their lower barrier height(0.1–0.2 eV)243 in comparison to the n-type OSCs.

Wondmagegn et al.244 studied the impact of dipoles at the gold/pentacene interfacethrough numerical simulations. They observed a high contact resistance of 91 k� in the

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 52: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 83

presence of dipoles. However, this resistance reduced to half in the absence of dipole at thecontact/OSC interface. They observed that the contact resistance extracted in the presenceof dipoles was almost equal to the experimental result. This analysis justified the existenceof dipoles in the organic devices. The presence of dipoles at the metal/OSC interface resultsin a disordered molecular structure of an active layer. Gupta et al.18 reported the effectof morphological disorders in pentacene based top and bottom contact organic transistors.The top contact structure exhibited uniformity in the grains of the active layer, whereasdiversity in the grain size was observed in the bottom contact, especially at the contact/OSCinterface due to the deposition of semiconductor on the pre-patterned S/D electrodes. Asa result, the mobility reduced by two orders of magnitude in the bottom contact structurecompared to the top contact.

Several researchers have proposed few solutions to overcome this interface barrier.Tiwari et al.245 reported considerable improvement in the performance of organic transistorby depositing an additional thin organic active layer between the contacts and the semicon-ductor. Furthermore, Ishikawa et al.60 demonstrated an improvement in the performance ofp-type bottom contact TFT by inserting an additional p+ region near the contacts. Moreover,Watanabe et al.74 showed an improvement in ION/IOFF (540 times) by adding a layer of CuPcorganic material between the source (ITO) and the active layer (pentacene) to improve thecarrier injection.

Another factor that strongly affects the device behavior is the deposition parametersthat include substrate temperature and the deposition rate. Dimitrakopoulos et al.231 reportedthe effect of substrate temperature on the quality of the semiconductor film. They observeda disordered pentacene layer with a mobility of 0.00042 cm2/V.s at substrate temperatureof 45◦C. However, a highly ordered film with a mobility of 0.038 cm2/V.s. was achieved atroom temperature. Agrawal246 reported the effect of the pentacene deposition rate on theperformance of OTFT. The device showed a reduction in on/off current ratio by 60% andan increase in the sub-threshold slope by 25% with a higher pentacene deposition rate from1 to 2.5A◦/sec. This implies the possibility of achieving a high performance smooth layerof active material through proper tuning of the deposition parameters.

8.3 Insulator-Semiconductor Interface

The interface between the gate insulator and semiconductor should be optimized to achievean improved ordering of the active film and better charge carrier accumulation at theOSC/insulator interface. The performance of OTFT strongly depends on the resistivityof an insulator. A high resistive insulator reduces the interface trap density that in turn,increases the mobility and current. Veres et al.96 reported the performance of PTAA basedp-type OTFT with PMMA and poly (vinyl alcohol) insulators. The mobility of the transistorwith the PMMA insulator was observed to be six times higher in comparison to the transistorwith poly(vinyl alcohol). This is due to high resistivity of the PMMA insulator in compar-ison to its counterpart. Similarly, Jang et al.247 compared the performance of a C60 basedn-type OTFT with SiO2 (with encapsulation) and CYTOP (without encapsulation) gate in-sulators. The transistor with CYTOP insulator yielded the mobility and on/off current ratioof 0.05 cm2/V.s and 6 × 103, respectively, comparable to the transistor with SiO2 insulator(μ = 0.08 cm2/V.s and ION/IOFF = 104) provided with encapsulation. This transistor withCYTOP insulator improved the stability of the transistor in the air even without encapsula-tion due to significant decrease in the interface traps.

Surface treatment is considered to be a viable method to improve the performance oforganic transistors. By applying treatment to the surface of the insulator, the trap states

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 53: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

84 B. Kumar et al.

can be reduced substantially. This results in an increase in the accumulation of charge atthe OSC/insulator interface that in turn improves the performance of device. Lin et al.248

reported a high performance pentacene transistor by applying surface treatment usingoctadecyltrichlorosilane (OTS) material that yeilded drain current, mobility, on/off currentratio, sub-threshold slope, and threshold voltage of 70 μA, 1.5 cm2/V.s, −8 V, 108, and1.6 V/dec. at the supply voltage of -20 V. Furthermore, Tiwari et al.249 demonstrated apentacene organic TFT by modifying the surface of SiO2 dielectric with OTS-8 treatmentthat resulted in reasonably high on/off current ratio of 109 and a mobility of 0.8 cm2/V.s.Additionally, Kobayashi et al.250 observed a significant reduction in the threshold voltage byapplying NH2 groups for surface treatment. The shift in Vt can be attributed to the reductionin dipoles or an extra charge generated by the treatment. Recently, Jiang et al.251 reporteda P3HT based transistor with surface modification of SiO2 by octadecyltrimethoxysilane(OTMS). This transistor exhibited a mobility of 0.24 cm2/V.s and on/off current ratio of2.8 × 105.

The performance of OTFTs is improved by several researchers by introducing a self-assembled monolayer on the substrate. Klauk et al.49 reported a high performance pentaceneTFT consisting of very thin (5.7 nm) self-assembled monolayer of n-octadecylphosphonicmaterial with drain current and mobility of 5 μA and 0.4 cm2/V.s, respectively, at lowoperating voltage of −3 V. In addition to this, a low leakage current and sub-thresholdslope of 0.5 pA and 100 mV/decade, respectively, was observed. Additionally, Ito et al.252

reported a self-assembled monolayer of OTMS on the SiO2 surface. By producing thismonolayer, a hole mobility of 3.0 cm2/V.s for pentacene, and an electron mobility of5.3 cm2/V.s for C60 based transistors were reported. The excellent characteristics of theinterface between the layers not only reduced the defects, but also increased the number ofinjected carriers to the channel.

9. Applications of Organic Thin Film Transistor

Organic transistors have found their usage in numerous applications, such as organicinverters, ring oscillators, analog circuits, solar cell, and sensors.11–15 They are often used asthe backplane driver in the organic display circuits. Moreover, they are frequently employedas the rectifier-modulator unit in organic radio frequency identification systems. Few of theimportant applications of these transistors are described in the following sub-sections.

9.1 Organic Inverter Circuit

An inverter is considered to be the most basic circuit in digital circuitry. Most of theOTFT-based inverters are designed using only p-type transistors69,123 due to higher fieldeffect mobility and better intrinsic stability of the p-type materials in comparison to then-type. However, a complementary organic inverter is beneficial in terms of low staticpower consumption, high noise margin, high gain, and operational robustness. For suchcomplementary organic inverters both p- and n-type transistors should exhibit comparablemobility and threshold voltage. However, difficulty in obtaining a high mobility n-typeorganic transistor enforced researchers to propose an all p-type organic inverter circuit.Table 18 summarizes the performance of a few organic/inorganic devices employed in theinverter circuits.144,163,253–256

An all p-type inverter also faces challenges like low voltage swings, poor balance be-tween pull-up and pull-down operation, higher power dissipation, and low noise margins.Taking these limitations into account, hybrid complementary circuits have been proposed

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 54: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Tabl

e18

Dim

ensi

onal

para

met

ers,

mat

eria

ls,a

ndpe

rfor

man

ceof

diff

eren

tTFT

sus

edin

the

inve

rter

circ

uits

Dim

ensi

onal

para

met

ers

and

mat

eria

ls

Dev

ice-

1254

Dev

ice-

2144

Dev

ice-

3144

Dev

ice-

4163

Dev

ice-

5254

Dev

ice-

6255

L(μ

m)

9050

5010

090

9W

(μm

)50

010

0010

0010

0050

010

t ox

(nm

)12

.5(S

iO2+A

l 2O

3)

300

(SiO

2)

300

(SiO

2)

144

(SiO

2+T

iSiO

2)

12.5

(SiO

2+A

l 2O

3)

100

(SiO

2)

t osc

(nm

)50

(Pen

tace

ne)

10(C

uPc)

10(F

16C

uPc)

60(C

60)

60(Z

nO)

2000

(a-S

i:H)

t S/t D

(nm

)10

(Gol

d)10

(Gol

d)10

(Gol

d)10

1(L

iF+A

l)10

(Al)

50(G

old)

t g(n

m)

10(n

+Si

)10

(n+

Si)

10(p

-Si)

30(p

-Si)

10(p

-Si)

50(p

-Si)

Dev

ice

perf

orm

ance

para

met

ers

μ(c

m2 /V

s)1.

030.

160.

010.

921.

02.

67V

t(V

)−0

.57

−14

1.7

1.13

1.05

6.0

SS(V

/dec

)0.

17N

RN

R0.

30.

11N

RI O

N/I

OF

F2×

104

NR

104

105

104

105

85

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 55: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

86 B. Kumar et al.

(a) (b)

(c) (d)

VIN VOUT

Vdd=10V

GND

P-Type

N-Type

D

S

S

0 2 4 6 8 10

2

4

6

8

10

VO

UT

(V

)

VIN (V)

Vdd =10V

0 2 4 6 8 100.0

0.5

1.0

1.5

2.0

Gai

n

VIN (V)0 2 4 6 8 10 12

0

2

4

6

8

10

Vo

ltag

e (V

)

Time(Sec.)

Input Output

Figure 25. Organic complementary inverter: (a) schematic, (b) VTC plot, (c) gain, and (d) transientresponse.

recently. Dodabalapur et al.253 first suggested hybrid complementary technology by re-placing n-type organic transistor with an inorganic a-Si:H TFT. The schematic, voltagetransfer characteristics, gain, and transient response of a CuPc-F16CuPc based organiccomplementary inverter are shown in Figs. 25 (a-d), respectively.

The static and dynamic responses of all-p, organic complementary, and hybrid invertersare summarized in Table 19. A combination of pentacene-C60 achieved the noise margins(NML and NMH) close to an ideal value of Vdd/2. Its propagation delay (τ p) reduced by68% in comparison to the CuPc-F16CuPc (organic complementary) combination. It is dueto comparable mobility of pentacene and C60 based transistors, whereas the mobility ofCuPc based transistor is 16 times higher in comparison to F16CuPc based transistor. TheCuPc-F16CuPc combination resulted in the highest propagation delay among all the othercombinations. This is due to the lower field-effect mobility of F16CuPc device that slowsdown the operational speed of the transistor and thus causes higher delay in switching ofoutput levels.

The hybrid inverter circuit pentacene-ZnO combination resulted in the lowest propaga-tion delay of 0.28 sec. which is lesser by almost 50% as compared to the pentacene-a-Si: Hcombination. On the other hand, all-p organic configuration demonstrated just an averageperformance. The static performance parameters for all-p organic inverter include, VOH =8.0, VIH = 6.8, VOL = 0.2, and VIL = 4.4 V. In this configuration, the upper voltage of 8 Vis attained that is lesser than the Vdd (10 V), whereas, the lower voltage of 0.2 V is observed

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 56: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Tabl

e19

Perf

orm

ance

para

met

ers

ofor

gani

cco

mpl

emen

tary

,all

p-or

gani

can

dhy

brid

com

plem

enta

ryin

vert

ers

Inve

rter

perf

orm

ance

para

met

ers

Min

.hig

hvo

ltage

(V)

Max

.low

volta

ge(V

)N

oise

mar

gin

(V)

Prop

agat

ion

dela

y(s

ec.)

Dev

ice

com

bina

tion

O/P

VO

HI/

PV

IHO

/PV

OL

I/P

VIL

Hig

hN

MH

Low

NM

LH

igh-

low

τP

HL

Low

-hig

PL

p

CuP

c;p-

type

F 16C

uPc;

n-ty

pe9.

74.

71.

62.

75.

01.

11.

91.

001.

50

Pent

acen

e;p-

type

C60

;n-

type

105.

00.

84.

45.

03.

60.

60.

350.

48

All

Pent

acen

e8.

06.

80.

24.

41.

53.

90.

90.

800.

85Pe

ntac

ene;

p-ty

pea-

Si:H

;n-

type

9.7

5.7

0.2

3.7

4.0

3.5

0.5

0.60

0.55

Pent

acen

e;p-

type

ZnO

;n-

type

9.7

5.0

0.1

3.0

4.7

2.9

0.25

0.30

0.28

87

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 57: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

88 B. Kumar et al.

that matches with the desired level, 0 V. However, it can be observed from Table 19, thatthe noise margins of all p-organic inverters are not perfectly matched with the ideal siliconbased transistors.

Organic p-type only logic shows operational limitations of large circuits due to lownoise margin and large parameter variations.68 The performance of p-type inverters canbe enhanced by using dual gate TFTs wherein biasing the top gate controls the thresholdvoltage. The top gate electrostatically modifies the charge carrier distribution in the channel,accumulated by the bottom gate. The bottom gate strongly drives the transistor, whereasthe top gate weakly couples to the channel and linearly shifts the Vt.

Compared to the single gate, the dual gate OTFTs outperform in voltage swing, gain,and propagation delay because of their low threshold voltage and high on-current. Spijkmanet al.68 reported noise margins of 0.6 and 5.9 V for PTAA organic semiconductor basedsingle and dual gate inverters, respectively. Similarly, Myny et al.257compared the pentacenebased single and dual gate organic TFTs, wherein the DG OTFT improved the voltage swingand noise margin by 13% and 143%.

The performance of these inverter circuits can be further increased by the bootstrappingtechnique that helps in pulling down the output voltage to the minimum level, thereby in-creasing the output voltage swing and noise margin.258 Raval et al.123 observed an incrementin gain and voltage swing by 13% and 40%, respectively, for the P3HT based inverter byapplying the bootstrapping technique. Similarly, Jeon et al.259 showed a significant increasein the performance of pentacene based all p-inverter circuit by employing this technique.

9.2 Organic Light Emitting Diode (OLED)

The organic light emitting diodes (OLEDs) commercialized previously are now makingway for low cost, large area flexible displays. They have been successfully employed forthe small displays, such as mobile phone, programmable digital array, MP player, andmodern digital camera. The driving force behind this success are the advantages exhibitedby OLEDs such as low cost, lightweight, compact, flexible, ease of fabrication, large colorselection, and better efficiency. Besides this, it has also proven to be promising enoughfor improved color quality, sharp image, intensive background, large sight angle, rapidswitching, and lower voltage operation. These properties can be utilized for fabricatingbetter flexible displays of electronic paper, since it requires high on/off current ratio, lowsub-threshold slope, low threshold voltage, etc.

An OLED consists of thin emissive layers prepared from organic compounds. It showshigh luminous efficiency, since it does not require any backlight function. These OLEDsgenerate photons through the emissive layer by generation and recombination of electron-hole pairs. When the current passes through multiple layers, it transforms into light and adesired image is formed through several OLEDs lighting simultaneously on a screen.

An OLED structure and its OTFT based driving circuit are shown in Figs. 26(a) and26(b), respectively. The OTFT1 is meant for charging and discharging of the capacitor (C),whereas OTFT2 is the driver transistor. OTFT1 should have high on-current and low off-current for enabling the capacitor to be efficiently charged and discharged. The capacitorshould hold the charge during the complete frame time. For maintaining charge during along frame, the off current of OTFT1 should be low. Otherwise, to compensate the chargeleakage, the capacitor size should be large enough that it retains the minimum desireddata voltage. Charging of this large capacitor requires a high on-current. Generally, a largeon/off current ratio is required for operating a large display. Jackson et al.260 reported ahigh performance pentacene based stacked TFT with a mobility of 1.5 cm2/V.s and a high

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 58: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 89

Electron transport layerAlq3 ETL

CuPc HTL

ITO

Glass

Silver

Hole transport layer

Anode

Transparent Substrate

Cathode

Recombination region

Light output

VinVGate

OTFT1

VDataGND

OLED

OTFT2

C

VCathode

Figure 26. OLED (a) schematic and (b) circuit driven by an OTFT.

ION/IOFF of 108 comparable to that of a-Si:H transistor. Such a high mobility enables thedisplay to address at least a thousand lines and the high on/off current ratio allows longframe times with minimum charge leakage. In 2006, Zhou et al.261 reported the first fully-organic active matrix organic light emitting diode (AMOLED) display that contained 48 ×48 bottom-emission OLED pixels with two pentacene transistors per pixel.

Li et al.231 reported a fully printed multilayer organic LED fabricated through polymerinking and stamping technique by transferring a layer of PEDOT material on PES substrateusing a stamp of polydimethylsiloxane (PDMS) material. The device emitted yellow lightfrom the bottom of the transparent ITO substrate by applying a voltage of about 7 V. OTFTdevices fabricated at low temperature often exhibit low mobility (<0.5 cm2/V.s) that limitsthe designing of a pixel circuitry.222 Therefore, a compensatory unit is needed for lowmobility OTFT circuits to maintain a constant driving current that can achieve adequateelectrical operation of AMOLED display. Liu et al.262 investigated a novel voltage drivingpixel circuit for AMOLED displays, consisting of four switches, one driving transistor, anda capacitor. To narrow down the charging time, they developed a complementary voltageinduced coupling driving mechanism by reducing the voltage drop between the source andthe drain terminals of the organic transistor, especially suitable for OTFTs based displaycircuitry with low field effect mobility.

9.3 Organic Radio Frequency Identification Tags

Presently, radio frequency identification (RFID) tags are being aggressively used for ap-plications such as supply chain management, toll bridge, medical science, and the defensesector. During the last few years, organic RFID tags have received immense interest dueto their low cost and flexibility. The cost of organic RFID tags per unit area is almostthree orders lower than their silicon counterparts. Researchers have found them competentenough to replace the bar code technology intended for product and inventory identifica-tion.263–265 It needs to be operated in the radio frequency range to identify the item/personand information that can be transmitted without adhering to line of sight.

The schematic of a capacitive coupled organic rectifier-modulator circuit is shown inFig. 27(a) that consists of a transmitter/receiver, rectifier-modulator unit, and the RFIDtag.12 In the RFID system, the signal flows in two directions; 1) from reader to tag, whenthe transmitter sends the command to read a code from the tag and 2) from tag to reader,

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 59: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

90 B. Kumar et al.

(a)

(b)

DEMODULATOR

OTFT1

OTFT2

GND

CDECOUPLE

READER

CODEGENERATOR

-Vdd

ANTENNA

TAG

VREC

RECTIFIER-MODULATOR

VREAD

CCOUPLE 2

CCOUPLE 1

B

A

Binary Counter(3-bit)

Clock Generator(Ring Oscillator)

Multiplexer (8×1)

Memory Unit (ROM)

D7D6D5D4D3D2D1D0 Row0- - - - - Row1- - - - - - -- - - - - - -- - - - - - -- - - - - - -- - - - - Row7

Line Selector (8-bit)(3×8 Decoder)

Output Shift RegisterOutput

Figure 27. Schematics of (a) capacitive coupled organic rectifier-modulator and (b) transpondercircuit of an organic RFID.

while the tag sends the code back to the receiver. For reading data from the tag, theantenna receives the voltage signal of RF frequency from the reader through couplingcapacitors, CCOUPLE1 and CCOUPLE2. This high frequency AC signal is rectified (full wave)by two half wave rectifying diodes realized through p-type organic transistors, OTFT1and OTFT2. These transistors are configured in diode load logic wherein the drain andgate terminals are shorted. The capacitor, CDECOUPLE filters out the redundant AC ripples,thereby producing a smooth DC signal feed to the tag.

To send back the code from an RFID tag to the reader, OTFT2 performs the modulationof an electric signal generated by the tag. OTFT1 works as the feedback unit providing

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 60: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 91

a return path to the modulated signal. Using an antenna, this signal is transmitted to thereader section, where it is demodulated to regenerate the signal.

The schematic of a typical 64 bit RFID transponder chip (code generator) is shownin Fig. 27(b).257 It consists of a 19-stage ring oscillator that generates the clock signal forbinary counter, line selector, and the output register. A binary 3-bit counter drives a 8:1multiplexer that selects a particular 8-bit row from the memory and delivers it to the outputshift resister. This 3-bit counter also operates to the 8-bit line coder simultaneously thatmakes the selection of a new row after completing the transmission of earlier 8-bits.

Baude et al.266 in 2003 reported a pentacene based RFID circuit, patterned with poly-meric shadow mask on a 2 × 2 inch glass plate. This low cost circuit was directly poweredby a radio frequency signal without applying a rectifier stage. This organic RFID circuitresponded adequately at 125 KHz and even upto a high frequency of 6.5 MHz. Later, in2007, Cantatore et al.12 reported an organic transponder based RFID system operating at13.56 MHz frequency. Myny et al.267 in 2009, demonstrated an improved organic RFID cir-cuit fabricated on the plastic substrate for RF communication at the same carrier frequencyof 13.56 MHz. Furthermore, they reported inductively coupled 64-bit268 and 128-bit269

pentacene based RFID tag that supported a data rate of 787 and 1529 bits/sec.Myny et al.257 recently reported a 64-bit organic RFID transponder chip based on dual

gate OTFT that operated at a supply voltage of 10 V. This chip yielded a high data rateof 4300 bits/sec, realized on a small area of 45.38 mm2. Currently, the researchers arefocusing towards performance improvement of organic RFID tags for item-level trackingof individual goods at low cost to establish control over check-in/out of an inventory.

9.4 Organic DNA Sensors

DNA (Deoxyribonucleic acid) hybridization sensors using organic transistors have startednew tradition in the field of medical electronics. These organic sensors are often usedto detect and quantify the nucleic acids. DNA detection systems are of huge importancedue to their potential applications in pharmacogenomic research, drug discovery, forensicanalysis, and molecular diagnosis.270,271 Pentacene based label free DNA sensors are themost advanced nucleic acid detection systems. Moreover, it is applied for identificationof viral, forensic, and genetic symptoms.272 Conventional techniques used for detection ofDNA molecules are mainly based on the optical methods that are marred by costly andcomplex optical instruments and long processing time. Contradictorily, the electronic DNAhybridization sensing demonstrates better selectivity and sensitivity that too at lower cost.Organic material based DNA sensor has turned out to be promising enough for transforminga chemical binding event into the electrical signal that can be easily measured, analyzed,and amplified.273

Zhang and Subramaniam274 reported the immobilization of DNA molecules on thepentacene surface that increased the conduction current due to an unambiguous dopingeffect. Figure 28 shows the immobilization of DNA molecules at the surface of semicon-ductor. These molecules dope the semiconductor that causes a shift in threshold voltage.Yan et al.275 observed a substantial difference in Vt due to diverse doping and efficiency ofimmobilized DNA molecules. Recently, Kim et al.238 reported a disposable DNA sensor onthe flexible PES substrate that yielded immobilization of 100 DNA molecules directly onthe pentacene surface. This hybridization dramatically affected the performance of OTFTwith a decrease in mobility and current by 50% and 58%, respectively. Such a big changein the performance occurred due to the presence of negative charge on the DNA moleculesthat attracted the holes from the channel region, thereby reducing the conductivity.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 61: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

92 B. Kumar et al.

DrainSource

Insulator

Substrate

Gate

DNACasting of drop Organic channel

Figure 28. Immobilization of DNA molecules in the grain boundaries due to hydrophobic interactionin BGBC organic transistor.

Liu et al.272 reported a high performance pentacene based top-contact structure, whereina constant bias of +50 V applied between the gate and the source terminals for about 30minutes increased the current about four times in comparison to the current without anyDNA hybridization. Organic TFTs have found extensive use in low cost DNA detectionsystems. Moreover, the complete analysis time is less than 40 minutes for the organictransistor based DNA sensor; however, for conventional techniques the time requirementis about 24 hrs.274

10. Limitations

Compared to the organic transistor, the silicon based transistors demonstrate higher oper-ating speed, smaller size, environment stability, and everlasting performance that enablesthem for a dominant role in the electronic market. Nevertheless, in the last decade, a grad-ual and significant progress on the organic semiconductor front has provided an alternativedesign platform for the designers especially in application areas of flexible electronics,sensors, medical diagnostics, etc. However, a number of challenges are yet to be resolvedin order to make organic devices practically and commercially viable.

The organic device faces various constraints at abstraction levels of fabrication, materialand device physics level. At the outset, they hold the complex structure that raises difficultyin understanding the electronic transport phenomenon. Moreover, the characteristics oforganic materials changes with a different ecological environment and over a long periodof time. Therefore, the researchers need to exercise more on the stability models of thesedevices to better comprehend the degradation. It is understood that primarily the instabilityoriginates from its chemical structure. Therefore, alternative methods are being researchedto synthesize a steady organic compound that would enhance mobility and switchingbehavior. To add further, the I-V characteristic of an organic transistor degrades severely athigher temperatures and also the noise level increases considerably at low frequencies.

The mobility of organic materials has been gradually enhanced over the years, espe-cially of p-types. This success can be credited to the synthesis of novel high performancematerials and innovative fabrication process such as surface healing of dielectric by meansof the self-assembled monolayer, the thermally evaporated conducting layer, the surfacetreatment of dielectric, adding a conductive layer between the contacts and semiconductor,etc.112

Major challenges are being also faced in the development of fully organic comple-mentary circuits due to lower mobility of n-type devices. Some of the primary obstaclesin improving the performance of n-type OTFT are the susceptibility of OSCs to water and

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 62: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 93

oxygen under ambient conditions. Several n-channel transistors can be operated when pro-cessed and tested under inert condition; only that makes them technologically unattractive.Numerous issues are still open ended, specifically those associated with the stability andperformance variation from roll to roll and device to device. Currently, OTFTs are notsuitable for very high speed applications due to low mobility, high operating voltage, andreverse recovery time.

11. Future Outlook

Organic electronics has been a subject of intense commercial and academic interest overthe last two decades. The present work brings out just a small portion of the great potentialof organic electronic based materials, devices, and circuits. To go further, this section pointsout some directions for future research. OTFTs would find widespread usage in flexibledisplays with applications to e-newspaper, e-magazine, and e-book. Aggressive efforts arebeing made to increase the applicability of organic devices in widely used circuits andapplications such as differential amplifier,257 A/D and D/A converter,258 shift register,276

energy saving organic LED,11 organic memory,14 ring-oscillator,49 and organic solar cell.277

The future scope of organic transistor in compact, portable, and lightweight circuits includesmart phone, flexible display, bio-sensor, etc. This section further discusses the scope forenhancing the performance of devices and circuits in future.

11.1 Novel Materials and Structures

The performance of an organic semiconductor is governed by how molecules or polymerchains are arranged in the solid state. Regardless of the latest developments in p- and n-typematerials, there is an ample scope for synthesizing novel materials with stable and highmobility under ambient conditions, ease of synthesis, reliability under processing as well asoperational conditions and the ability to effectively inject and collect charge carriers at theinterface. Solubility and mobility are other important concerns for semiconducting organicmaterials. Although P3HT exhibits outstanding solubility, but is limited by lower mobility.In contrast, pentacene exhibits higher hole mobility but demonstrates instability in the airand lower solubility in solvents that makes it inappropriate for fabricating through low costprinting methods.8 Some novel solutions can be helpful to fill this technological gap.

Some novel dielectric materials need to be synthesized that would have a high dielectricconstant as well as low leakage current. Besides this, the role of border traps needs to beaddressed together with oxide and interfacing traps. Furthermore, realization of novelcontact materials is extremely required that can easily inject the charge carriers, especiallyin the LUMO of n-type materials. For flexible devices, novel conducting polymers, such asPSS, PEDOT: PSS, and PANI-CSA can find their use to make the S, D, and G contacts. Inaddition to this, aggressive research is required to understand the transport phenomenon inorganic materials for their successful implementation in spin based devices. Moreover, theapplication of organic materials in spintronics can be as organic ferromagnetic materialsfor generating and detecting spin polarized current.

Modified structures for OTFTs, such as dual gate,69 floating gate,278 multigate,279

nanowire FETs,280 vertical,72 cylindrical,50 and organic Fin-FET281 are becoming essentialfor achieving higher speed, drivability, and mobility. On the other hand, the power consump-tion is on the rise in these devices that can be resolved by proper selection of materials tomake them suitable for portable systems. Furthermore, most of the complementary circuitsare realized using p- and n-type TFTs, connected horizontally. However, the performance

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 63: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

94 B. Kumar et al.

can be improved through a vertically stacked structure as it is beneficial in reducing theinterconnect distance among TFTs that in turn, reduces the parasitics. Moreover, verticalstacking may lead to an increase in density of circuits. Realizing novel structures with novelmaterials and their circuits may open up new dimensions in the organic electronics with anaim to make them suitable for photovoltaics, wearable medical monitoring smart shirt, andhighly sophisticated integrated circuits.

11.2 Optimization of Device Performance

Performance optimization of a device/transistor is a key challenge that includes factorssuch as series resistance, gate induced drain leakage, process-induced variation, mobility,and charge injection at the contacts/semiconductor interface. Mobility can be improvedby obtaining large grains of active material by optimizing the deposition process. Often,increased order in the molecular packing results in a high mobility. A surface treatment andself-assembled monolayer of the dielectric can be helpful in modifying the microstructureof organic thin-films chemically. Moreover, the morphology of the active layer can beorganized especially, to the monolayer closest to the insulator interface by tuning the rateof deposition and temperature of the substrate.282

The performance improvement of bottom contact structures is an additional challenge.Insertion of an additional high doping region near the contacts can increase the performanceof these structures.60 In fact, adding an extra semiconductor layer between the contact andsemiconductor can surprisingly enhance the performance. Furthermore, the threshold volt-age can be reduced substantially by using high-k dielectric without compromising the highon-off current ratio. In organic single gate TFTs, asymmetric S/D contacts can be employedwith low and high work-function metals for lowering the off-current significantly.283

The performance can be further enhanced in terms of stability, operating bias, costeconomy, longevity, temperature dependency, and power dissipation. However, from atheoretical point of view, the accurate prediction of the properties of organic devicesincluding true I-V curves with as few adjustable parameters as possible still represents aformidable challenge.

11.3 Analytical Models

The understanding of charge transport in organic devices is critical and important for con-tinuing the miniaturization of electronic devices. Researchers have shown a large differencebetween the top and the bottom contact structures in terms of drain current and mobility.18

In the bottom contact structure, a shadow of metal can create hindrance for accurate deposi-tion of the active layer near the contacts that results in heterogeneous/assorted morphologyof the semiconductor. This results in low effective mobility and high contact resistancefor the bottom contact structure. Moreover, this structure exhibits a discontinuity in theactive layer between the channel and contacts.46 Understanding the mechanism behind themicrostructure arrangement and its effect on carrier mobility is still one of the formidablechallenges.

To model these morphological disorders, some calibrated standards need to be devel-oped on the basis of low mobility regions near the contacts and high contact resistance.Efforts can be devoted in realizing the model that would represent accurate static and dy-namic electrical characteristics in all the operating regimes. Mapping of the overlappingregion (gate-source/gate-drain) to the resistance would be helpful in developing analytical

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 64: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 95

models based on the thickness of the active layer. Regardless of few available models, it isessentially required to develop the analytical models for dual gate structures based on themapping of charge accumulation phenomena through second gate bias. Suitable modelsare also required to understand the charge transport mechanism in the vertical channel, thefloating gate, and the organic Fin-FET structures.

At present, very few models are available that address the charge transport issues innanowire, multigate, and cylindrical gate organic TFTs. Therefore, the circuit simulationis only limited to the TCAD mixed mode that is extremely time consuming. Therefore, thecompact models for these novel structures need to be developed that can be included in thecircuit level simulators.

11.4 Environmental Device Stability

The characteristics of organic devices should not vary during prolonged operation andenvironmental conditions. Due care must be taken against exposure of devices to moistureand oxygen, which can be achieved by depositing a film of inorganic oxides. It is imperativeto explore the physical mechanism that obstructs the device stability. Through encapsulationof the devices, a longer functioning lifetime can be achieved.282 Attaching a metal or glasslid to the substrate with a low-permeation adhesive is one of the encapsulation methods thatcan be used to protect the organic materials (especially for OLED displays). Additionally,a thin barrier coating at the top and bottom side of the device can offer a noteworthyimprovement in the device performance.

Hysteresis behavior is an important challenge associated with the operational stabilityof organic transistors that is often observed during sweeps of the gate source voltage.This is due to numerous defects associated with the OTFTs, such as charge trapping inthe OSC, polarization of the dielectric, charge leakage from OSC to dielectric, and thepresence of the dipoles in the OSC/insulator interface. A high hysteresis effect results ina significant variation in the threshold voltage, thereby affecting the overall performanceof the transistor. Researchers have shown low hysteresis behavior of an OTFT by applyingsurface treatment to the dielectric layer that reduces localization of the charge in thetrapping states.283 Nevertheless, aggressive efforts are needed to substantially increase theoperational stability of OTFTs.

12. Conclusion

This paper reviewed advancements in the organic transistors in terms of their structures,charge transport models, fabrication methodology, and molecular structures of differentmaterials. The performance of different p- and n-type OSCs (conducting polymers andsmall molecule) and the ambipolar semiconductors are analyzed and discussed. Moreover,a detailed study is presented for the organic/inorganic materials used as the dielectric, con-tacts, and substrate. Analytical models imitating the actual behavior of organic transistorsare reviewed. In addition to this, different OTFT structures including single gate, dual gate,vertical channel, and cylindrical gate are discussed and compared.

The effect of device dimensions and interface between the layers is thoroughly re-viewed. Furthermore, few important applications of organic transistors such as inverter,OLED, RFID tag, and DNA sensors are discussed. A comparison is made among organicp-type, organic complementary, and hybrid inverter circuits with an aim to explore thebasic issues related to the organic device based future circuits. It is observed that the or-ganic materials based low cost flexible and disposable electronic product can be realized.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 65: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

96 B. Kumar et al.

Regardless of low speed, organic ICs are bound to be found in the future to enable newapplications, especially in flexible large area electronic and price sensitive applications.Organic electronics is not a technology competing with silicon, but intends for innovativeapplications that are not possible or are too expensive with conventional transistors.

Nomenclature

a-Si:H Amorphous silicon hydrogenatedBST Barium strontium titanateBZT Barium zirconate titanateBGBC Bottom gate bottom contactBGTC Bottom gate top contactCLM Channel length modulationCMOS Complementary metal oxide semiconductorDG Dual gateOLED Organic light emitting diodeOTFT Organic thin film transistorOSC Organic semiconductorP3AT Poly (3-alkylthiophene)P3HT Poly (3-hexylthiophene)P3OT Poly (3-octylthiophene)PMMA Poly methyl methacrylateOTFT Organic thin film transistorRFID Radio frequency identificationSi SiliconSG Single gateSS Sub-threshold slopeTFT Thin film transistorTGBC Top gate bottom contactTGTC Top gate top contacttOSC Thickness of organic semiconductortOX Thickness of insulatorVTC Voltage transfer characteristicsIZO Indium zinc oxidePVCN Poly (vinyl cinnamate)PVP Poly (4-vinyl phenol)PEDOT: PSS Poly-3, 4-ethylenedioxythiophene: styrene sulfonic acidPET poly ethylene-therephthalateSiO2 Silicon-dioxideAu GoldAl AluminumITO Indium tin oxideAl2O3 Aluminum-dioxidePTAA Poly (triarylamine)Ti TitaniumTiO2 Titanium dioxideSi3N4 Silicon Nitride

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 66: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 97

References

1. Shirakawa, H.; Louis, E. J.; MacDiarmid, A. G.; Chiang, C. K.; Heeger, A. J. “Synthesis ofelectrically conducting organic polymers: Halogen derivatives of polyacetylene, (CH)x”, J.Chem. Soc., Chem. Commun. 1977, 16, 578–580.

2. Tsumura, A.; Koezuka, H.; Ando, T. “Macromolecular electronic device: Field effect transistorwith a polythiophene thin film”, Appl. Phys. Lett. 1986, 49(18), 1210–1212.

3. Horowitz, G. “Organic field-effect transistors”, Adv. Mater. 1998, 10(5), 365–377.4. Lee, K. S.; Smith, T. J.; Dickey, K. C.; Yoo, J. E.; Stevenson, K. J.; Loo, Y. L. “High-

resolution characterization of pentacene/polyaniline interfaces in thin-film transistors”, Adv.Funct. Mater. 2006, 16(18), 2409–2414.

5. Schon, J. H.; Batlogg, B. “Trapping in organic field-effect transistors”, J. Appl. Phys. 2001,89(1), 336–341.

6. Kim, Y. H.; Moon, D. G.; Han, J. I. “Organic TFT array on a paper substrate”, IEEE ElectronDevice Lett. 2004, 25(10), 702–704.

7. Moore, S. K. “Just one word: Plastics”, IEEE Spectrum 2002, 39(9), 55–57.8. Klauk, H.; Halik, M.; Zschieschang, U.; Eder, F.; Schmid, G.; Dehm, C. “Pentacene organic

transistors and ring oscillators on glass and on flexible polymeric substrates”, Appl. Phys. Lett.2003, 82(23), 4175–4177.

9. Lee, J. B.; Subramanian, V. “Organic transistors on fiber: A first step toward electronic textiles”,in IEDM Tech. Dig. 2003; pp 8.3.1–8.3.4.

10. Klauk, H.; Halik, M.; Zschieschang, U.; Schmid, G.; Radik, W. “High-mobility polymer gatedielectric pentacene thin film transistors”, J. Appl. Phys. 2002, 92(9), 5259–5263.

11. Mizukami, M.; Hirohata, N.; Iseki, T.; Ohtawara, K.; Tada, T.; Yagyu, S.; Abe, T.; Suzuki, T.;Fujisaki, Y.; Inoue, Y.; Tokito, S.; Kurita, T. “Flexible AM OLED panel driven by bottom-contact OTFTs”, IEEE Electron Device Lett. 2006, 27(4), 249–251.

12. Cantatore, E.; Geuns, T. C. T.; Gelinck, G. H.; Veenendaal, E. V.; Gruijthuijsen, A. F. A.;Schrijnemakers, L.; Drews, S.; De Leeuw, D. M. “A 13.56-MHz RFID System based onorganic transponders”, IEEE J. Solid-State Circuits. 2007, 42(4), 84–92.

13. Brianda, D.; Opreab, A.; Courbata, J.; Barsanb, N. “Making environmental sensors on plasticfoils”, Materials Today. 2011, 14(9), 416–423.

14. Takamiya, M.; Sekitani, T.; Kato, Y.; Kawaguchi, H. “An organic FET SRAM with back gateto increase static noise margin and its application to braille sheet display”, IEEE J. Solid-StateCircuits. 2007, 42(1), 93–100.

15. Tobjork, D.; Osterbacka, R. “Paper electronics”, Adv. Mater. 2011, 23(17), 1935–1961.16. Guerin, M.; Daami, A.; Jacob, S.; Bergeret, E.; Benevent, E.; Pannier, P.; Coppard., R. “High

gain fully printed organic complementary circuits on flexible plastic foils”, IEEE Trans.Electron Devices. 2011, 58(10), 3587–3593.

17. Shekar, B. C.; Lee, J.; Rhee, S. “Organic thin film transistors: Materials, processes, anddevices”, Korean J. Chem. Engg. 2004, 21(1), 267–285.

18. Gupta, D.; Katiyar, M.; Gupta, D. “An analysis of the difference in behavior of top and bottomcontact organic thin film transistors using device simulation”, Org. Electron. 2009, 10(5),775–784.

19. Cui, T.; Liang, G. “Dual gate pentacene organic field-effect transistors based on a nanoassem-bled SiO2 nanoparticle thin film as the gate dielectric layer”, Appl. Phys. Lett. 2005, 86(6),064102-1–064102-3.

20. Tate, J.; Rogers, J. A.; Jones, C. D. W.; Vyas, B.; Murphy, D. W.; Li, W.; Bao, Z.; Slusher, R.E.; Dodabalapur, A.; Katz, H. E. “Anodization and microcontact printing on electroless silver:Solution-based fabrication procedures for low-voltage electronic systems with organic activecomponents”, Langmuir. 2000, 16(14), 6054–6060.

21. Liu, R.; L.; Deng, F.; Yao, R. H.; Lai, P. T. “Low-operating-voltage polymer thin-film transistorsbased on poly (3-hexylthiophene) with hafnium oxide as the gate dielectric”, IEEE Trans.Device Mater. Reliab. 2010, 10(2), 233–237.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 67: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

98 B. Kumar et al.

22. Ortiz, R. P.; Facchetti, A.; Marks, T. J. “High-k organic, inorganic, and hybrid dielectrics forlow-voltage organic field-effect transistors”, Chem. Rev. 2010, 110(1), 205–239.

23. Krumm, J. “Printed electronics-from vision to first product”, 4th European Workshop on RFIDSystems and Technologies, Freiburg, Germany June 10–11, 2008.

24. Weimer, P. K. “The TFT- a new thin-film transistor”, Proc. IRE, 1962, 50, 1462–1469.25. LeComber, P. G.; Spear, W. E.; Ghaith, A. “Amorphous-silicon field-effect device and possible

application” Electron. Lett. 1979, 15(6), 179–181.26. Kudo, K.; Yamashina, M.; Moriizumi, T. “Field effect measurement of organic dye films”,

Jpn. J. Appl. Phys. 1984, 23, 130–130.27. Ebisawa, F.; Kurokawa, T.; Nara, S. “Electrical properties of polyacetylene/polysiloxane in-

terface”, J. Appl. Phys. 1983, 54(6), 3255-1–3255-6.28. Halik, M.; Klauk, H.; Zschieschang, U.; Schmid, G.; Radlik, W.; Weber, W. “Polymer gate

dielectrics and conducting polymer contacts for high performance organic thin film transistors”,Adv. Mater. 2002, 14(23), 1717–1722.

29. Fu, Y.; Lin, C.; Tsai, F. Y. “High high field-effect mobility from poly (3-hexylthiophene)thin-film transistors by solvent–vapor-induced reflow”, Org. Electron. 2009, 10(5),883–888.

30. Marinov, O.; Deen, M. J.; Zschieschang, U.; Klauk, H. “Organic thin film transistors: Part I.Compact DC modeling”, IEEE Trans. Electron Devices. 2009, 56(12), 2952–2961.

31. Carranza, A. C.; Nolasco, J.; Estrada, M.; Gwoziecki, R.; Benwadih, M.; Xu, Y.; Cerdeira, A.;Marsal, L.F.; Ghibaudo, G.; Iniguez, B.; Pallares, J. “Effect of density of states on mobilityin small molecule n-type organic thin- film transistors based on a Perylene Diimide”, IEEEElectron Device Lett. 2012, 33(8), 1201–1203.

32. Deen, M. J.; Marinov, O.; Yu, J.; Holdcroft, S.; Woods, W. “Low-frequency noise in polymertransistors”, IEEE Trans. Electron Devices 2001, 48(8), 1688–1694.

33. Horowitz, G.; Lang, P.; Mottaghi, M.; Aubin, H. “Extracting parameters from the current-voltage characteristics of organic field-effect transistors”, Adv. Funct. Mater. 2004, 14(11),1069–1074.

34. Deen, M. J.; Marinov, O.; Zschieschang, U.; Klauk, H. “Organic thin-film transistors: Part II-Parameter extraction”, IEEE Trans. Electron Devices. 2009, 56(12), 2962–2968.

35. Estrada, M.; Cerdeira, A.; Puigdollers, J.; Resendiz, L.; Pallares, J.; Marsal, L. F.; Voz, C.;Iniguez, B. “Accurate modeling and parameter extraction method for organic TFTs”, Solid-State Electron. 2005, 49(6), 1009–1016.

36. Dimitrakopoulos, C. D.; Malenfant, P. R. L. “Organic thin film transistors for large areaelectronics”, Adv. Mater. 2002, 14(2), 99–117.

37. Horowitz, G.; Hajlaoui, M. E. “Grain size dependent mobility in polycrystalline organic field-effect transistors”, Synth. Metal. 2001, 122(1), 185–189.

38. Knipp, D.; Street, R. A.; Vo¨lkel, A.; Ho, J. “Pentacene thin film transistors on inorganicdielectrics: Morphology, structural properties, and electronic transport”, J. Appl. Phys. 2003,93(1), 347–355.

39. Horowitz, G.; Hajlaoui, R.; Bouchriha, H.; Bourguiga, R.; Hajlaoui, M. “The Concept ofthreshold voltage in organic field-effect transistors”, Adv. Mater. 1998, 10(12), 923–927.

40. Pernstich, K. P.; Haas, S.; Oberhoff, D.; Goldmann, C.; Gundlach, D. J.; Batlogg, B.; Rashid, A.N.; Schitter, G. “Threshold voltage shift in organic field effect transistors by dipole monolayerson the gate insulator”, J. Appl. Phys. 2004, 96(11), 6431-1–6431-8.

41. Kano, M.; Minari, T.; Tsukagoshi, K.; Maeda, H. “Control of device parameters by active layerthickness in organic thin film transistors”,, App. Phy. Lett. 2011, 98(7), 073307-1–073307-3.

42. Sirringhaus, H.; Friend, R. H.; Li, X. C.; Moratti, S. C.; Holmes, A. B.; Feeder, N.“Bis(dithienothiophene) organic field-effect tran-sistors with a high ON/OFF ratio”, Appl.Phys. Lett. 1997, 71(26), 3871–3873.

43. Resendiz, L.; Estrada, M.; Cerdeira, A.; Iniguez, B.; Deen, M. J. “Effect of active layerthickness on the electrical characteristics of polymer thin film transistors”, Org. Electron.2010, 11(9), 1920–1927.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 68: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 99

44. Islam, M. N. “Impact of film thickness of organic thickness on off-state current of organic thinfilm transistors”, J. Appl. Phys. 2011, 110(11), 114906-1–114906-10.

45. Ha, T. J.; Sparrowe, D.; Dodabalapur, A. “Device architectures for improved amorphouspolymer semiconductor thin film transistors”, Org. Electron. 2011, 12(11), 1846–1851.

46. Cosseddu, P.; Bonfiglio, A. “A comparison between bottom contact and top contact all or-ganic field effect transistors assembled by soft lithography”, Thin Solid Films. 2007, 515(19),7551–7555.

47. Schon, J. H.; Kloc, Ch.; Batlogg, B. “On the intrinsic limits of pen-tacene field-effect transis-tors”, Organic Electron. 2000, 1(1), 57–64.

48. Schon, J. H.; Kloc, Ch.; Batlogg, B. “Universal crossover from band to hopping conduction inmolecular organic semiconductors”, Phys. Rev. Lett. 2001, 86(17), 3843–3846.

49. Klauk, H.; Zschieschang, U.; Halik, M. “Low voltage organic thin film transistors with largetransconductance”, J. Appl. Phys. 2007, 102(7), 074514-1–074514-7.

50. Maccioni, M.; Orgiu, E.; Cosseddu, P.; Locci, S.; Bonfiglio, A. “Towards the textile transistor:Assembly and characterization of an organic field effect transistor with a cylindrical geometry”,Appl. Phys. Lett. 2006, 89(14), 143515-1–143515-3.

51. Zan, H. W.; Yen, K. H. “Vertical channel organic thin-film transistors with meshed electrodeand low leakage current”, Jpn. J. Appl. Phys. 2007, 46(6A), 3315–3318.

52. Gundlach, D. J.; Zhou, L.; Nichols, J. A.; Jackson, T. N.; Necliudov, P. V.; Shur, M. S. “Anexperimental study of contact effects in organic thin film transistors”, J. Appl. Phy. 2006,100(2), 024509-1–024509-13.

53. Klauk, H. “Organic thin-film transistors”, Chem.Soc.Rev. 2010, 399(7), 2643–2666.54. Zhang, X. A.; Zhang, J. W.; Zhang, W. F.; Hou, X. “Fabrication and comparative study of top-

gate and bottom-gate ZnO–TFTs with various insulator layers”, J. Mater. Sci. Mater. Electron.2010, 21(7), 671–675.

55. Kim, K. D.; Song, C. K. “Low voltage organic thin film transistors using a hybrid gatedielectric consisting of aluminum oxide and poly (Vinyl phenol)”, Jnp. J. Appl. Phys. 2010,49, 111603-1–111603-3.

56. Yang, F. Y.; Hsu, M. Y.; Hwang, G. W.; Chang, K. J. “High-performance poly(3-hexylthiophene) top-gate transistors incorporating TiO2 nanocomposite dielectrics”, Org.Electron. 2010, 11(10), 81–88.

57. Mittal, P.; Kumar, B.; Negi, Y. S.; Kaushik, B. K.; Singh, R. K. “Channel length variationeffect on performance parameters of organic field effect transistors”, Microelectron. J. 2012,43(12) 985–994.

58. Street, R. A.; Salleo, A. “Contact effects in polymer transistors”, Appl. Phys. Lett. 2002,81(15), 2887-1–2887-1.

59. Shim, C. H.; Maruoka, F.; Hattori, R. “Structural analysis on organic thin-film transistor withdevice simulation”, IEEE Trans. Electron Devices 2010, 57(1), 195–200.

60. Ishikawa, Y.; Wada, Y.; Toyabe, T. “Origin of characteristics differences between top andbottom contact organic thin film transistors”, J. Appl. Phys. 2010, 107(5), 053709–053715.

61. Estrada, M.; Mejia, I.; Cerdeira, A.; Pallares, J.; Marsal, L. F.; Iniguez, B. “Mobility modelfor compact device modeling of OTFTs made from different materials”, Solid State Electron.2008, 52(5) 787–794.

62. Blanchet, G. B.; Fincher, C. R.; Lefenfeld, M.; Rogers, J. A. “Contact resistance in organicthin film transistors”, Appl. Phys. Lett. 2004, 84(2) 296–298.

63. Luo, M. F. C.; Chen, I.; Genovese, F. C. “A thin film transistor for flat planel displays”, IEEETrans. Electron Devices. 1981, 28(6), 740–743.

64. Tuan, H. C.; Thompson, M. J.; Johnson, N. M.; Lujan, R. A. “Dual gate a-Si: H thin filmtransistors”, IEEE Electronic Device Lett. 1982, 3(12), 357–359.

65. Kaneko, Y.; Tsutsui, K.; Tsukada, T. “Back bias effect on the current voltage character-istics of amorphous silicon thin film transistors”, J. Non-Crystalline Solids 1992, 149(3),264–268.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 69: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

100 B. Kumar et al.

66. Ha, T. J.; Sonar, P.; Dodabalapur, A. “High mobility top gate and dual-gate polymer thinfilmtransistors based on diketopyrrolopyrrole-naphthalene copolymer”, Appl. Phys. Lett. 2011,98(25), 253305-1-253305-3.

67. Koo, J. B.; Ku, C. H.; Lim, J. W.; Kim, S. H. “Novel organic inverters with dual gate pentacenethin film transistors”, Org. Electron. 2007, 8(4), 552–558.

68. Spijkman, M.; Smits, E. C.; Blom, P. W.; De Leeuw, D. M.; Come, Y. B. S.; Setayash, S.;Cantatore, E. J. “Increasing the noise margin in organic circuits using dual gate field-effecttransistors”, Appl. Phys. Lett. 2008, 92(14), 143304-1–143304-3.

69. Spijkman, M. J.; Myny, K.; Smits, E. C. P.; Heremans, P.; Blom, P. W. M.; De Leeuw, D.M. “Dual gate thin film transistors, integrated circuits and sensors”, Adv. Mater. 2011, 23(29),3231–3242.

70. Nishizawa, J.; Terasaki, T.; Shibata, J. “Field effect transistor versus analog transistor (staticinduction transistor)”, IEEE trans. Electron Devices. 1975, 22(4), 185–197.

71. Kudo, K.; Wang, D. X.; lizuka, M.; Kuniyoshi, S.; Tanaka, K. “Organic static inductiontransistor for display devices”, Thin Solid Film, 2000, 111–112,11–14.

72. Chen, Y.; Shih, I. “Fabrication of vertical channel top contact organic thin film transistors”,Org. Electron. 2007, 8(5), 655–661.

73. Naruse, H.; Naka, S.; Okada, H. “Dual self-aligned vertical multichannel organic transistors”,Appl. Phys. Express, 2008, 1(1), 011801-1-011801-1.

74. Watanabe Y.; Kudo, K. “Vertical type organic transistor for flexible sheet display”, Proc. SPIE2009, 7415, 741515-1-741515-10.

75. Tanaka, S.; Yanagisawa, H.; Iizuka, M.; Nakamura, M.; Kudo, K. “Vertical- and lateral-typeorganic fet using pentacene evaporated films”, Electr. Eng. Jap. 2004, 149(2), 43–48.

76. Zan, H. W.; Yen, K. H. “Vertical-channel organic thin-film transistors with meshed electrodeand low leakage current”, Jpn J. Appl. Phys. 2007, 46(6A), 3315–3318.

77. Auth, C. P.; Plummer, J. D. “Scaling theory for cylindrical, fully depleted, surrounding gateMOSFETs”, IEEE Electron Device Lett. 1997, 18(2), 74–76.

78. Kranti, A.; Haldar, S.; Gupta, R. “Analytical model for threshold voltage and I–V character-istics of fully depleted short channel cylindrical surrounding gate MOSFET”, Microelectron.Eng. 2001, 56(3), 241–259.

79. Jang, J.; Nam, S.; Park, J. J.; Im, J.; Park, C. E.; Kim, J. M. “Photocurable polymer gatedielectrics for cylindrical organic field-effect transistors with high bending stability”, J. Mat.Chem. 2012, 22, 1054–1060.

80. Bonfiglio, A.; Rossi, D. D.; Kirstein, T.; Locher, I.; Mameli, F.; Paradiso, R.; Vozzi, G. “Organicfield effect transistors for textile applications”, IEEE Trans. Inf. Technol. Biomed. 2005, 9(3),319–324.

81. Deen, M. J.; Kazemeini, M. H.; Holdcroft, S. “Contact effects and extraction of intrinsicparameters in poly (3-alkylthiophene) P3AT thin-film field-effect transistors”, J. Appl. Phys.2008, 103(12), 124509–124516.

82. Necliudov, P.; Shur, M.; Gundlach, D.; Jackson, T. “Modeling of organic thin film transistorsof different designs”, J. Appl. Phys. 2000, 88(11), 6594–6597.

83. Marinov, O.; Deen, M. J.; Datars, R. “Compact modeling of charge mobility in organic thinfilm transistors”, J. Appl. Phys. 2009, 106(6), 064501-1-064501-13.

84. Mijalkovi, S.; Green, D.; Nejim, A.; Whiting, G.; Rankov, A.; Smith, E.; Halls, J.; Murphy,C. “Modelling of organic thin film transistors for technology and circuit design”, Proc. 26th

International Conference on Microelectronics (MIEL 2008), NIS, Serbia 2008; pp 469–476.85. Marinov, O.; Deen, M. J.; Iniguez, B. “Charge transport in organic and polymer thin-film

transistors: Recent issues”, IEE Proc. Circ. Dev. Syst. 2005, 152(3), 189–209.86. Vissenberg, M. C. J. M.; Matters, M. “Theory of the field effect mobility in amorphous organic

transistors”, Physical Review B. 1998, 57(20), 964–967.87. Shur, M.; Hack, M. “Physics of amorphous silicon based alloy field effect transistors”, J. Appl.

Phys. 1984, 55(10), 3831–3842.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 70: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 101

88. Hamadani, B.; Natelson, D. “Nonlinear charge injection in organic field effect transistors”, J.Appl. Phys. 2005, 97(6), 064508-1–064508-7.

89. Jacunski, M.; Shur, M.; Owsu, A.; Ytterdal, T.; Hack, M.; Iniguez, B. “A short channel DCSPICE model for polysilicon thin film transistors including temperature effects”, IEEE Trans.Electron Devices. 1999, 46(6), 1146–1158.

90. Xie, Z.; Abdou, M.; Lu, A.; Deen, M. J.; Holdcroft, S. “Electrical characteristics of Poly(3-Hexylthiophene) thin film MISFETs”, Can. J. Phys. 1992, 70(10), 1171–1177.

91. Natali, D.; Fumagalli, L.; Sampietro, M. “Modeling of organic thin film transistors: Effect ofcontact resistances”, J. Appl. Phys. 2007, 101(1), 014501-1–014501-12.

92. Jung, K. D.; Kim, Y. C.; Park, B. G.; Shin, H.; Lee, J. D. “Modeling and parameter extractionfor the series resistance in thin-film transistors”, IEEE Trans. Electron Devices. 2009, 56(3),431–440.

93. Burgi, L.; Richards, T. J.; Friend, R. H.; Sirringhaus, H. “Close look at charge carrier injectionin polymer field effect transistors”, J. Appl. Phys. 2003, 94(9), 6129–6137.

94. Zhang, Z.; Sharma, P.; Borca, C. N.; Dowben, P. A.; Gruverman, A. “Polarization-specificadsorption of organic molecules on ferroelectric, LiNbO3 surfaces”, Appl. Phys. Lett. 2010,97(24), 243702-1-243702-3.

95. Locci, S.; Morana, M.; Orgiu, E.; Bonfiglio, A.; Lugli, P. “Modeling of short channel effectsin organic thin-film transistors”, IEEE Trans. Electron Devices. 2008, 55(10), 2561–2567.

96. Veres, J.; Ogier, S.; Lloyd, G. “Gate insulators in organic field-effect transistors”, Chem. Mater.2004, 16(23), 4543–4555.

97. Podzorov, V. “Charge carrier transport in single-crystal organic field-effect transistors”, inOrganic Field Effect Transistor; CRC Press: Boca Raton, FL, 2007; pp 27–72.

98. Warta, W.; Stehle, R.; Karl, N. “Ultrapure, high mobility organic photoconductors”, Appl.Phys. A. 1985, 36(3), 163–170.

99. Schon, J. H.; Kloc, C.; Batlogg, B. “Fractional quantum hall effect in organic molecularsemiconductors”, Science. 2000, 288(5475), 2338–2340.

100. Zaumseil, J.; Sirringhaus. H. “Electron and ambipolar transport in organic field effect transis-tors”, Chem. Rev. 2007, 107(4), 1296–1323.

101. Troisi, A.; Orlandi, G. “Dynamics of the intermolecular transfer integral in crystalline organicsemiconductors”, J. Phys. Chem. A. 2006, 110(11), 4065–4070.

102. Karl, N. “Charge carrier transport in organic semiconductors”, Synth. Met. 2003, 133–134,649–657.

103. Veres, J.; Ogier, S. D.; Leeming, S. W.; Cupertino, D. C.; Khaffaf, S. M. “Low-k insulatorsas the choice of dielectrics in organic field effect transistors”, Adv. Funct. Mater. 2003, 13(3),199–204.

104. Swensen, J.; Kanicki, J.; Wang, G.; Heeger, A. J. “Influence of gate dielectrics on electricalproperties of F8T2 polyfluorene thin film transistors”, Proc. SPIE 2003, 5217, 159–166.

105. Yu, Y. H.; Lee, S. C.; Yang, C. S.; Choi, C. K. “Mobility, energy gap and dielectric constant inSiOC films”, J. Korean Physi. Soc. 2003, 42(5), 682–685.

106. Kanicki, J.; Kinder, L.; Heeger, A. J.; Petroff, P.; Swensen, J. “Structural ordering in F8T2polyfluorene thin film transistors”, Proc. SPIE. 2003, 5217, 35–42.

107. Salleo, A.; Street, R. A. J. “Light-induced bias stress reversal in polyfluorene thin-film tran-sistors”, Appl. Phys. 2003, 94(1), 471–479.

108. Rawcliffe, R.; Bradley, D. D. C.; Campbell, A. “Comparison between bulk and field effectmobility in polyfluorene copolymer field effect transistors”, Proc. SPIE. 2003, 5217, 25–34.

109. Sirringhaus, H.; Kawase, T.; Friend, R. D.; Shimoda, T.; Inbase-bakan, M.; Wu, W.; Woo, E. P.“High-resolution inkjet printing of all-polymer transistor circuits”, Science. 2000, 290(5499),2123–2126.

110. Fix, W.; Ullmann, A.; Ficker, F.; Rost, H.; Clemens, W.; Brennan, D.; Welsh, D.; O’Brien, “Fastpolymer integrated circuits based on a polyfluorene derivative”, IEEE Proc. 32nd EuropeanSolid State Device Research Conference, Germany, 2002; pp 527–530.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 71: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

102 B. Kumar et al.

111. Miller, A.; Abrahams, E. “Impurity conduction at low concentrations”, Physical Review. 1960,120(3), 745–755.

112. Yamashita, J.; Kurosawa, T. “On electronic current in NiO”, J. Phys. Chem. Solids. 1958,5(1–2) 34–43.

113. Holstein, T. “Studies of polaron motion: Part I. the molecular crystal model”, Annals of Physics.1959, 8(3) 325–342.

114. Zhu, X. Y.; Yang, Q.; Muntwiler, M. “Charge-transfer excitons at organic semiconductorsurfaces and interfaces”, Acc. Chem. Res. 2009, 42(11), 1779–1787.

115. Li, Y.; Singh, S. P.; Sonar, P. “A high mobility p-type DPP-Thieno[3,2- b]thiophene Copolymerfor organic thin-film transistors”, Adv. Mater. 2010, 22(43), 4862–4866.

116. Bao, Z.; Lovinger, A. J.; Dodabalapur, A. “Organic field-effect transistors with high mobilitybased on copper phthalocyanine”, Appl. Phys. Lett. 1996, 69(20), 3066–3068.

117. McCullough, R. D.; Lowe, R. D.; Jayaraman, M.; Anderson, D. L. “Design, synthesis, and con-trol of conducting polymer architectures: structurally homogeneous poly(3-alkylthiophenes)”,J. Org. Chem. 1993, 58(4), 904–912.

118. Bao, Z.; Dodabalapur, A.; Lovinger, A. J. “Soluble and processable regioregular poly (3-hexylethiophene) for thin film field effect transistor applications with high mobility”, Appl.Phys. Lett. 1996, 69(26), 4108-1-4108-3.

119. Yamaguchi, K.; Takamiya, S.; Minami, M.; Doge, Y.; Nishide, Y.; Osuga, H.; Uno, K.;Tanaka, I. “Crystallinity improvement of benzodithiophene-dimer films for organic field-effecttransistors”, Appl. Phys. Lett. 2008, 93(4), 043302-1-043302-3.

120. Kurokawa, A.; Matsumoto, Y.; Shibamoto, K.; Kajimoto, K.; Osuga, H.; Yamakado, H.; Uno,K.; Tanaka, I. “Contact and channel resistances of organic field-effect transistors based onbenzodithiophene-dimer films deposited on pentacene crystallinity control layers”, Appl. Phy.Lett. 2009, 95(26), 263307-1-263307-3.

121. Jia, H.; Gowrisanker, S.; Pant, G. K.; Wallace, R. M.; Gnade, B. E. “Effect of poly (3-hexylthiophene) film thickness on organic thin film transistor properties”, J. Vac. Sci. Technol.A. 2006, 24(4), 1228–1232.

122. Halik, M.; Klauk, H.; Zschieschang, U.; Kriem, T.; Schmid, G.; Radlik, W.; Wussow, K.“Fully patterned all-organic thin film transistors”, Appl. Phys. Lett. 2002, 81(2), 1491604-1–1491604-3.

123. Raval, H. N.; Tiwari, S. P.; Navan, R. R.; Mhaisalkar, S. G.; Rao, V. R. “Solution processedbootstrapped organic inverters based on P3HT with a high-k gate dielectric material”, IEEEElectron Device Lett. 2009, 30(5), 484–486.

124. Lo, P. Y.; Li, P. W.; Pei, Z. W.; Hou, J.; Chan, Y. J. “Enhanced P3HT OTFT transportperformance using double gate modulation scheme”, IEEE Electron Device Lett. 2009, 30(6),629–631.

125. Doi, I.; Kang, M. J.; Takimiya, K. “High mobility organic thin-film transistors on plasticsubstrate”, Curr. Appl. Phys. 2012, 12(1), e2–e5.

126. Torsi, L.; Marinelli, F.; Angione, M. D.; Aquila, A. D.; Cioffi, N.; De Giglio, E.; Sabbatini, L.“Contact effects in organic thin-film transistor sensors”, Org. Electron. 2009, 10(11), 233–239.

127. Scheinert, S.; Paasch, G. “Fabrication and analysis of polymer field effect transistors”, Phys.Stat. Sol. (a). 2004, 201(6), 1263–1301.

128. Pan, H.; Li, Y.; Wu, Y.; Liu, P.; Ong, B. S.; Zhu, S.; Xu, G. “Low-temperature, solution-processed, high-mobility polymer semiconductors for thin-film transistors”, J. Am. Chem.Soc. 2007, 129(14), 4112–4113.

129. Jung, S. W.; Yoon, S. M.; Kang, S. Y.; You, I. K.; Koo, J. B.; Baeg, K. J.; Noh, Y. Y.“Low-voltage-operated top-gate polymer thin-film transistors with high-capacitance P(VDF-TrFE)/PVDF-blended dielectrics”, Curr. Appl. Phys. 2011, 11(3) S213–S218.

130. Leufgen, M.; Bass, U.; Muck, T.; Borzenko, T.; Schmidt, G.; Geurts, J.; Wagner, V.;Molenkamp, L.W. “Optimized sub-micron organic thin-film transistors: The influence of con-tacts and oxide thickness”, Synthetic Metals. 2004, 146(3), 341–345.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 72: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 103

131. Verilhac, J. M.; Benwadih, M.; Altazin, S.; Jacob, S.; Gwoziecki, R.; Coppard, R.; Serbutoviez,C., “Effects of amorphous semiconductor thickness on top gate staggered organic field-effecttransistors”, Appl. Phys. Lett. 2009, 94(14), 143301-1-143301-3.

132. Wang, C.; Jimison, L. H.; Goris, L.; McCulloch, I.; Heeney, M.; Ziegler, A.; Salleo, A.“Microstructural origin of high mobility in high-performance poly(thieno-thiophene) thin-film transistors”, Adv. Mater. 2010, 22(6), 697–701.

133. Brown, A. R.; Jarrett, C. P.; de Leeuw, D. M.; Matters, M. “Field effect transistors made fromsolution-processed organic semiconductors”, Sythetic Metals. 1997, 88(1), 37–55.

134. Fukuda, K.; Takeda, Y.; Kobayashi, Y.; Shimizu, M.; Sekine, T.; Kumaki, D.; Kurihara, M.;Sakamoto, M.; Tokito, S. “Patterning method for silver nanoparticle electrodes in fully solution-processed organic thin-film transistors using selectively treated hydrophilic and hydrophobicsurfaces”, Jpn. J. Appl. Phys. 2013, 52(5), 05DB05-1-05DB05-4.

135. Muller, R.; Smout, S.; Rolin, C.; Genoe, J.; Heremans, P. “High mobility short-channel p-typeorganic transistors with reduced gold content and completely gold-free source/drain bottomcontacts”, Org. Electron. 2011, 12(3), 1227–1235.

136. Cosseddu, P.; Orgiu, E.; Bonfiglio, A. “Soft lithography fabrication of fully flexible andtransparent all organic fets for large area applications”, Mater. Res. Soc. Symp. Proc. 2006,965 (45–52).

137. Kato, Y.; Iba, S.; Teramoto, R.; Sekitani, T.; Someya, T.; Kawaguchi, H.; Sakurai, T. “Highmobility of pentacene field-effect transistors with polyimide gate dielectric layers”, Appl. Phys.Lett. 2004, 84(19), 3789–3791.

138. Wang, W.; Ma, D.; Pan, S.; Yang, Y. “Hysteresis mechanism in low-voltage and high mobilitypentacene thin-film transistors with polyvinyl alcohol dielectric”, Appl. Phys. Lett. 2012,101(3), 033303-1–033303-5.

139. Tang, W. M.; Ng, T. W.; Greiner, M. T.; Qiu, J.; Helander, M. G.; Lu, Z. H. “Comparison ofCuPc-based organic thin-film transistors made by different dielectric structures”, J. Vac. Sci.Technol. B. 2013, 31(1), 012201-1–012201-6.

140. Ha, T. J.; Sonar, P.; Dodabalapur, A. “Charge-carrier velocity distributions in high-mobilitypolymer dual-gate thin-film transistors”, IEEE Electron Device Lett. 2012, 33(6), 899–901.

141. Kim, J.; Jeong, J.; Cho, H. D.; Lee, C.; Kim, S. O.; Kwon, S. K.; Hong, Y. “All-solution-processed bottom-gate organic thin-film transistor with improved subthreshold behaviourusing functionalized pentacene active layer”, J. Phys. D: Appl. Phys. 2009, 42(11), 115107-1–115107-6.

142. Chung, S.; Kim, S. O.; Kwon, S. K.; Lee, C.; Hong, Y. “All-inkjet-printed organic thin-film transistor inverter on flexible plastic substrate”, IEEE Electron Device Lett. 2011, 32(8),1134–1136.

143. Park, S. K.; Mourey, D. A.; Subramanian, S.; Anthony, J. E.; Jackson, T. N. “Polymericsubstrate spin-cast diF-TESADT OTFT circuits”, IEEE Electron Device Lett. 2008, 29(9),1004–1006.

144. Neon, S.; Kanehira, D.; Yoshomoto, N.; Fages, F.; Ackermann, C. V. “Shelf-life time test of pand n- channel organic thin film transistors using copper phthalocyanines”, Thin Solid Films.2010, 518(19), 5593–5598.

145. Kumaki, D.; Umeda, T.; Suzuki, T.; Tokito, S. “High mobilty bottom contact thin film transis-tors based on anthracene oligomer”, Org. Electron. 2008, 9(5), 921–924.

146. Stallinga, P.; Gomes, H. L.; Biscarini, F.; Murgia, M.; De Leeuw, D. M. “Electronic transportin field-effect transistors of sexithiophene”, J. Appl. Phys. 2004, 96(9), 5277–5283.

147. Halik, M.; Klauk, H.; Zschieschang, U.; Schmid, G.; Radlik, W.; Ponomarenko, S.;Kirchmeyer, S.; Weber, W. “High-mobility organic thin-film transistors based on α, α’-didecyloligothiophenes”, J. Appl. Phys. 2003, 93(5), 2977–2981.

148. Mei, Y.; Loth, M. A; Payne, M.; Zhang, W.; Smith, J.; Day, C. S.; Parkin, S. R.; Heeney, M.;McCulloch, I.; Anthopoulos, T. D.; Anthony, J. E.; Jurchescu, O. D. “High mobility field-effect transistors with versatile processing from a small-molecule organic semiconductor”,Adv. Mater. 2013, 25(31), 4352–4357.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 73: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

104 B. Kumar et al.

149. Herwig, P. T.; Mullen, K. “A soluble pentacene precursor: Synthesis, solid state conver-sion into pentacene and application in a field-effect transistor“, Adv. Mater. 1999, 11(6),480–483.

150. Afzali, A.; Dimitrakopoulos, C. D.; Breen, T. L. “High performance, solution processedorganic thin film transistors from a novel pentacene precursor”, J. Am. Chem. Soc. 2002,124(30), 8812–8813.

151. Anthony, J. E.; Brooks, J. S.; Eaton, D. L.; Parkin, S. R. “Functionalized pentacene: im-proved electronic properties from control of solid-state order”, J. Am. Chem. Soc. 2001, 123,9482–9483.

152. Valletta, A.; Daami, A.; Benwadih, M.; Coppard, R.; Fortunato, G.; Rapisarda, M.; Torricelli,F.; Mariucci, L. Contact effects in high performance fully printed p-channel organic thin filmtransistors”, Appl. Phys. Lett. 2011, 99(23), 233309-1-233309-4.

153. Sheraw, C. D.; Jackson, T. N.; Eaton, D. L.; Anthony, J. E. “Functionalized pentacene activelayer organic thin-film transistors”, Adv. Mater. 2003, 15(23), 2009–2011.

154. Subramanian, S.; Park, S. K.; Parkin, S. R.; Podzorov, V.; Jackson, T. N.; Anthony, J. E.“Chromophore fluorination enhances crystallization and stability of soluble anthradithiophenesemiconductors”, J. Am. Chem. Soc. 2008, 130(9), 2706–2707.

155. Nguyen, T. P. “Defect analysis in organic semiconductors”, Mater. Sci. Semicond. Process.2006, 9(1–3), 198–203.

156. Chesterfield, R. J.; Newman, C. R.; Pappenfus, T. M.; Ewbank, P. C.; Haukaas, K. R.; Mann,M. H.; Miller, L. L.; Frisbie, C. D. “High electron mobility and ambipolar transport in organicthin film transistors based on a π -stacking quinoidal terthiophene”, Adv. Mater. 2003, 15(15),1278–1282.

157. Facchetti, A.; Mushrush, M.; Katz, H. E.; Marks, T. J. “N-type building blocks for organicelectronics: A homologous family offluorocarbon substituted thiophene oligomers with highcarrier mobility”, Adv. Mater. 2003, 15(1), 33–38.

158. Bao, Z.; Lovinger, A. J.; Brown, J. “New air stable n-channel organic thin film transistors”, J.Am. Chem. Soc. 1998, 120(1), 207–208.

159. Babel, A.; Jenekhe, S. A. “High electron mobility in ladder polymer field effect transistors”,J. Am. Chem. Soc. 2003, 125(45), 13656–13657.

160. Malenfant, P. R. L.; Dimitrakopoulos, C. D.; Gelorme, J. D.; Kosbar, L. L.; Graham, T. O.;Curioni, A.; Andreoni, W. “N-type organic thin film transistor with high field effect mobilitybased on a N,N′-dialkyl-3,4,9,10-perylene tetracarboxylic diimide derivative”, Appl. Phys.Lett. 2002, 80(14), 2517–2519.

161. Facchetti, A.; Deng, Y.; Wang, A.; Koide, Y.; Sirringhaus, H.; Marks, T. J.; Friend,R. H. “Tuning the semiconducting properties of sexithiophene by α,ω-substitution-α,ω-diperfluorohexylsexithiophene: The first n-type sexithiophene for thin-film transistors”, Angew.Chem. Int. Ed. 2000, 39(20), 4547–4551.

162. Li, J.; Du, J.; Xu, J.; Chan, H. L. W.; Yan, F. “The influence of gate dielectrics on a highmobility n-type conjugated polymer in organic thin-film transistors”, Appl. Phys. Lett. 2012,100(3), 033301-1-033301-4.

163. Na, J. H.; Kitamura, M.; Arakawa, Y. “High performance n-channel thin film transistors withan amorphous phase C60 film on plastic substrate”, Appl. Phys. Lett. 2007, 91(19), 076104-1-076104-3

164. Kim, J. B.; Hernandez, C. F.; Hwang, D. K.; Tiwari, S. P.; Potscavage, Jr., W. J.; Kippelen, B.“Vertically stacked complementary inverters with solution processed organic semiconductors”,Org. Electron. 2011, 12(7), 1132–1136.

165. Dodabalapur, A.; Laquindanum, J.; Katz, H. E.; Bao, Z. “Complementary circuits with organictransistors”, J. Appl. Phys. 2001, 69(27), 4227–4229.

166. Yoo, B.; Madgavkar, A.; Jones, B. A.; Nadkarni, S.; Facchetti, A.; Dimmler, K.; Wasielewski,M. R.; Marks, T. J.; Dodabalapur, A. “Organic complementary D flip-flops enabled by perylenediimides and pentacene”, IEEE Electron Device Lett. 2006, 27(9), 737–739.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 74: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 105

167. Kim, S. H.; Lee, S. H.; Jang, J. High-performance n-channel organic thin-film transistor forCMOS circuits using electron-donating self-assembled layer”, IEEE Electron Device Lett.2010, 31(9), 1044–1046.

168. Gundlach, D. J.; Pernstich, K. P.; Wilckens, G.; Gruter, M.; Haas, S.; Batlogg, B. “n-channelorganic thin film transistors and complementary inverters”, Proc. of SPIE. 2005, 5940, 59400O-1–59400O-9.

169. Fujisaki, Y.; Nakajima, Y.; Kumaki, D.; Yamamoto, T.; Tokito, S.; Kono, T.; Nishida, J.; Ya-mashita, Y. “Air-stable n-type organic thin-film transistor array and high gain complementaryinverter on flexible substrate”, Appl. Phys. Lett. 2010, 97, 133303-1-133303-1.

170. Yan, H.; Chen, Z.; Zheng, Y.; Newman, C.; Quinn, J. R.; Dotz, F.; Kastler, M.; Facchetti,A. “A high-mobility electron-transporting polymer for printed transistors, Nature. 2009, 457,679–686.

171. Tiwari, S. P.; Kim, J.; Knauer, K. A.; Hwang, D. K.; Polander, L. E.; Barlow, S.; Marder,S. R.; Kippelen, B. “Complementary-like inverters based on an ambipolar solution-processedmolecular bis(naphthalene diimide)-dithienopyrrole derivative”, Org. Electron. 2012, 13(7)1166–1170.

172. Caironi, M.; Newman, C.; Moore, J. R.; Natali, D.; Yan, H.; Facchetti, A.; Sirringhaus, H.“Efficient charge injection from a high work function metal in high mobility n-type polymerfield-effect transistors”, Appl. Phys. Lett. 2010, 96(18), 183303-1–183303-3.

173. Kim, S. H.; Lee, S. H.; Jang, J. “High-performance n-channel organic thin-film transistor forcmos circuits using electron-donating self-assembled layer”, IEEE Electron Device Lett. 2010,31(9), 1044–1046.

174. Oh, S. Y.; Lee, J. Y. “Characteristics of vertical type organic transistor using n-type materialand its application for OLED”, Mol. Cryst. Liq. Cryst. 2006, 444(1), 211–218.

175. Tiwari, S. P.; Namdas, B.; Rao, V. R.; Fichou, D.; Mhaisalkar, S. G. “Solution processedn-type organic field effect transistors with high ON/OFF current ratios based on fullerenederivatives”, IEEE Electron Device Lett. 2007, 28(10), 880–883.

176. Inoue, Y.; Sakamoto, Y.; Suzuki, T.; Kobayashi, M.; Gao, Y.; Tokito, S. “Organic thin-filmtransistors with high electron mobility based on perfluoropentacene”, Jpn. J. Appl. Phys. 2005,44(6A), 3663–3668.

177. Kajii, H.; Ie, Y., Nitani, M.; Hirose, Y.; Aso, Y.; Ohmori, Y. “n-channel organic field-effecttransistors containing carbonyl-bridged bithiazole derivative fabricated using polyfluorenederivatives as solution-processed buffer layers”, Org. Electron. 2010, 11(9), 1886–1890.

178. Schwabegger, G.; Ullaha, M.; Irimia-Vladu, M.; Baumgartner, M.; Kanbur, Y.; Ahmed, R.;Stadler, P.; Bauer, S.; Sariciftci, N. S.; Sitter, H. “High mobility, low voltage operating C60

based n-type organic field effect transistors”, Synthetic Metals. 2011, 161, 2058–2062.179. Wobkenberg, P. H.; Bradley, D. D. C.; Kronholm, D.; Hummelen, D. J. C.; de Leeuwd,

D. M.; Colle, M.; Anthopoulos, T. D. “High mobility n-channel organic field-effect transis-tors based on soluble C60 and C70 fullerene derivatives”, Synthetic Metals. 2008, 158(11),468–472.

180. Singh, T. B.; Marjanovic, N.; Stadler, P.; Auinger, M.; Matt, G. J.; Gunes, S.; Sariciftci, N.S. “Fabrication and characterization of solution-processed methanofullerene-based organicfield-effect transistors”, J. Appl. Phys. 2005, 97(8), 083714-1–083714-1.

181. Crone, B. K.; Dodabalapur, A.; Sarpeshkar, R.; Filas, R. W.; Lin, Y. Y.; Bao, Z.; O’Neill, J.H.; Li, W.; Katz, H. E. “Design and fabrication of organic complementary circuits”, J. Appl.Phys. 2001, 89(9), 5125–5132.

182. Klauk, H.; Halik, M.; Zschieschang, U.; Eder, F.; Rohde, D.; Schmid, G.; Dehm, C.“Flexible organic complementry circuits”, IEEE Trans. Electron Devices 2005, 52(4),618–622.

183. Shukla, D.; Nelson, S. F.; Freeman, D. C.; Rajeswaran, M.; Ahearn, W. G.; Meyer, D. M.;Carey, J. T. Thin-film morphology control in naphthalene-diimide-based semiconductors: highmobility n-type semiconductor for organic thin-film transistors”, Chem. Mater. 2008, 20(24),7486–7491.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 75: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

106 B. Kumar et al.

184. See, K. C.; Landis, C.; Sarjeant, A.; Katz, H. E.; “Easily synthesized naphthalene tetracar-boxylic diimide semiconductors with high electron mobility in air”, Chem. Mater. 2008, 20(11),3609–3616.

185. Smits, E. C. P.; Anthopoulos, T. D.; Setayesh, S.; van Veenendaal, E.; Coehoorn, R.; Blom,P. W. M.; de Boer, B.; de Leeuw, D. M. “Ambipolar charge transport in organic field-effecttransistors”, Physical Review B 2006, 73(20), 205316-1–205316-9.

186. Ben-Sasson, A. J.; Chen, Z.; Facchetti, A.; Tessler, N. “Solution-processed ambipolar verticalorganic field effect transistor”, Appl. Phys. Lett. 2012, 100(26), 263306-1–263306-3.

187. Wang, S. D.; Kanai, K.; Ouchi, Y.; Seki, K. “Bottom contact ambipolar organic thin filmtransistor and organic inverter based on C60/pentacene heterostructure”, Org. Electron. 2006,7(6), 457–464.

188. Roelofs, W. S. C.; Mathijssen, S. G. J.; Bijleveld, J. C.; Raiteri, D.; Geuns, T. C. T.; Kemerink,M.; Cantatore, E.; Janssen, R. A. J.; De-Leeuw, D. M. “Fast ambipolar integrated circuits withpoly(diketopyrrolopyrroleterthiophene)”, Appl. Phy. Lett. 2011, 98(20), 203301–203303.

189. Meijer, E. J.; de Leeuw, D. M.; Setayesh, S.; Veenendaal, E. V.; Huisman, B. H.; Blom, P. W.M.; Hummelen, J. C.; Scherf, U.; Klapwijk, T. M. “Solution processed ambipolar organic fieldeffect transistors and inverters”, Nat. Mater. 2003, 2, 678–682.

190. Ha, T. J.; Sonar, P.; Dodabalapur, A. “Charge carrier velocity distributions in high mobilitypolymer field effect transistors”, Appl. Phys. Lett. 2012, 100(15), 153302–153302–3.

191. Ha, T. J.; Sonar, P.; Singh, S. P.; Dodabalapur, A. “Characteristics of high performanceambipolar organic field effect transistors based on a diketopyrrolopyrrole benzothiadiazolecopolymer”, IEEE Trans. Electron Devices. 2012, 59(5), 1494–1500.

192. Ha, T. J.; Sonar, P.; Cobb, B.; Dodabalapur, A. “Charge transport and density of trap states inbalanced high mobility ambipolar organic thin-film transistors”, Org. Electron. 2012, 13(10),136–141.

193. Sonar, P.; Singh, S. P.; Li, Y.; Soh, M. S.; Dodabalapur, A. “A low-bandgapdiketopyrrolopyrrole-benzothiadiazole-based copolymer for high-mobility ambipolar organicthin-film transistors”, Adv. Mater. 2010, 22, 5409–5413.

194. Vladu, M. I.; Głowacki, E. D.; Troshin, P. A.; Schwabegger, G; Leonat, L.; Susarova, D.K.; Krystal, O.; Ullah, M.; Kanbur, Y.; Bodea, M. A.; Razumov, V. F.; Sitter, H.; Bauer, S.;Sariciftci, N. S. “Indigo: A natural pigment for high performance ambipolar organic field effecttransistors and circuits”, Adv. Mat. 2012, 24(3), 375–380.

195. Kan, J.; Chen, Y.; Qi, D.; Liu, Y.; Jiang, J. “High performance air stable ambipolar organicfield effect transistor based on Tris(phthalocyaninato) Europium(III)”, Adv. Mat. 2012, 24(13),1755–1758.

196. Treier, M.; Arlin, J. B.; Ruzie, C.; Geerts, Y. H.; Lemaur, V.; Cornil, J.; Samorı, P. “Am-bipolar organic field effect transistors with balanced mobilities through solvent vapour an-nealing induced phase separation of bicomponent mixtures”, J. Mater. Chem. 2012, 22(19),9509–9512.

197. Dodabalapur, A.; Katz, H. E.; Torsi, L.; Haddon, R. C. “Organic heterostructure field-effecttransistors”, Science. 1995, 269(5230), 1560–1562.

198. Li, C.; Pan, F.; Wang, X.; Wang, L.; Wang, H.; Wang, H.; Yan, D. “Effect of the work function ofgate electrode on hysteresis characteristics of organic thin-film transistors with Ta2O5/polymeras gate insulator”, Org. Electron. 2009, 10(5), 948–953.

199. Michaelson, H. B. “The work function of the elements and its periodicity”, J. Appl. Phys.1977, 48(11), 4729–4733.

200. Waldrop, J. R. “Electrical properties of ideal metal contacts to GaAs: Schottky-barrier height”,J. Vac. Sci. Technol. B. 1984, 2(3), 445-1–445-4.

201. Wondmagegn, W.; Pieper, R. “Simulation of top contact pentacene thin film transistor”, J.Comput Electron. 2009, 8(10), 19–24.

202. Alborghetti, S.; Coey, J. M. D.; Stamenov, P. “Dependence of charge carrier injection on theinterface energy barrier in short channel polymeric field effect transistors”, Appl. Phys. Lett.2012, 100(14), 143301-1–143301-4.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 76: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 107

203. Li, Y.; Li, D. Y. “Experimental studies on relationships between the electron work function,adhesion, and friction for 3d transition metals”, J. Appl. Phys. 2004, 95(12), 7961-1–7961-1.

204. Gupta, R. K.; Singh, R. A. “Bonelike/PLGA hybrid materials for bone regeneration: prepara-tion route and physicochemical characterisation”, J. Mater. Sci. Mater. Electron. 2005, 16(3),253-1–253-9.

205. Cheng, J. W. J.; Chen, C. P.; Lin, Y. M.; Lee, C. Y.; Ho, J. R.. US Patent US2011/0117695A1,Fabrication Method of Organic Thin Film Transistor; 2011.

206. Sarma, R.; Saikia, D.; Konwar, K.; Baishya, B. “Pentacene thin film transistors using La2O3

as gate insulator”, Indian J. Phys. 2010, 84(5), 547–552.207. Yan, H.; Kagata, T.; Arima, S.; Sato, H.; Okuzaki, H. “High-dielectric-constant hafnium silicate

insulator for low-voltage pentacene field-effect transistors”, Phys. Stat. Sol. (A) 2008, 205(12),2970–2974.

208. Chang, M. F.; Lee, P. T.; McAlister, S. P.; Chin, A. “Small-subthreshold-swing and low-voltageflexible organic thin-film transistors which use HfLaO as the gate dielectric”, IEEE ElectronDevice Lett. 2009, 30(2), 133–135.

209. Sarma, R.; Saikia, D.; Barua, B. Organic thin film transistor with Pr6O11 dielectric layer.In: Indo-Russian Workshop on Nanotechnology and Laser Induced Plasma Proc. (IRNANO-2009), 2009; p 42.

210. Zhang, X. H.; Domercq, B.; Wang, X.; Yoo, S.; Kondo, T.; Wang, Z. L.; Kippelen, B. “High-performance pentacene field-effect transistors using Al2O3 gate dielectrics prepared by atomiclayer deposition (ALD)”, Org. Electron. 2007, 8(6), 718–726.

211. Brondijk, J. J.; Spijkman, M.; Torricelli, F.; Blom, P. W. M.; De-Leeuw, D. M. “Chargetransport in dual gate organic field effect transistors”, Appl. Phys. Lett. 2012, 100(2), 023308-1-023308-4.

212. Wu, M.; Alivov, Y. I.; Morkoc, H.; “High-k dielectrics and advanced channel concepts for SiMOSFET”, J. Mater. Sci. Mater. Electron. 2008, 19, 915–951.

213. Malachowsk, M. J.; Zmija, J. “Organic field effect transistors”, Opto-Electron. Rev. 2010,18(2), 121–136.

214. Sarma, R.; Saikia, D.; Saikia, Puja; Saikia, P. K.; Baishya, B. “Pentacene based thin filmtransistors with high k dielectric Nd2O3 as a gate insulator”, Braz. J. Phys. 2010, 40(3),357–360.

215. Scheinert, S.; Paasch, G.; Schrodner, M.; Roth, H. K.; Sensfub, S.; Doll, Th. “Sub thresholdcharacteristics of field effect transistors based on poly (3-dodecylthiophene) and an organicinsulator”, J. Appl. Phy. 2002, 92(1), 330–337.

216. Park, S. J.; Sung, J. H.; Park, J. H.; Choi, H. J.; Choi, J. S. “Characteristics of poly(vinylacetate) as a gate insulating material in organic thin film transistors”, Curr. Appl. Phys. 2006,6(4) 636–639.

217. Puigdollers, J.; Voz, C.; Martin, I.; Orpella, A.; Vetter, M.; Alcubilla, R. “Pentacene thin filmtransistors on polymeric gate dielectric: Device fabrication and electrical characterization”, J.Non-Cryst. Solids. 2004, 338–340, 617–621

218. De Angelis, F.; Cipolloni, S.; Mariucci, L.; Fortunato, G. “High-field-effect-mobility pentacenethin-film transistors with polymethylmetacrylate buffer layer”, Appl. Phys. Lett. 2005, 86(20),203505-1-203505-3.

219. Chou, W. Y.; Kuo, C. W.; Cheng, H. L.; Chen; Y. R.; Tang, F. C.; Yang, F.Y.; Shu, D. Y.; Liao,C. C. “Effect of surface free energy in gate dielectric in pentacene thin-film transistors”, Appl.Phys. Lett. 2006, 89(11), 112126-1-112126-1.

220. Yoon, M. H.; Yan, H.; Facchetti, A.; Marks, T. J. “Low-voltage organic field-effect transistorsand inverters enabled by ultrathin cross-linked polymers as gate dielectrics”, J. Am. Chem.Soc. 2005, 127, 10388–10395.

221. Wei, C. Y.; Huang, W. C; Yang, C. K.; Chang, Y. Y.; Wang, Y. H. “Low operating voltagepentacene based transistors and inverters with solution-processed barium zirconate titanateinsulators”, IEEE Electron Device Lett. 2011, 32(12), 1755–1757.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 77: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

108 B. Kumar et al.

222. Chu, C. W.; Chen, C. W.; Li, S. H.; Yanga, Y. “Integration of organic light emitting diode andorganic transistor via a tandem structure”, Appl. Phys. Lett. 2005, 86(25), 253503-1-253503-3.

223. Peng, X. Z.; Horowitz, G.; Fichou, D.; Garnier, F. “All-organic thin-film transistors made ofalpha-sexithienyl semiconducting and various polymeric insulating layers”, Appl. Phys. Lett.1990, 57(19), 2013-1-2013-3.

224. Garnier, F.; Horowitz, G.; Peng, X. Z.; Fichou, D. “An all-organic soft thin film transistor withvery high carrier mobility”, Adv. Mater. 1990, 2(12), 592–594.

225. Bao, Z.; Feng, Y.; Dodabalapur, A.; Raju, V. R.; Lovinger, J. “High-Performance PlasticTransistors Fabricated by Printing Techniques”, Chem. Mater. 1997, 9(6), 1299–1301.

226. Kane, M. G.; Campi, J.; Hammond, M. S.; Cuomo, F. P.; Greening, B.; Sheraw, C. D.; Nichols,J. A.; Gundlach, D. J.; Huang, J. R., Kuo, C. C.; Jia, L.; Klauk, H.; Jackson, T. N. “Analogand digital circuits using organic thin-film transistors on polyester substrates”, IEEE ElectronDevice Lett. 2000, 21(11), 534–536.

227. Chen, H. C.; Kung, C. P.; Houng, W. G.; Peng, Y. R.; Hsien, Y. M.; Chou, C. C.; Kao, C. J.;Yang, T. H.; Hou, J. “Polymer inverter fabricated by inkjet printing and realized by transistorsarrays on flexible substrates”, IEEE /OSA J. Disp. Technol. 2009, 5(6), 216–223.

228. Dimitrakopoulos, C. D.; Furman, B K.; Graham, T.; Hedge, S.; Purushothaman, S. “Field effecttransistors comprising molecular beam deposited α, ω-di-hexyl-hexathienylene and polymericinsulator”, Synth. Met. 1998, 92(1), 47–52.

229. Butko, V.Y.; Chi, X.; Lang, D.V.; Ramirez, A. P. “Field-effect transistor on pentacene singlecrystal”, Appl. Phys. Lett. 2003, 83(23), 4773-1-4773-1.

230. Yun, Y.; Pearson, C.; Petty, M. C. “Bootstrapped inverter using a pentacene thin-film transistorwith a poly(methyl methacrylate) gate dielectric”, IET Circuits Devices Syst. 2009, 3(4),182–186.

231. Dimitrakopoulos, C. D.; Brown, A. R.; Pomp, A. “Molecular beam deposited thin filmsof pentacene for organic field effect transistor applications”, J. Appl. Phys. 1996, 80(4),2501–2508.

232. Cai, Y. G.; Zheng, X.; Ling, Z. S.; Jun, Z. F.; Wei, J. W.; Dan, S. D.; Na, Z. H.; Yan, L. S.;Ying, H. J.; Hao, H., Rong, X. X. “Characteristics of pentacene organic thin film transistorwith top gate and bottom contact”, Chin. Phys. B 2008, 17(5), 1887–1892.

233. Li, D.; Guo, L. J. “Organic thin film transistors and polymer light-emitting diodes patternedby polymer inking and stamping”, J. Phys. D: Appl. Phys. 2008, 41(10), 105115-1–105115-7.

234. Cho, H.; Yoon, H.; Char, K.; Hong, Y.; Lee, C. “Organic thin film transistors with transferprinted Au electrodes on flexible substrates”, Jpn. J. Appl. Phys. 2010, 49(5), 05EB08-1-05EB08-4.

235. Sele, C. W.; von Werne, T.; Friend, R. H.; Sirringhaus, H. “Lithography-free, self-aligned inkjet printing with sub-hundred-nanometer resolution”, Adv. Mater. 2005, 17(8),997–1001.

236. Assadi, A.; Svensson, C. M.; Willander, O. I. “Field-effect mobility of poly(3-hexylthiophene)”, Appl. Phys. Lett. 1988, 53(3), 195-1-195-3.

237. Moon, H.; Kim, M.; Yoo, S. “Bilayer source/drain electrodes self-aligned with fluoropolymerdielectrics for stable high-performance organic TFTs”, IEEE Electron Device Lett. 2011, 32(8),1137–1139.

238. Kim, J. M.; Jha, S. K.; Lee, D. H.; Chand, R., Jeun, J. H.; Kim, Y. S. “A flexible pentacenethin film transistors as disposable DNA hybridization sensor”, J. Indri. Engg.Chem. 2012, 18,1642–1646.

239. Singh, V. K.; Baquer, M. Impact of scaling of dielectric thickness on mobility in top contactpentacene organic thin film transistors”, J. Appl. Phys. 2012, 111(3), 034905-1-034905-6.

240. Rogers, J. A.; Dodabalpur, A.; Bao, Z. K.; Katz, H. “Low-voltage 0.1 μm organic transistorsand complementary inverter circuits fabricated with a low-cost form of near-field photolithog-raphy”, Appl. Phy. Lett. 1999, 75(7), 1010-1–1010-3.

241. Zhang, Y.; Petta, J. R.; Ambily, S.; Shen, Y.; Ralph, D. C.; Malliaras, G. G. “30 nm channellength pentacene transistors”, Adv. Mater. 2003, 15(19), 1632–1635.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 78: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 109

242. Lee, J. B.; Chang, P. C., Liddle, J. A.; Subramanian, V. “10-nm channel length pentacenetransistors, IEEE Trans Electron Devices. 2005, 52(8), 1874–1879.

243. Rhee, S. W.; Yun, D. J. “Metal–semiconductor contact in organic thin film transistors”, J.Mater. Chem. 2008, 18, 5437–5444.

244. Wondmagegn, W. T.; Satyala, N. T.; Pieper, R. J.; Quevedo-Lopez, M. A.; Gowrisanker, S.;Alshareef, H. N.; Stiegler, H. J.; Gnade, B. E. “Impact of semiconductor/metal interfaces oncontact resistance and operating speed of organic thin film transistors”, J. Comput. Electron.2011, 10, 144–153.

245. Tiwari, S. P.; Potscavage Jr., W. J.; Sajoto, T.; Barlow, Stephen; Marder, Seth R.; Kippe-len, Bernard. “Pentacene organic field effect transistors with doped electrode semiconductorcontacts”, Org. Electron. 2010, 11(5), 860–863.

246. Agrawal, N. “Solution Processed Vertical Organic Transistor: Simulation, Fabrication andCharacterization”, M.Tech, Thesis, Indian Institute of Technology, Kanpur, India; 2011.

247. Jang, J; Kim, J. W.; Park, N.; Kim, J. J. “Air stable C60 based n-type organic field effecttransistor using a perfluoropolymer insulator”, Org. Electron. 2008, 9(4), 481–486.

248. Lin, Y. Y.; Gundlach, D. J.; Nelson, S. F.; Jackson, T. N. “Stacked pentacene layer organicthin film transistors with improved characteristics”, IEEE Electron Device Lett. 1997, 18(12),606–608.

249. Tiwari, S. P.; Knauer, K. A.; Dindar, A.; Kippelen, B. “Performance comparison of pentaceneorganic field-effect transistors with SiO2 modified with octyltrichlorosilane or octadecyl-trichlorosilane”, Org. Electron. 2012, 13(9), 18–22.

250. Kobayashi, S.; Nishikawa, T.; Takenobu, T.; Mori, S.; Shimoda, T.; Mitani, T.; Shimotani, H.;Yoshimoto, N.; Ogawa, S.; Iwasa, Y. “Control of carrier density by self-assembled monolayersin organic field-effect transistors”, Nat. Mater. 2004, 3(5), 317-1–317-22.

251. Jiang, Y. D.; Jen, T. H.; Chen, S. A. “Excellent carrier mobility of 0.24cm2/Vs in regioregularpoly(3-hexylthiophene) based field-effect transistor by employing octadecyltrimethoxysilanetreated gate insulator”, Appl. Phys. Lett. 2012, 100(2), 023304-1–023304-4.

252. Ito, Y.; Virkar, A. A.; Mannsfeld, S.; Oh, J. H.; Toney, M.; Locklin, J.; Bao, Z. “Crystallineultrasmooth self-assembled monolayers of alkylsilanes for organic field-effect transistors”, J.Am. Chem. Soc. 2009, 131(26), 9396–9404.

253. Dodabalapur, A.; Baumbach, J.; Baldwin, K.; Katz, H. E. “Hybrid organic/inorganic comple-mentary circuits”, Appl. Phys. Lett. 1996, 68(16), 2246–2248.

254. Oh, M. S.; Hwang, D. K.; Lee, K.; Choi, W. J.; Kim, J. H.; Im, S.; Lee, S. “Pentacene and ZnOhybrid channels for complementary thin film transistor inverters operating at 2V”, J. Appl.Phys. 2007, 102(7), 076104 –076104–3.

255. Satyala, N.; Pieper, R.; Wondmagegn, W. “ Simulation and transient analysis of or-ganic/inorganic CMOS inverter circuit”, 41st Southeastern Symposium on System Theory,U.T. Space Institute of Tullahoma, TN, 2009; pp 324–329.

256. Wang, J.; Wei, B.; Zhang, J. “Fabricating an organic complementary inverter by integratingtwo transistors on a single substrate”, Semicond. Sci. Tech. 2008, 23, 055003-1–055003-4.

257. Myny, K.; Beenhakkers, M. J.; Van Aerle, N. A. J. M.; Gelinck, G. H.; Genoe, J.; Dehaene,W.; Heremans, P. “Unipolar organic transistor circuits made robust by dual-gate technology”,IEEE J. Solid-State Circuits, 2011, 46(5), 1223–1230.

258. Marien, H.; Steyaert, M. S. J.; Veenendaal, E. V.; Heremans, E.V P A Fully integrated∑

ADCin organic thin film transistor technology on flexible plastic foil”, IEEE J. Solid-State Circuits.2011, 46, 276–286.

259. Jeon, J., Murmann, B.; Bao, Z. “Full-swing and high-gain pentacene logic circuits on plasticsubstrate”, IEEE Electron Device Lett. 2010, 31(12), 1488–1490.

260. Jackson, T. N.; Lin, Y. Y., Gundlach, D. J.; Klauk, H. “Organic thin-film transistors for organiclight-emitting flat-panel display backplanes”, IEEE J. Selected Topics Quantum Electronics,1998, 4(1), 100–104.

261. Zhou, L.; Wanga, A.; Wu, S. C.; Sun, J.; Park, S.; Jackson, T. N. “All organic active matrixflexible display, Appl. Phys. Lett. 2006, 88(3), 083502-1–083502-3.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 79: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

110 B. Kumar et al.

262. Liu, P. T.; Chu, L.W. Innovative voltage driving pixel circuit using organic thin-film transistorfor AMOLEDs, J. Display Techn. 2009, 5(6), 224–228.

263. Myny, K.; Steudel, S.; Vicca, P.; Beenhakkers, M. J.; Van Aerle, N. A. J. M.; Gelinck, G. H.;Genoe, J.; Dehaene, W.; Heremans, P. “Organic RFID Tags”, Radio Frequency IdentificationFundamentals and Applications, Design Methods and Solutions, book edited by Cristina Turcu,pp. 311–324, 2010, INTECH, Croatia.

264. Subramanian, V.; Frechet, J. M. J.; Chang, P. C.; Huang, D. C.; Lee, J. B.; Molesa, S. E.;Murphy, A. R.; Redinger, D. R.; Volkman, S. K. “Progress toward development of all-printedRFID tags: materials, processes, and devices”, Proc. of The IEEE, 2005 93(7), 1330–1338.

265. Subramanian, V.; Chang, P. C.; Lee, J. B.; Molesa, S. E.; Volkman, S. K. “Printed organictransistors for ultra-low-cost RFID applications”, IEEE Trans. Components and PackagingTechnol. 2005, 28(4), 742–747.

266. Baude, P. F.; Enter, D. A.; Haase, M. A.; Kelley, T. W.; Muyres, D. V.; Thesis, S. D. “Pentacenebased radio frequency identification circuitry”, Appl. Phys. Lett. 2003, 82(22), 3964-1–3964-3.

267. Myny, K.; Steudel, S.; Vicca, P.; Beenhakkers, M. J.; Van Aerle, N. A. J. M.; Gelinck, G.H.; Genoe, J.; Dehaene, W.; Heremans, P. “Plastic circuits and tags for HF radio-frequencycommunication”, Solid State Electron. 2009, 53, 1220–1226.

268. Myny, K.; Steudel, S.; Vicca, P.; Beenhakkers, M. J.; Van Aerle, N. A. J. M.; Gelinck, G.H.; Genoe, J.; Dehaene, W.; Heremans, P. “Plastic circuits and tags for HF radio-frequencycommunication”, Solid State Electron. 2009, 53, 1220–1226.

269. Myny, K.; Beenhakkers, M. J.; van Aerle, N.A.J.M.; Gelinck, G. H.; Genoe, J.; Dehaene,W.; Heremans, P. “A 128b organic RFID transponder chip, including Manchester encodingand ALOHA anti-collision protocol, operating with a data rate of 1529 b/s”, Solid StateCircuits Conference–Digest of Technical Papers, 2009. ISSCC 2009. February 8–12. IEEEInternational. 2009, 206–207.

270. Patolsky, F.; Lichtenstein, A.; Willner, I. “Detection of single-base DNA mutations by enzyme-amplified electronic transduction”, Nat. Biotechnol. 2001, 19, 253–257.

271. Fang, B.; Jiao, S. F.; Li, M. G.; Qu, Y.; Jiang, X. M. “Label-free electrochemical detectionof DNA using ferrocene-containing cationic polythiophene and PNA probes on nanogoldmodified electrodes”, Biosens. Bioelectron. 2008, 23(7), 1175–1179.

272. Liu, N.; Hu, Y.; Zhang, J.; Cao, J.; Liu, Y.; Wang, J. “A label-free, organic transistor basedbiosensor by introducing electric bias during DNA immobilization”, Org. Electron. 2012,13(8), 2781–2785.

273. Roberts, M. E.; Sokolov, A. N.; Bao, Z. “Material and device considerations for organicthin-film transistor sensors”, J. Mater. Chem. 2009, 19(21), 3351–3363.

274. Zhang, Q. T.; Subramanian, V. “DNA hybridization detection with organic thin film transistors:Toward fast and disposable DNA microarray chips”, Biosens. Bioelectron. 2007, 22(12),3182–3187.

275. Yan, F.; Mok, S. M.; Yu, J.; Chan, H. L. W.; Yang, M. “Label free DNA sensor based onorganic thin film transistors”, Biosens. Bioelectron. 2009, 24(5), 1241–1245.

276. Schwartz, D. E.; Ng, T. N. “Comparison of static and dynamic printed organic shift registers”,IEEE Electron Device Lett. 2013, 34(2), 271–273.

277. Aernouts, T.; Aleksandrov, T.; Girotto, C.; Genoe, J.; Poortmans, J. “Polymer based organicsolar cells using ink-jet printed active layers”, Appl. Phys. Lett. 2008, 92(3), 033306-1–033306-3.

278. Yokota, T.; Nakagawa, T.; Sekitani, T.; Noguchi, Y.; Fukuda, K.; Zschieschang, U.; Klauk,H.; Takeuchi, K.; Takamiya, M.; Sakurai, T.; Someya, T. “Control of threshold voltage in low-voltage organic complementary inverter circuits with floating gate structures”, Appl. Phys.Lett. 2011, 98(19), 193302-1–193302-3.

279. Zhang, P.; Jacques, E.; Rogel, R.; Bonnaud, O. “p-type and n-type multi-gate polycrystallinesilicon vertical thin film transistors based on low-temperature technology”, Solid-State Elec-tron. 2013, 86, 1–5.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014

Page 80: Organic Thin Film Transistors: Structures, Models, Materials, Fabrication, and Applications: A Review

Organic Thin Film Transistors 111

280. Yadav, S.; Sharma, A.; Ghosh, S. “Organic transistor and inverter based on assembly oforganic nanowires achieved by optimizing surface morphology”, Appl. Phys. Lett. 2013,102(9), 093303-1–093303-5.

281. Zaborowski, M.; Tomaszewski, D.; Panas, A.; Grabiec, P. “Double-Fin FETs based on standardCMOS approach”, Microelectron. Eng. 2010, 87(5–8), 1396–1399.

282. Kim, J. B.; Hernandez, C. F.; Potscavage Jr, W. J.; Zhang, X. H.; Kippelen, B. “Low-voltageInGaZnO thin-film transistors with Al2O3 gate insulator grown by atomic layer deposition”,Appl. Phy. Lett. 2009, 94(14), 142107-1–142107-3.

283. Ukah, N. B.; Granstrom, J.; Gari, R. R. S.; King, G. M.; Guha, S. “Low-operating voltage andstable organic field-effect transistors with poly (methyl methacrylate) gate dielectric solutiondeposited from a high dipole moment solvent”, Appl. Phys. Lett. 2011, 99(12), 243302-1–243302-3.

Dow

nloa

ded

by [

Uni

vers

ity o

f Y

ork]

at 0

6:55

17

Aug

ust 2

014


Recommended