+ All Categories
Home > Documents > Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN...

Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN...

Date post: 19-May-2018
Category:
Upload: tranngoc
View: 232 times
Download: 3 times
Share this document with a friend
60
Freescale Semiconductor User’s Guide PTKITPSTNUG Rev. 1, 9/2005 © Freescale Semiconductor, Inc., 2005. All rights reserved. The public switched telephone network (PSTN) card in the Packet Telephony Development Kit (PDK) connects directly to the PDK baseboard and provides four narrow-band T1/E1 time- division multiplexing (TDM) ports that interface to the PSTN network. The PSTN subsystem also supports four analog telephony ports for direct interface to standard analog voice terminals. It provides a TDM stream for the DSP array (that is, MSC810xPFC card). Figure 1 shows a snapshot of the PSTN card hardware. CONTENTS 1 Packet Telephony Development Kit ....................... 3 2 Getting Started With the PSTN Card ...................... 4 3 PSTN Card Components ......................................... 4 3.1 Time Slot Switch ..................................................... 5 3.2 Digital E1/T1 Interface ........................................... 9 3.3 PLL Synchronization Module ............................... 12 3.4 Complex Programmable Logic Device (CLPD) ...14 4 PSTN Card LEDs and Jumpers ............................. 16 5 Power Connector ................................................... 17 6 PSTN Card Interface ............................................. 17 7 Default TDM Interface Timing ............................. 21 Packet Telephony Development Kit PSTN Card
Transcript
Page 1: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Freescale SemiconductorUser’s Guide

PTKITPSTNUGRev. 1, 9/2005

CONTENTS

1 Packet Telephony Development Kit .......................32 Getting Started With the PSTN Card ......................43 PSTN Card Components .........................................43.1 Time Slot Switch .....................................................53.2 Digital E1/T1 Interface ...........................................93.3 PLL Synchronization Module ...............................123.4 Complex Programmable Logic Device (CLPD) ...144 PSTN Card LEDs and Jumpers .............................165 Power Connector ...................................................176 PSTN Card Interface .............................................177 Default TDM Interface Timing .............................21

Packet Telephony Development Kit PSTN Card

The public switched telephone network (PSTN) card in the Packet Telephony Development Kit (PDK) connects directly to the PDK baseboard and provides four narrow-band T1/E1 time-division multiplexing (TDM) ports that interface to the PSTN network. The PSTN subsystem also supports four analog telephony ports for direct interface to standard analog voice terminals. It provides a TDM stream for the DSP array (that is, MSC810xPFC card). Figure 1 shows a snapshot of the PSTN card hardware.

© Freescale Semiconductor, Inc., 2005. All rights reserved.

Page 2: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Figure 1. PSTN Hardware Overview

The PSTN card architecture consists of five main functional blocks, as shown in Figure 2:

• Time slot switch. 3.3 V time slot interchange (TSI) digital switch (IDT72V70800).

• Digital E1/T1 interface. Quad E1/T1/J1 framer and line interface component for long haul and short haul applications (PEB22554 V1.3).

• PLL synchronization module. WAN PLL with single-reference input (IDT82V30001A).

• Complex programmable logic device (CPLD). A low power 3.3V 32 macro-cell device (XCR3032XL) used for PLL control, reset, and chip-select management.

• Plain Old Telephone Service (POTS). Two dual-channel subscriber line interfaces (PEB3264/-2) for analog telephone access.

Power ConnectorCLPD JTAGPOTS

1

2

3

4

T1/E1

1

2

3

4

Packet Telephony Development Kit PSTN Card, Rev. 1

2 Freescale Semiconductor

Page 3: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Packet Telephony Development Kit

Figure 2. PSTN Card Architecture

1 Packet Telephony Development KitThe Packet Telephony Development kit (PDK) is a platform for evaluating and developing voiceover packet applications. The PDK has an MPC8260 host network processor that runs Linus, StarCore™ DSP resource cards that run DSP code, and a Public Switched Telephone Network (PSTN) card with interfaces such as E1/T1 and analog telephone lines (see Figure 3).

Figure 3. Components of the Packet Telephony Development Kit (PTK)

The documentation for the kit components is as listed in Table 1.

AnalogPOTS

DigitalE1/T1

Interface

TimeSlot

Switch(IDF70800)

AnalogPOTSLines

T1/E1 Lines

Serial PeripheralInterface (SPI)

PLL Synchronization ModuleIDT-82V3001A

PCM System

Microprocessor

CPLD

Configuration

Reset

Chip-

Pulse CodeModulation (PCM) Bus

Select

Bus

PSTN StarCore DSPResource

Daughtercard

MPC8260Control

ProcessorEthernet

ManagedPacket

Network

TelephoneNetwork

Baseboard

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 3

Page 4: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Getting Started With the PSTN Card

CAUTION: The Packet Telephony Development Kit includes open-construction printed circuit boards that contain static-sensitive components. These boards are subject to damage from electrostatic discharge (ESD). To prevent such damage, you must use static-safe work surfaces and grounding straps, as defined in ANSI/EOS/ESD S6.1 and ANSI/EOS/ESD S4.1. All handling of these boards must be in accordance with ANSI/EAI 625.

2 Getting Started With the PSTN CardThis section presents unpacking instructions, hardware preparation, and installation instructions for bringing-up the PSTN card.

First, unpack the equipment from the shipping carton. Refer to the packing list and verify that all items are present. Save the packing material for storing and reshipping the equipment. If the shipping carton is damaged upon receipt, request the carrier’s agent to be present during unpacking and inspection of equipment.

Most systems have a PSTN card already attached to the baseboard. If you have purchased a PSTN card separately, you must plug it in. The PSTN card cannot operate as a stand-alone unit. The procedure for bringing up the PSTN is as follows:

1. Ensure that the PDK baseboard power supply is turned OFF.

2. Ensure that the stands off are connected to the PSTN card.

3. Gently connect the PSTN card PTMC connectors to the PDK baseboard.

4. Twist and tighten the PSTN card stands off to baseboard.

5. Ensure that the PSTN card is properly placed on top of the PDK baseboard.

6. If you plan to use the analog telephones, connect the J17 power connector (see Section 5, Power Con-nector, on page 17).

7. Turn on the power supply.

3 PSTN Card ComponentsThis section discusses the main components of the PSTN card, which are the time slot switch, the digital E1/T1 interface, the PLL synchronization module, and the complex programmable logic device.

Table 1. PTK Components and Their Associated Documents

Component Document Document ID

Baseboard Packet Development Kit Baseboard Hardware User’s Guide PTKITBASEUG

MPC8260 Control Processor

MPC8260 PowerQUICC II™ Family Reference Manual(Available at the website listed on the back page of this document.)

MPC8260UM

PSTN Card Packet Development Kit PSTN Card User’s Guide PTKITPSTNUG

StarCore DSP Resource Daughtercard

• MSC8102 Packet Telephony Farm Card (MSC8102PFC) User’s Guide• MSC8101 Packet Telephony Farm Card (MSC8101PFC) User’s Guide

PTKIT8101UG

PTKIT8102UG

StarCore DSP Resource

Reference manuals and other documentation for the MSC81xx products are located at the website listed on the back page of this user’s guide.

Software Packet Telephony Development Kit Software User’s Guide PTKITSOFTUG

Packet Telephony Development Kit PSTN Card, Rev. 1

4 Freescale Semiconductor

Page 5: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

3.1 Time Slot SwitchThe TSI performs time slot switching to set up and tear down voice connections between communicating entities. IDT-72V70800 is a 4-port switch that is dedicated to switch pulse code modulation (PCM) data between any two ports during call control. It is a non-blocking digital switch that has a capacity of 512 × 512 channels at a serial bit rate of 8.192 Mb/s. Figure 4 shows an overview of the TSI module. Key features of the IDT-72V70800 TSI switch include:

• 64-kbit/s PCM channel switching.

• Freely programmable streams and time slot control.

• Data rate of 8.192 Mb/s equivalents to 128 PCM channels per port.

• Transmit to receive channel loop-back for diagnostics.

• Microprocessor control mode.

• High impedance output control.

Figure 4. TSI Module

In the PSTN card, the TSI connects to the codec, Duslic (analog part of the PSTN card), QUADFALC (digital part of the PSTN card), and CPLD. Figure 5 shows an overview of all PSTN modules that connect to the TSI. Table 2 shows how the TSI connects the TDM streams.

Table 2. TSI Connections

TSI Stream Connects to

0 Baseboard connector

1 E1/T1 PSTN card interface

3 Plain Old Telephone Service (POTS) Analog Telephony PSTN card interface

4 Baseboard connector

ReceiveSerialData

Streams

TransmitSerialData

Streams

Loopback

Microprocessor InterfaceTiming Unit

Data MemoryOutput

Multiplex

Internal Registers

ConnectionMemory

TX0

TX1

TX2

TX3

RX0

RX1

RX2

RX3

VCC GND RESET

CLK FOi FE/HCLK

WFPS AS/ALE

IM DS/RD

CS R/W/WR

A[0–7]DTA D[8–15]/AD[0–7]

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 5

Page 6: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

Figure 5. TSI Connections with Other PSTN Card Modules

The TSI is part of the PDK memory map. The MPC8260 device, which resides in the PDK baseboard, can access the TSI via chip select 9. Refer to Table 3 andTable 4 for TSI Base and Option Register settings, as well as UPM programming (MPC8260 memory controller programming to access the TSI).

Table 3. TSI Option and Base registers

Registers Values

BR9 (TSI Base Register) 0xF8010C1

OR9 (TSI Option Register) 0xFFFF8106

Codec(Infineon)

SLICInfineon

SLICInfineon

Duslic

Codec(Infineon)

SLICInfineon

SLICInfineon

DuslicSwitch

512 × 512

(IDT)

PCM2 PCM3

PCM1 PCM0PCM0

Microprocessor Bus

QUADFALC(Infineon)

Serial Bus

PCM2

CPLD(Xilinx)

Microprocessor Bus

RJ45

RJ45

RJ45

RJ45

WANPLL

(IDT)

T1/E1

T1/E1

T1/E1

T1/E1ID

T_C

SA

Microprocessor Bus

8 KHz

16.384 MHz

8.192 MHz

4.096 MHz

RJ11

RJ11

RJ11

RJ11R

CLK

1

Packet Telephony Development Kit PSTN Card, Rev. 1

6 Freescale Semiconductor

Page 7: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

3.1.1 Duslic Module The analog PSTN interface supports four loop start telephone subscriber ports. The Infineon Dual-Channel Subscriber Line Interface Concept (Duslic) PEB-3265 and PEB-4265 devices on the card form the interface between the TDM interface to the TSI and the physical twisted copper pair. There are four RJ-11 physical connectors on the PSTN card, as shown in Figure 6.

Table 4. Initializing the TSI

Operations Instructions

Single Read

MCMR = 0x10008800MDR = 0x8FFFF000 MDR = 0x0FFCF380 MDR = 0x0FFCF300 MDR = 0x0FFCF300MDR = 0x0FFCF300 MDR = 0x0FFCF380 MDR = 0x0FFCF004 MDR = 0x1FFFF001

Single Write

MCMR = 0x10008818MDR = 0x0FF3F300 MDR = 0x0FF0F380MDR =0x0FF0F300 MDR = 0x0FF0F300 MDR = 0x0FF0F380 MDR = 0x0FF0F004MDR = 0x0FF0F300MDR = 0x3FF3F001

Exception

MCMR=0x1000883CMDR = 0xFFFFCC05,MDR = 0xFFFFFFFFMDR = 0xFFFFFFFFMDR = 0xFFFFFFFF

Run MCMR = 0x00008800

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 7

Page 8: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

Figure 6. Duslic Connections to other Sub-modules of the PSTN Card

The Duslic requires that reset be applied when all the external clocks are stable. The CPLD ensures that the Duslic reset signal is asserted only after the clocks generated by the PLL device are stable, approximately 300 ns or longer after the PLL device undergoes reset.

The Duslic performs all the line interface functions generally known in the industry as the BORSCHT functions, as follows:

1. Battery feed [B]. Represents the voltage and current required to power the telephone equipment con-nected to the line. Battery voltage of –48 to –24 volts is fed directly into the Duslic devices from the PDK power supply

2. Over voltage protection [O]. Protects the PDK from damage to accidental exposure to high voltage, such as those resulting from lightning

3. Ringing [R]. The high voltage low frequency signal activated to ring the telephone equipment. Two programmable ringing modes are supported: balanced ringing where ringing voltage is applied differ-entially between tip and ring and unbalance ringing in which the ringing voltage is applied single- ended to either tip or ring. The ringing voltage of +30 to +60 volts is fed directly into the Duslic devices from the PDK power supply.

4. Signaling or Supervision [S]. Detects ON-hook and OFF-hook states for the telephone equipment con-nected to the line. ON/OFF hook can be detected while a station is ringing, which is referred to as Ring Trip Detection, or it may can detected while the station is not ringing, which is referred to as Switch Hook Detection.

5. Coding [C]. Converts the analog signals into PCM and vice versa. Two software configurable standard conversion algorithms are supported: A-law and µ-law. The default coding for the PDK is µ-law. The reset value for Duslic is A-law can be programmed to µ-law by changing bit 7 of register BCR3.

6. Hybrid 2-to-4-wire conversions [H]. A special network balancing circuit performs this function to match the line impedance so echo generation can be avoided. Hybrid balancing is a Duslic program-mable option.

7. Testing [T]. Allows access to the loop so that regular diagnostic tests can be performed, including: loop resistance measurement, line capacitance, leakage current, ringing voltage, line feed current, and trans-versal and longitudinal current.

Codec(Infineon)

SLICInfineon

SLICInfineon

Duslic

Codec(Infineon)

SLICInfineon

SLICInfineon

DuslicSwitch

512 × 512

(IDT)

PCM2 PCM3

Microprocessor Bus

Serial Bus

PCM2

RJ11

RJ11

RJ11

RJ11

Packet Telephony Development Kit PSTN Card, Rev. 1

8 Freescale Semiconductor

Page 9: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

3.1.2 Duslic Configuration and OperationThe Duslic devices are configured directly by the baseboard host processor through the SPI interface. Specific values are written to Duslic registers to configure, for example, a given line into a specific mode of operation. Refer to the Duslic user’s manual for detains on the functions of all registers supported.

During normal operation, specific Duslic registers must be read to determine the signaling exchanged between the subscriber telephone set and the PDK. Dynamic conditions that are constantly monitored by the host processor and appropriate action taken include ON-hook/OFF-hook signaling and DTMF signaling. The host processor can also command the Duslic through the SPI interface to generate ringing voltage or tones such as dial tone, busy tone, and reorder or fast busy tone.

3.2 Digital E1/T1 InterfaceThe digital E1/T1 interface supports four E1/T1 ports that can connect to central office (CO) lines such as ISDN PRI or PBX trunks. The Infineon QUADFALC FEB-22554 device forms the interface between the TDM interface to the TSI and the physical twisted copper pair. The QUADFALC recovers the PCM signal on the copper pairs and multiplexes them on the TDM bus to the TSI switch. There are four RJ-45 physical connectors on the PSTN card (see Figure 7). Each of the four digital interfaces of the QUADFALC includes a framer and a Line Interface Unit (LIU), a PLL circuit for clock recovery, an HDLC controller for signaling, and an 8-bit microprocessor interface for configuration.

Figure 7. QUADFALC Connecting to Other Sub-modules of the PSTN Card

Switch512 × 512

(IDT)

PCM2 PCM3

PCM1 PCM0PCM0

Microprocessor Bus

QUADFALC(Infineon)

PCM2

CPLD(Xilinx)

Microprocessor Bus

RJ45

RJ45

RJ45

RJ45

WANPLL

(IDT)

T1/E1

T1/E1

T1/E1

T1/E1

IDT

_CS

A

Microprocessor Bus

8 KHz

16.384 MHz

8.192 MHz

4.096 MHz

RC

LK1

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 9

Page 10: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

3.2.1 QUADFALC Clocking OptionsThe QUADFALC clocking configuration and the WAN-PLL device-clocking configuration jointly determine the timing mode. Two timing modes are supported: master and slave clocking. The combination of master or slave options provides maximum flexibility for telecommunications equipment developers using the PSTN card. In master clocking mode, the QUADFALC derives its timing from its local free running 16.384 MHz free running clock, as shown in Figure 8. The derived clock is either 1.544 MHz for T1 operation in North America or 2.048 MHz for E1 operation in Europe. This derived clock becomes the timing reference for the WAN-PLL device, which generates all the system clocks, including the PCM clocks for the PDK.

Figure 8. QUADFALC Master Clocking Mode Configuration

In slave clocking mode, the QUADFALC derives its timing reference from one of the four T1/E1 line terminated directly on the QUADFALC device as illustrated inFigure 9. The 1.544 MHz or 2.048 MHz derived clock is fed into the WAN-PLL device, which generates the system PCM clocks. Clocking for the QUADFALC is supplied through the MCLK pin; for the PDK this clock has a frequency of 16.384 MHz.

T1/E1

T1/E1

T1/E1

T1/E1

PEB-22554QUADFALC

RCLK1

MCLK

1.544/2.048 MHz

F-ref (To WAN-PLL Device)

16.384 MHz

XTAL Osc 20 ppm(Sync Source)

Packet Telephony Development Kit PSTN Card, Rev. 1

10 Freescale Semiconductor

Page 11: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

Figure 9. QUADFALC Slave Clocking Mode Configuration

3.2.2 QUADFALC Default Operating ModeThe QUADFALC is part of the PDK memory map, and the MPC8260 device, which resides in the PDK baseboard, can access the QUADFALC via chip select 8. Refer to Table 5 and Table 6 for QUADFALC Base and Option Register values, as well as UPM programming

Table 5. QUADFALC Option and Base Registers

Registers Values

BR8 (QUADFALC Base Register) 0xF70008A1

OR8 (QUADFALC Option Register) 0xFFFF8106

Table 6. QUADFALC UPM Programming

Operations Instructions

Single Read

MBMR = 0x10015400MDR = 0x8FFFF000MDR = 0x0FFCF300 MDR = 0x0FFCF300 MDR = 0x0FFCF004MDR = 0x0FFFF300 MDR = 0x0FFFF300 MDR = 0x3FFFF001

T1/E1

T1/E1

T1/E1

T1/E1

PEB-22554QUADFALC

RCLK1

MCLK

1.544/2.048 MHz

F-ref (To WAN-PLL Device)

16.384 MHz

XTAL Osc (20 ppm)

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 11

Page 12: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

3.3 PLL Synchronization ModuleThe IDT-82V3001 PLL device generates timing (clock) and synchronization (framing) signals for the PCM bus. The IDT82V3001A is a WAN PLL with single reference input. It contains a Digital Phase-Lock Loop (DPLL), which generates clock and framing signals that are phase locked to a 2.048 MHz, 1.544 MHz, or 8 kHz input reference. The PLL circuitry generates all TDM synchronization clocks used in the PDK, including the PCM interface clocks. These clocks can either be generated locally (via the QUADFALC device) if the PDK is operating in Master mode or be derived from any one of the T1/E1 lines by the QUADFALC if the PDK is operating in Slave mode. The two relevant modes of operation for the IDT82V3001 are Free Run mode and Normal mode.

3.3.1 Free Run ModeIn Free Run mode, the PLL device uses its local clock (as opposed to the reference frequency) to synthesize the system clock. The Free Run clocking mode for IDT-82V3001 is not used; only the Normal clocking mode is used, as described in the following section.

3.3.2 Normal ModeWhen the PLL device is configured in Normal mode, the frequency reference is received from the QUADFALC, as illustrated in Figure 10. The timing reference fed into the PLL device is derived from one of the T1/E1 lines. In this case, the second line is used as the timing reference source. However, any of the four digital lines terminated on the PSTN card can be used as the timing reference source. This is a QUADFALC software configuration feature.

Single Write

MBMR = 0x10015418MDR = 0x0FF3F000 MDR = 0x0FF0F300MDR = 0x0FF0F300 MDR = 0x0FF0F004MDR = 0x0FF3F300MDR = 0x0FF3F300MDR = 0x3FF3F001

ExceptionMBMR=0x1001543CMDR= 0xFFFFCC05,

Run MBMR=0x00015400

Table 6. QUADFALC UPM Programming (Continued)

Operations Instructions

Packet Telephony Development Kit PSTN Card, Rev. 1

12 Freescale Semiconductor

Page 13: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

Figure 10. WAN-PLL Device in Support of Slave Clocking Mode

To operate the PLL device in Normal mode, the Mode_sel_0 signal must be set to 0, and the Mode_sel_1 signal must be set to 0 through the CPLD, as shown in Table 7. To complete the PLL configuration, the input reference frequency into the PLL must also be selected. In this case, the PRI frequency is 1.544 MHz in North America or 2.048 MHz in Europe. Frequency selection of 1.544 MHz (North America) is achieved by setting Freq_sel_0 = 0 and Freq_sel_1 = 1 via the CPLD. For Europe, the values are Freq_sel_0 = 1 and Freq_sel_1 = 1 via the CPLD.

If the PDK is operating in Master clocking mode, the frequency reference is generated locally by the QUADFALC and fed directly into the PLL device. Software can configure the QUADFALC to source a free running clock from it local 16.384 MHz oscillator fed through the MCLK pin. This clock has a stability of 20 ppm, as illustrated in Figure 11.

Table 7. ID72V3001 Normal Mode Configuration

Mode Select Frequency Select Comment

mode_sel_1 mode_sel_0 Freq_sel_1 Freq_sel_0

0 0 1 0 North America

0 0 1 1 Europe

T1/E1

T1/E1

T1/E1

T1/E1

PEB-22554QUADFALC

RCLK1

MCLK

1.544/2.048 MHz

16.384 MHz

XTAL Osc (20 ppm)

IDT82V3001Sync

F-ref

C16

C4

F8

C8

SCLKR1

SCLKX

XPA1

8.192M

8 K

4.096M

16.384M

PCMSystemBus

IDTTSISwitch

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 13

Page 14: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

Figure 11. WAN-PLL in support of Master Clocking Mode

The default clocking option for the PDK is Master synchronization as illustrated in Figure 11. The default reference clock fed into the PLL device from the QUADFALC is 1.544 MHz, representing the North American digital transmission line standard.

3.4 Complex Programmable Logic Device (CLPD)The glue logic to help control access and configuration of all devices on the PSTN card is implemented on a CPLD. The CPLD decodes the addresses to generate the chip select for the TSI device and the control signals for the PLL device. The CPLD also monitors the PLL device and generates the Loss of Synchronization signal for the operation status indicator or LED.

3.4.1 Chip-Select LogicThe CPLD uses address line 22 to select between assertion of the IDT time-slot switch and accesses to its own internal registers, as shown in Table 8.

Table 8. Chip-Select Truth Table

PQ2_CS_TDM2Chip Select Input

From the Baseboard

CONN_AD22Address Line Input

From the Baseboard

IDT Time Slot Switch Chip Select

Internal CPLD Chip Select

1 X 1 1

0 0 0 1

0 1 1 0

T1/E1

T1/E1

T1/E1

T1/E1

PEB-22554QUADFALC

RCLK1

MCLK

1.544/2.048 MHz

16.384 MHz

XTAL Osc (20 ppm)

IDT82V3001Sync

F-ref

C16

C4

F8

C8

SCLKR1

SCLKX

XPA1

8.192 MHz

8 KHz

4.096 MHz

16.384 MHz

PCMSystemBus

IDTTSISwitch

Packet Telephony Development Kit PSTN Card, Rev. 1

14 Freescale Semiconductor

Page 15: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Components

3.4.2 Output SignalsWrites to the memory-mapped registers control the output signals on the CPLD. Table 9 lists and describes the registers. The address column refers to the address from which to write over the bus A[22–31]. The physical address pins to the CPLD are only A[22–30]. The data bus bit is latched on to the output signal. Thus, to turn off the LED, for example, one would write a value of 0x01 to address 0x20E. The physical address lines CONN_AD[22–30] would be 100000111 and the 1 from D[15] would be latched causing the PLD_LOS_FALC signal to go high.

3.4.3 JTAGThe J16 header is used for programming the CPLD. The PSTN card comes programmed and use of this header should not be needed.

����� ��������������������� ������������������������������������������������������������������

�����������

Table 9. CPLD Memory Map

Address Output Signal NameData Bus

BitRead/Write

Default Value

Description

0x200 PLD_MODE_SEL0 D[14] R/W 0 Determine the state (Normal, Holdover, or Free Run) of the WAN PLL.PLD_MODE_SEL1 D[15] R/W 0

0x202 PLD_F_SEL0 D[14] R/W 1 Determine the input reference frequency of the WAN PLL.PLD_F_SEL1 D[15] R/W 1

0x204 NORMAL_PLD D[15] R — Goes high when the WAN PLL goes into Normal mode.

0x206 LOCK_PLD D[15] R — Goes high when the WAN PLL is locked to the input reference frequency.

0x208 PLD_TCLRn D[15] R/W 1 Logic low at this signal resets the TIE control block of the WAN PLL, resulting in a realignment of the output phase with the input phase.

0x20A PLD_TIE_en D[15] R/W 1 Logic high at this signal enables the TIE block of the WAN PLL.

0x20C PLD_DUSLIC_TSI_RSTn

D[15] R/W 1 Logic low at this signal resets the DuSLIC (U1 & U2) and TSI switch.

0x20E PLD_LOS_FALC D[15] R/W 1 Logic low at this signal lights an LED.

0x210 HOLDOVER_PLD D[15] R — Goes to a logic high when the WAN PLL goes to Holdover mode.

0x212 PLD_WAN_PLL_RSTn D[15] R/W 1 Logic low at this signal resets the WAN PLL.

Table 10. Xilinx JTAG Signals

Pin JTAG Signal

1 +5V

2 GND

3 NC

4 TCK

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 15

Page 16: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card LEDs and Jumpers

The only module that connects to the baseboard JTAG chain is the QUADFALC. PTMC connector J15 enables the JTAG chain between the baseboard and the PSTN card.

����� �������������������������������������������������������������������������������������

������ ��������������������������!��������������������������������������������

4 PSTN Card LEDs and JumpersThis section describes the LEDs and jumpers of the PSTN card.

����� "�������#������������$�%��������������#����������%""����������#������

5 NC

6 TDO

7 TDI

8 NC

9 TMS

Table 11. PSTN Card LEDs

LED Description

1Indicates the Hook Status of the analog subscriber line 1 (P1.B1). LED1 is controlled by the port IO1B of the PEB3265 It can be programmed to show the ON/OFF hook status for the corresponding subscriber line.

2Indicates the Hook Status of the analog subscriber line 2 (P1.B1). LED2 is controlled by the port IO1A of the PEB3265 It can be programmed to show the ON/OFF hook status for the corresponding subscriber line.

3Indicates the Hook Status of the analog subscriber line 3 (P1.D1). LED3 is controlled by the port IO1B of the PEB3265 It can be programmed to show the ON/OFF hook status for the corresponding subscriber line.

4Indicates the Hook Status of the analog subscriber line 4 (P1.C1). LED4 is controlled by the port IO1A of the PEB3265 It can be programmed to show the ON/OFF hook status for the corresponding subscriber line.

5Shows the Loss Of Signal/Synchronization status of the QUADFALC device. It connects to a GPIO (pin no. 23) of the CPLD. It is illuminated when the processor determines the Loss of Signal status after reading the Frame Receive Status Register 0 (FRS0) of the QUADFALC.

6 3.3V power indication on PSTN. It is illuminated when 3.3V power rail is active.

7 5V power indication on PSTN card. It is illuminated to indicate that the 3.3V voltage is available on the board.

8 VHR (Ringing Voltage) power indication on PSTN card. It is illuminated to indicate ringing voltage is available.

9VBATHX (Battery Voltage) power indication on PSTN. It is illuminated to indicate that the battery or line voltage is available on the board.

Table 10. Xilinx JTAG Signals (Continued)

Pin JTAG Signal

Packet Telephony Development Kit PSTN Card, Rev. 1

16 Freescale Semiconductor

Page 17: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Power Connector

Table 12 summarizes all jumper settings in the PSTN card. Jumper settings are verified before they are shipped to customers.

5 Power ConnectorTable 13 lists all power supplies used in the PSTN card from J17. The 48 V battery supply must be sourced through this connector. All other supplies can come either from the baseboard or through J17 by using jumpers J18–J20.

6 PSTN Card InterfaceThe baseboard and the PSTN card share common signals, such as address, data, and control lines. The signals travel through PTMC connectors that connect the PDK baseboard to the PSTN card, as shown in Figure 12.

Table 12. Jumper Settings

Jumper Meaning Default Setting

J1–J11Switches between T1 and E1 termination.Shunt pins 1–2: E1.Shunt pins 2–3: T1.

T1 (Pins 2–3 connected)

J18Selects source of +3.3V power supply.Shunt pins 1–2: Power comes from power connector J17.Shunt pins 2–3: Power comes from the baseboard

Baseboard power (Pins 2–3 connected)

J19Selects source of +5V power supply.Shunt pins 1–2: Power comes from power connector J17.Shunt pins 2–3: Power comes from the baseboard.

Baseboard power (Pins 2–3 connected)

J20Selects source of VHR (Ringing) power supply.Shunt pins 1-2: Power comes from power connector J17.Shunt pins 2-3: Power comes from the baseboard.

Baseboard power (Pins 2–3 connected)

Table 13. PSTN Card Power Supply Distribution

Pin Value Description

13.3 V I/O power. By default, the 3.3 V power comes from the

baseboard. See Table 12.

2+5 V 5 V power. By default, the 5 V power comes from the

baseboard. See Table 12.

3 +48 V Ringing voltage for telephones.

4 –48 V Battery supply for telephones.

5 GND

6 GND

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 17

Page 18: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Interface

Figure 12. Baseboard PTMC Connected

Table 14. PTMC 15 Header

Pin Signal Pin Signal

1 TDM_SPI_CS1 2 JTAG_TRST

3 JTAG_TMS 4 TDM_TDO

5 TDM_TDI 6 GND

7 GND 8 JTAG_TCK

9 CONN_D0 10 CONN_AD23

11 CONN_D1 12 Vcc (5.0 v)

13 TDM_RESET 14 CONN_AD24

15 Vcc (5.0 v) 16 CONN_AD25

17 CONN_D2 18 GND

19 CONN_D3 20 CONN_AD26

21 GND 22 CONN_AD27

23 CONN_D4 24 Vcc (3.3 v)

25 CONN_D5 26 CONN_AD28

27 Vcc (3.3 v) 28 CONN_AD29

29 CONN_D6 30 GND

31 CONN_D7 32 CONN_AD30

33 GND 34 CONN_AD31

35 CONN_D8 36 Vcc (3.3 v)

37 GND 38 TDM_TO_PQ2_INT1

39 CONN_D9 40 GND

41 Vcc (3.3 v) 42 PQ2_CS_TDM1

43 CONN_D10 44 GND

45 CONN_D11 46 TDM_GPL2

P13 P15

P14

Packet Telephony Development Kit PSTN Card, Rev. 1

18 Freescale Semiconductor

Page 19: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Interface

47 GND 48 TDM_GPL1

49 CONN_D12 50 Vcc (3.3 v)

51 CONN_D13 52 PQ2_CS_TDM2

53 Vcc (3.3 v) 54 TDM_SPI_CS0

55 CONN_D14 56 GND

57 CONN_D15 58 TDM_SPIMOSO

59 GND 60 TDM_SPIMOSI

61 CONN_AD22 62 Vcc (3.3 v)

63 GND 64 TDM_SPICLK

Table 15. PTMC 14 Header

Pin Signal Pin Signal

1 NC 2 GND

3 GND 4 VCC (5.0 V)

5 NC 6 NC

7 VCC (5.0 V) 8 GND

9 NC 10 VCC (5.0 V)

11 VCC (5.0 V) 12 NC

13 NC 14 GND

15 GND 16 NC

17 CT_FRAME_A 18 VCC (5.0 V)

19 NC 20 GND

21 NC 22 NC

23 NC 24 VCC (5.0 V)

25 CT_C8_A 26 GND

27 GND 28 NC

29 NC 30 NC

31 NC 32 GND

33 GND 34 NC

35 NC 36 VCC (5.0 V)

37 NC 38 GND

39 NC 40 NC

41 NC 42 VCC (5.0 V)

Table 14. PTMC 15 Header (Continued)

Pin Signal Pin Signal

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 19

Page 20: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

PSTN Card Interface

43 NC 44 GND

45 GND 46 NC

47 NC 48 NC

49 NC 50 NC

51 GND 52 NC

53 NC 54 NC

55 CT_D4 56 GND

57 VCC (5.0 V) 58 CT_D5

59 NC 60 NC

61 CT_D0 62 GND

63 GND 64 CT_D1

Table 16. PTMC 13 Header

Pin Signal Pin Signal

1 NC 2 NC

3 GND 4 NC

5 NC 6 NC

7 NC 8 VCC (5.0 V)

9 NC 10 NC

11 GND 12 NC

13 NC 14 GND

15 GND 16 NC

17 NC 18 VCC (5.0 V)

19 VCC (3.3 V) 20 NC

21 NC 22 NC

23 NC 24 GND

25 GND 26 NC

27 NC 28 NC

29 NC 30 VCC (5.0 V)

31 NC 32 NC

33 NC 34 GND

35 GND 36 NC

37 NC 38 VCC (5.0 V)

Table 15. PTMC 14 Header (Continued)

Pin Signal Pin Signal

Packet Telephony Development Kit PSTN Card, Rev. 1

20 Freescale Semiconductor

Page 21: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

7 Default TDM Interface TimingFigure 13 diagrams the PSTN default TDM interface to the DSP daughter card. The default is 128 channels per frame, 8 bits per channel. This yields 8.192 Mbps with an 8 K Hz frame synchronization signal.

Figure 13. PSTN Card Default TDM Interface

39 GND 40 NC

41 NC 42 NC

43 NC 44 GND

45 VCC (3.3 V) 46 NC

47 NC 48 NC

49 NC 50 VCC (5.0 V)

51 GND 52 NC

53 NC 54 NC

55 TDM_GPIO2 56 GND

57 VCC (3.3 V) 58 TDM_TO_PQ2_INT2

59 TDM_GPIO1 60 TDM_TO_PQ2_INT3

61 TDM_GPIO0 62 VCC (5.0 V)

63 GND 64 NC

Table 16. PTMC 13 Header (Continued)

Pin Signal Pin Signal

Bit 1 Bit 2 Bit 3 Bit 4

Bit 1 Bit 2 Bit 3 Bit 4

122.0 ns(8.192MHz)

CT_C8_A (Clock)

FRAME_A (Frame)

CT_D0 (Rx Data)

CT_D1 (Tx Data)

122 ns

10.8 ns

22.8 ns

125 µs(8 KHz)

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 21

Page 22: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

Appendix A CPLD Sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--declaration of INPUT and OUTPUT ports

entity pdk_cpld is Port (

PQ2_CS_TDM2 : in std_logic;CONN_AD22 : in std_logic;CONN_AD : in std_logic_vector(27

to 30);CONN_D13 : out std_logic;CONN_D14 : in std_logic;CONN_D15 : in std_logic;TDM_GPL1 : in std_logic;TDM_GPL2 : in std_logic;HOLDOVER_PLD : in std_logic;LOCK_PLD : in std_logic;NORMAL_PLD : in std_logic;CT_C8_A : in std_logic;TDM_RESET : in std_logic;CT_FRAME : in std_logic;CT_STFRAMEn : in std_logic;CT_WFRAMEn : in std_logic;PLD_MODE_SEL0 : out std_logic;PLD_MODE_SEL1 : out std_logic;PLD_F_SEL0 : out std_logic;PLD_F_SEL1 : out std_logic;PLD_TCLRn : out std_logic;PLD_TIE_en : out std_logic;PLD_DuSLIC_TSI_RSTn : out std_logic;PLD_WAN_PLL_RSTn : out std_logic;PLD_LOS_FALC : out std_logic;PLD_IDT_CSn : out std_logic;CT_FRAME_A : out std_logic;PLD_QFALC_FRAME : out std_logic);

end pdk_cpld;

architecture pdk_cpld of pdk_cpld is signal signal_main_dec: std_logic;-- internal signal whic enables address decodersignal signal_cpld_dec: std_logic_vector( 11 downto 0 ); -- internal signals which are out from address

decodersignal signal_r_w_bar : std_logic;signal signal_w_bar :std_logic; signal signal_r :std_logic;

signal sel_mux1: std_logic_vector(1 downto 0); -- select signals to select frame sync for Base card connectors

signal sel_mux2: std_logic_vector(1 downto 0); -- select signals to select frame sync for QuadFALC (optional)

begin

-- two bit decoder which selects IDT switch or address decoder of CPLD.

process(PQ2_CS_TDM2,CONN_AD22)begin

-- the selection between IDT switch and cpld address decoder is done depending-- upon status of PQ2_CS_TDM2 and CONN_AD22 .If PQ2_CS_TDM2 is 0 the selection -- between IDT switch and CPLD address decoder is done based on CONN_AD22,-- if CONN_AD22 is 0 then IDT switch is selected or if CONN_AD22 is 1 then -- CPLD address decoder is selected, else if PQ2_CS_TDM2 = 1 then both-- IDT switch and CPLD address decoder will be disabled.

if ( PQ2_CS_TDM2 = ’0’ and CONN_AD22 =’0’)then

PLD_IDT_CSn <= ’0’; signal_main_dec <= ’1’;

elsif ( PQ2_CS_TDM2 = ’0’ and CONN_AD22 = ’1’)then

PLD_IDT_CSn <= ’1’; signal_main_dec <= ’0’;

else

Packet Telephony Development Kit PSTN Card, Rev. 1

22 Freescale Semiconductor

Page 23: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

PLD_IDT_CSn <= ’1’;signal_main_dec <= ’1’;

end if;

end process;

--end of two bit decoder

--Following process is to implement the CPLD address decoder,which will be--enabled by output signal "signal_main_dec" of two bit decoder.--Inputs for this decoder are AD[27:30] ,out put will be nine enable signals --for internal latchs. process(signal_main_dec,CONN_AD)begin

if ( signal_main_dec = ’0’) then case CONN_AD is when "0000" => signal_cpld_dec <= "111111111110"; when "0001" => signal_cpld_dec <= "111111111101"; when "0010" => signal_cpld_dec <= "111111111011"; when "0011" => signal_cpld_dec <= "111111110111"; when "0100" => signal_cpld_dec <= "111111101111"; when "0101" => signal_cpld_dec <= "111111011111"; when "0110" => signal_cpld_dec <= "111110111111"; when "0111" => signal_cpld_dec <= "111101111111";

when "1000" => signal_cpld_dec <= "111011111111";when "1001" => signal_cpld_dec <= "110111111111";when "1010" => signal_cpld_dec <= "101111111111";when "1011" => signal_cpld_dec <= "011111111111";

when others => signal_cpld_dec <= "111111111111"; end case;

else

signal_cpld_dec <= "111111111111";

end if;end process;

--end of CPLD address decoder

-- Following process is to implement the two bit latch for mode select signals-- for WAN PLL.-- Default mode is NORMAL MODE i.e both signals are 0.-- WAN PLL mode can be changed by writing into latch at address -- CONN_AD[22:30] = 1XXXX0000 using CONN_D[14:15]

process (signal_cpld_dec(0),TDM_RESET,CONN_D15,CONN_D14,signal_w_bar)begin

if TDM_RESET =’0’ then

PLD_MODE_SEL0 <= ’0’;PLD_MODE_SEL1 <= ’0’;

elsif signal_cpld_dec(0) = ’0’ and signal_w_bar = ’0’ then

PLD_MODE_SEL0 <= CONN_D15;PLD_MODE_SEL1 <= CONN_D14;

end if ;

end process;

-- implementation of two bit latch for Input reference frequency select signals-- for WAN PLL.-- Default Input reference frequency is 1.544 MHz(T1 mode).-- WAN PLL Input reference frequency can be changed by writing into latch -- at address CONN_AD[22:30] = 1XXXX0001 using CONN_D[14:15]

process (signal_cpld_dec(1),CONN_D15,CONN_D14,signal_w_bar,TDM_RESET)

begin

if TDM_RESET =’0’ then

PLD_F_SEL0 <= ’0’;PLD_F_SEL1 <= ’1’;

elsif signal_cpld_dec(1) = ’0’and signal_w_bar = ’0’ then PLD_F_SEL0 <= CONN_D15;

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 23

Page 24: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

PLD_F_SEL1 <= CONN_D14;end if ;

end process;

-- implementation of status read signal (NORMAL_PLD) of WAN PLL at ADDRESS -- CONN_AD[22:30] = 1XXXX0010 using CONN_D[15]

process ( signal_r,NORMAL_PLD,HOLDOVER_PLD,LOCK_PLD,signal_cpld_dec(2),signal_cpld_dec(8),signal_cpld_dec(3))

begin

if signal_cpld_dec(2) = ’0’ and signal_r = ’1’ then

CONN_D13 <= NORMAL_PLD;

-- implementation of status read signal(HOLDOVER_PLD) of WAN PLL at ADDRESS -- CONN_AD[22:30] = 1XXXX1000 using CONN_D[15]

elsif signal_cpld_dec(8) = ’0’ and signal_r = ’1’ then

CONN_D13 <= HOLDOVER_PLD;

-- implementation of status read signal(LOCK_PLD) of WAN PLL at ADDRESS --CONN_AD[22:30] = 1XXXX0011 using CONN_D[15]

elsif signal_cpld_dec(3) = ’0’ and signal_r = ’1’ then CONN_D13 <= LOCK_PLD;

else CONN_D13 <= ’Z’;end if;

end process;

-- implementation of one bit latch for signal(PLD_TCLRn)of WAN PLL at ADDRESS -- CONN_AD[22:30] = 1XXXX0100 using CONN_D[15] -- default PLD_TCLRn <= 1

process (signal_cpld_dec(4),TDM_RESET,CONN_D15,signal_w_bar)begin if TDM_RESET =’0’ then

PLD_TCLRn <= ’1’;

elsif signal_cpld_dec(4) = ’0’ and signal_w_bar = ’0’ then

PLD_TCLRn <= CONN_D15 ;end if ;

end process;

-- implementation of one bit latch for signal(PLD_TIE_en)of WAN PLL at ADDRESS -- CONN_AD[22:30] = 1XXXX0101 using CONN_D[15] -- default PLD_TIE_en <= 1

process (signal_cpld_dec(5),TDM_RESET,CONN_D15,signal_w_bar)begin if TDM_RESET =’0’ then

PLD_TIE_en <= ’1’;

elsif signal_cpld_dec(5) = ’0’and signal_w_bar = ’0’ then

PLD_TIE_en <= CONN_D15;

end if ;

end process;

-- implementation of one bit latch for signal(PLD_DuSLIC_TSI_RSTn) for DuSLIC and TSI reset at-- ADDRESS CONN_AD[22:30] = 1XXXX0110 using CONN_D[15] -- default PLD_DuSLIC_TSI_RSTn <= 1

process (signal_cpld_dec(6),TDM_RESET, CONN_D15,signal_w_bar)begin if TDM_RESET =’0’ then

PLD_DuSLIC_TSI_RSTn <= ’1’;

Packet Telephony Development Kit PSTN Card, Rev. 1

24 Freescale Semiconductor

Page 25: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

elsif signal_cpld_dec(6) = ’0’ and signal_w_bar = ’0’then

PLD_DuSLIC_TSI_RSTn <= CONN_D15;end if ;

end process;

-- implementation of one bit latch for signal(PLD_LOS_FALC) for FALC at-- ADDRESS CONN_AD[22:30] = 1XXXX0111 using CONN_D[15] -- default PLD_LOS_FALC <= 1

process (signal_cpld_dec(7),TDM_RESET,CONN_D15,signal_w_bar)begin if TDM_RESET =’0’ then

PLD_LOS_FALC <= ’1’;

elsif signal_cpld_dec(7) = ’0’and signal_w_bar = ’0’ then

PLD_LOS_FALC <= CONN_D15;end if ;

end process;

-- implementation of one bit latch for signal(PLD_WAN_PLL_RSTn) for WAN PLL reset at-- ADDRESS CONN_AD[22:30] = 1XXXX1001 using CONN_D[15] -- default PLD_WAN_PLL_RSTn <= 1

process (signal_cpld_dec(9),TDM_RESET, CONN_D15,signal_w_bar)begin if TDM_RESET =’0’ then

PLD_WAN_PLL_RSTn <= ’1’;

elsif signal_cpld_dec(9) = ’0’ and signal_w_bar = ’0’then

PLD_WAN_PLL_RSTn <= CONN_D15;end if ;

end process;

-- Following process is to implement the two bit internal latch for select signals-- of MUX1 used to to select frame sync for base card connectors.-- Default mode is sel_mux1(0) <= ’0’; and sel_mux1(1) <= ’1’; i.e -- CT_FRAME = CT_FRAME_A (F8o from WAN PLL)-- MUX1 enable signals can be changed by writing into latch at address -- CONN_AD[22:30] = 1XXXX1010 using CONN_D[14:15] process (signal_cpld_dec(10),CONN_D15,CONN_D14,signal_w_bar,TDM_RESET)

begin

if TDM_RESET =’0’ then sel_mux1(0) <= ’0’;

sel_mux1(1) <= ’1’;

elsif signal_cpld_dec(10) = ’0’and signal_w_bar = ’0’ then sel_mux1(0) <= CONN_D15;sel_mux1(1) <= CONN_D14;

end if ;end process;

-- 4 to 1 multiplexer design with case construct to select frame sync to base card connectors

process (sel_mux1, CT_FRAME, CT_STFRAMEn, CT_WFRAMEn)begin case sel_mux1 is when "00" => CT_FRAME_A <= CT_WFRAMEn; when "01" => CT_FRAME_A <= CT_STFRAMEn; when "10" => CT_FRAME_A <= CT_FRAME; when others => NULL; end case;end process;

-- Following process is to implement the two bit internal latch for select signals-- of MUX2 used to to select frame sync for the QuadFALC (optional).-- Default mode is sel_mux2(0) <= ’0’; and sel_mux2(1) <= ’1’; i.e -- PLD_QFALC_FRAME = CT_FRAME_A (F8o from WAN PLL)-- MUX2 enable signals can be changed by writing into latch at address -- CONN_AD[22:30] = 1XXXX1011 using CONN_D[14:15] process (signal_cpld_dec(11),CONN_D15,CONN_D14,signal_w_bar,TDM_RESET)

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 25

Page 26: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

begin

if TDM_RESET =’0’ then sel_mux2(0) <= ’0’;

sel_mux2(1) <= ’1’;

elsif signal_cpld_dec(11) = ’0’and signal_w_bar = ’0’ then sel_mux2(0) <= CONN_D15;sel_mux2(1) <= CONN_D14;

end if ;

end process;

-- 4 to 1 multiplexer design with case construct to select frame sync to QuadFALC (optional)

process (sel_mux2, CT_FRAME, CT_STFRAMEn, CT_WFRAMEn)begin case sel_mux2 is when "00" => PLD_QFALC_FRAME <= CT_WFRAMEn; when "01" => PLD_QFALC_FRAME <= CT_STFRAMEn; when "10" => PLD_QFALC_FRAME <= CT_FRAME; when others => NULL; end case;end process;

-- internal read write signal generation from TDM_GPL1 and TDM_GPL2

process(TDM_GPL2,TDM_GPL1)

begin

if TDM_GPL2 = ’0’ and TDM_GPL1 = ’0’ then

--signal_r_w_bar <= ’0’; signal_w_bar <= ’0’; signal_r <= ’0’; elsif TDM_GPL2 = ’0’ and TDM_GPL1 = ’1’ then

-- signal_r_w_bar <= ’1’; signal_w_bar <= ’1’; signal_r <= ’1’;

else signal_w_bar <= ’1’; signal_r <= ’0’;

end if;

end process;

end pdk_cpld;--end of code

Packet Telephony Development Kit PSTN Card, Rev. 1

26 Freescale Semiconductor

Page 27: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Default TDM Interface Timing

Appendix B SchematicsThe following pages present the schematics for the PSTN card, as well as the board that provides power to the PSTN card.

Packet Telephony Development Kit PSTN Card, Rev. 1

Freescale Semiconductor 27

Page 28: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

+24V

-48V

+48V

+5VI

N

+5VI

N

+3.3

V+3

.3V

+5VI

N

+5VI

N

+3.3

V

+5VI

N

PWR

_ON

+5VI

N+3

.3V

PWR

_ON

Nam

eR

evD

ate:

Shee

tof

Title

Bloc

k

0.1

Pack

et te

lelp

hony

Mot

orol

aC

opyr

ight

200

11

Tues

day,

Feb

ruar

y 10

, 200

4

Sche

mat

ic

Ric

h C

utle

r

PDK_

POTS

_PW

RN

ame

Rev

Dat

e:Sh

eet

of

Title

Bloc

k

0.1

Pack

et te

lelp

hony

Mot

orol

aC

opyr

ight

200

11

Tues

day,

Feb

ruar

y 10

, 200

4

Sche

mat

ic

Ric

h C

utle

r

PDK_

POTS

_PW

RN

ame

Rev

Dat

e:Sh

eet

of

Title

Bloc

k

0.1

Pack

et te

lelp

hony

Mot

orol

aC

opyr

ight

200

11

Tues

day,

Feb

ruar

y 10

, 200

4

Sche

mat

ic

Ric

h C

utle

r

PDK_

POTS

_PW

R

RESETTABLE

FUSE

The

purpose

of

this

board

is

to

provide

power

to

the

PSTN

card

of

the

Smart

Packet

Telephony

Development

Kit.

Pins1-5:ToPSTNCard

Pins6-8:ToBaseboard

Pins9-10:ToOn/OFFSwitch

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U4

NM

T057

2S

U4

NM

T057

2S

1 2 3 4 5 6 7 8 9 10

J2 EDG

E PA

DS

J2 EDG

E PA

DS

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U2

NM

T057

2S

U2

NM

T057

2S

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U5

NM

T057

2S

U5

NM

T057

2S

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U3

NM

T057

2S

U3

NM

T057

2S

11

22

U6 RG

E400

U6 RG

E400

1 2 3 4 5 6 7 8 9 10

11 12 13 14 15 16 17 18 19 20

J1

ATX

INPU

T Ty

co 2

-794

664-

0

J1

ATX

INPU

T Ty

co 2

-794

664-

0

Page 29: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

Pack

et T

elep

hony

Dev

elop

ment

Kit

PO

TS c

ard

Rev

2.0

Revi

sion

Chan

ge f

rom

prev

ious

ver

sion

1.0

Init

ial

Revi

sion

.

2.0

Swap

ping

of

the

SPIM

OSI

and

SPIM

OSO

signals.

DATE

18/1

1/2002

06/0

6/2003

AURA

L NE

TWOR

KS

Thre

e le

vel

rese

t co

nfig

urat

ion.

Prov

ided

nar

rowe

r fr

ame

puls

e (F

16o/

fro

m WAN PLL)

for

the

TSI

to o

pera

te i

n ST

-BUS

mode.

Brou

ght

F8o,

F0o

/, F

16o/

(fr

om W

AN P

LL)

to CPLD.

-->Q

uadF

ALC,

CPL

D--

>WAN

PLL

-->T

SI,

DuSL

IC

Fram

e Sy

nc f

or B

ASE

BOAR

D Co

nnec

tors

is

prov

ided

from CPLD

<Doc

>2.

0

RE

VIS

ION

HIS

TO

RY

B

115

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

Page 30: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

POTS

BLO

CK S

CHEM

ATIC

RJ45

DuSL

IC

RJ11

PCM3

TO BASEBOARD CONNECTORS

T1/E

1

RJ11

RJ11

AURA

L NE

TWORKS

SLIC

RJ45

SWIT

CH51

2 x

512

PCM0

PCM1

SLIC

PCM0

SERI

AL BUS

DuSL

IC

Quad

FALC

PCM2

RJ45

T1/E

1

uP B

US

RJ45

PCM3

RJ11

SLIC

T1/E

1

RCLK1

T1/E

1

uP B

US

SLIC

uP B

US

CODE

C

CODE

C

WAN PLL

8KHz

16.3

84MH

z

8.19

2MHz

4.09

6MHz

CPLDIDT_CS#

(Inf

ineo

n)

(Infineon)

(Infineon)

(Inf

ineo

n)

(Inf

ineo

n)

(Inf

ineo

n)

(Inf

ineo

n)

(IDT

)

(IDT

)

(Xil

inx)

<Doc

>2.

0

Pot

s C

ard

Blo

ck S

chem

atic

B

215

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

Page 31: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

TO BASEBOARD

From C

PLD

AURA

L NE

TWORKS

47uF

100m

H

From

WAN

PLL

From

WAN

PLL

From

WAN

PLL

To W

AN PLL

Hook

sta

tus

Indicators

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

315

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

VC

M1

VC

M1

AC

P_1

A5

C1_

1A5

C1_

1B5

DC

P_1

B5

AC

P_1

B5

C2_

1A5

DC

P_1

A5

IL_1

B5

DC

N_1

A5

IT_1

A5

IT_1

B5

TD

M_T

O_P

Q2_

INT2

13T

DM

_SP

I_C

S0

13

CT

_C8_

A4,

9,13

,14,

15

RX

24,

7TX

24,

7

TD

M_S

PIM

OS

I4,

13

CT

_FR

AM

E4,

14,1

5

IL_1

A5

TD

M_S

PIC

LK4,

13

VC

MS

_15

C2_

1B5

AC

N_1

A5

AC

N_1

B5

DC

N_1

B5

TD

M_S

PIM

OS

O4,

13

PLD

_DuS

LIC

_TS

I_R

ST

n4,

7,15

DV

DD

3V3

DGND

AV

DD

3V3

DV

DD

3V3

DGND

AV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

DGND

DGND

DGND

DV

DD

3V3

R11

680E

_1P

_0W

125

C10

680n

F_1

0P_1

6V

R12

470E

_1P

_0W

125

R3

470E

_1P

_0W

125

R13

1K6_

1P_0

W12

5

R2

680E

_1P

_0W

125

LED

2

12

C12

120n

F_1

0P_2

5VR

9

330E

1 2

C11

68nF

_10P

_50V

C13

680n

F_1

0P_1

6V

R8

0E

R7

0E

R4

0E

C9

680n

F_1

0P_1

6V

R5

0E

LED

1

12

C8

120n

F_1

0P_2

5V

R6

1K6_

1P_0

W12

5

CHANNEL A CHANNEL B

PCM

U1

PE

B32

65

43 42 47 44 49 48 4645 51 52 53 50 57 56 58 6 7 2 5 64 1 4 3 62 61 60 635540

2430

9

54418

2531

5932 2114 15 16 23 19 2018 3417 22 27 2826 29 33 35 13 12 11 10 36 37 38 39

AC

PA

AC

NA

DC

PA

DC

NA

C1A

C2A

CD

CP

A

CD

CN

A

ITA

CA

ITA

VC

MIT

A

ILA

VC

MV

CM

SC

RE

F

AC

PB

AC

NB

DC

PB

DC

NB

C1B

C2B

CD

CN

B

CD

CP

BIT

AC

B

ITB

VC

MIT

B

ILB

GNDRGNDA

GNDDGNDPLL

GNDB

VDDRVDDAVDDB

VDDDVDDPLL

SE

LCLK

PC

M/IO

M-2

SE

L24/

DR

A

TS

0/D

IN

TS

1/D

CLK

TS

2/C

S

FS

CD

CL/

PC

LK

DD

/DR

B

DU

/DO

UT

RE

SE

T

INT

MC

LK

DX

A

DX

B

TCA

TCB

RS

YN

C

TE

ST

IO4B

IO3B

IO2B

IO1B

IO4A

IO3A

IO2A

IO1A

R1

10K

TP1

1

+C2

C6 10

0nF

_10P

_50V

R12

20E

C1 0_

1uF

L1

C14

680n

F_1

0P_1

6V

C7 10

0nF

_10P

_50V

C4

100n

F_1

0P_5

0V

C3

100n

F_1

0P_5

0V

TP5

1TP

41

R10

330E

1 2

TP2

1LE

D11

12

LED

10

12

R13

9

330E

1 2

R14

0

330E

1 2

C5

100n

F_1

0P_5

0V

Page 32: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

TO BASEBOARD

AURA

L NE

TWORKS

To W

AN PLL

From

WAN

PLL

From

WAN

PLL

From

WAN

PLL

From

CPLD

Hook

sta

tus

Indicators

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

415

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

VC

M2

VC

M2

AC

P_2

A6

AC

N_2

A6

C1_

2A6

C1_

2B6

DC

P_2

B6

AC

P_2

B6

C2_

2B6

VC

MS

_26

C2_

2A6

IL_2

A6

DC

P_2

A6

IL_2

B6

DC

N_2

B6

AC

N_2

B6

DC

N_2

A6

IT_2

A6

IT_2

B6

TD

M_T

O_P

Q2_

INT3

13

TD

M_S

PIM

OS

I3,

13

TD

M_S

PIC

LK3,

13T

DM

_SP

I_C

S1

13

CT

_FR

AM

E3,

14,1

5C

T_C

8_A

3,9,

13,1

4,15

PLD

_DuS

LIC

_TS

I_R

ST

n3,

7,15

RX

23,

7TX

23,

7

TD

M_S

PIM

OS

O3,

13

DV

DD

3V3

DV

DD

3V3

AV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DV

DD

3V3

R14 10

K

R21

0E

R18

0E

R17

0E

R20

0E

R24

680E

_1P

_0W

125

C22

680n

F_1

0P_1

6V

R25

470E

_1P

_0W

125

R16

470E

_1P

_0W

125

R26

1K6_

1P_0

W12

5

R15

680E

_1P

_0W

125

C24

120n

F_1

0P_2

5V

C23

68nF

_10P

_50V

C25

680n

F_1

0P_1

6V

C21

680n

F_1

0P_1

6V

C20

120n

F_1

0P_2

5V

R19

1K6_

1P_0

W12

5

C26

680n

F_1

0P_1

6V

CHANNEL A CHANNEL B

PCM

U2

PE

B32

65

43 42 47 44 49 48 4645 51 52 53 50 57 56 58 6 7 2 5 64 1 4 3 62 61 60 63

5540

2430

9

54418

2531

5932 2114 15 16 23 19 2018 3417 22 27 2826 29 33 35 13 12 11 10 36 37 38 39

AC

PA

AC

NA

DC

PA

DC

NA

C1A

C2A

CD

CP

A

CD

CN

A

ITA

CA

ITA

VC

MIT

A

ILA

VC

MV

CM

SC

RE

F

AC

PB

AC

NB

DC

PB

DC

NB

C1B

C2B

CD

CN

B

CD

CP

BIT

AC

B

ITB

VC

MIT

B

ILB

GNDRGNDA

GNDDGNDPLL

GNDB

VDDRVDDAVDDB

VDDDVDDPLL

SE

LCLK

PC

M/IO

M-2

SE

L24/

DR

A

TS

0/D

IN

TS

1/D

CLK

TS

2/C

S

FS

CD

CL/

PC

LK

DD

/DR

B

DU

/DO

UT

RE

SE

T

INT

MC

LK

DX

A

DX

B

TCA

TCB

RS

YN

C

TE

ST

IO4B

IO3B

IO2B

IO1B

IO4A

IO3A

IO2A

IO1A

C15 10

0nF

_10P

_50V

C16 10

0nF

_10P

_50V

C18

100n

F_1

0P_5

0V

C17

100n

F_1

0P_5

0V

C19

100n

F_1

0P_5

0V

LED

4

12

LED

3

12

R22

330E

1 2

R23

330E

1 2

LED

13

12

LED

12

12

R14

1

330E

1 2

R14

2

330E

1 2

TP

111

TP

101

TP8

1TP

71

R12

30E

Page 33: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

100m

H

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

515

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

RIN

G1

TIP

1

TIP

2

RIN

G2

AC

N_1

A3

AC

P_1

A3

DC

N_1

A3

DC

P_1

A3

C1_

1A3

C2_

1A3

IT_1

A3

IL_1

A3

VC

MS

_13

DC

P_1

B3

IT_1

B3

C1_

1B3

IL_1

B3

AC

P_1

B3

DC

N_1

B3

AC

N_1

B3

VC

MS

_13

C2_

1B3

AV

DD

5V

VH

RV

BA

TH

AV

DD

5V

VB

ATH

VH

R

DV

DD

5VA

VD

D5V

DGND

VB

ATL

VH

RV

BA

TH

VB

ATH

VH

RV

BA

TLDG

ND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

C40

100n

F_1

0P_2

00V

C41 100n

F_1

0P_2

00V

U3

PE

B42

65

11 12 13 14 15 17183

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHRR

ING

TIP

IT IL

C32

470n

F_1

0P_1

6V

C33

220n

F_2

0P_2

00V

C39

100n

F_1

0P_2

00V

P1A

CO

NN

_RJ1

1_4S

TA

CK

A4

A3

A6

A2

A5

A1

R31

20E

_1P

_0W

5

P1B

CO

NN

_RJ1

1_4S

TA

CK

B4

B3

B6

B2

B5

B1

R33

30E

_0P

5_0W

5C

45

220n

F_2

0P_2

00V

C46

15nF

_10P

_100

V

U4

LCP

02_1

50B

1S

O8_

300

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

R34

20E

_1P

_0W

5

R28

30E

_0P

5_0W

5

C44

220n

F_2

0P_2

00V

R29

30E

_0P

5_0W

5

R27

20E

_1P

_0W

5

C42

15nF

_10P

_100

V

R30

20E

_1P

_0W

5

C43

470n

F_1

0P_1

6V

C27

100n

F_1

0P_5

0V

C31

15nF

_10P

_100

V

C35

15nF

_10P

_100

V

U6

LCP

02_1

50B

1S

O8_

300

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

C34

220n

F_2

0P_2

00V

R32

30E

_0P

5_0W

5

C29

100n

F_1

0P_2

00V

C28

100n

F_1

0P_2

00V

C30

100n

F_1

0P_2

00V

C38

100n

F_1

0P_5

0VU

5P

EB

4265

11 12 13 14 15 1718

3

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHR

RIN

GTI

P

IT IL

C37

0_1u

F

+C

36

47U

F_1

6V

L3

Page 34: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

615

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

RIN

G3

TIP

3

RIN

G4

TIP

4

VC

MS

_24

AC

N_2

A4

AC

P_2

A4

DC

N_2

A4

DC

P_2

A4

C1_

2A4

C2_

2A4

IT_2

A4

IL_2

A4

DC

P_2

B4

IT_2

B4

C1_

2B4

IL_2

B4

AC

P_2

B4

DC

N_2

B4

AC

N_2

B4

VC

MS

_24

C2_

2B4

AV

DD

5V

VH

RV

BA

TH

AV

DD

5V

VH

RV

BA

TH

VB

ATL

VB

ATH

VH

R

VB

ATL

VH

RV

BA

TH

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

C52

470n

F_1

0P_1

6V

C53

220n

F_2

0P_2

00V

P1C

CO

NN

_RJ1

1_4S

TA

CK

C4

C3

C6

C2

C5

C1

R39

20E

_1P

_0W

5

P1D

CO

NN

_RJ1

1_4S

TA

CK

D4

D3

D6

D2

D5

D1

R41

30E

_0P

5_0W

5C

63

220n

F_2

0P_2

00V

C64

15nF

_10P

_100

V

U8

LCP

02_1

50B

1

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

R42

20E

_1P

_0W

5

R36

30E

_0P

5_0W

5

C60

15nF

_10P

_100

V

R37

30E

_0P

5_0W

5

C62

220n

F_2

0P_2

00V

R35

20E

_1P

_0W

5

C61

470n

F_1

0P_1

6V

R38

20E

_1P

_0W

5

C47

100n

F_1

0P_5

0VC

49

100n

F_1

0P_2

00V

C48

100n

F_1

0P_2

00V

C50

100n

F_1

0P_2

00V

C51

15nF

_10P

_100

V

U10

LCP

02_1

50B

1

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

C55

15nF

_10P

_100

V

C54

220n

F_2

0P_2

00V

R40

30E

_0P

5_0W

5

U7

PE

B42

65

11 12 13 14 15 1718

3

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHR

RIN

GTI

P

IT IL

C56

100n

F_1

0P_5

0VU

9P

EB

4265

11 12 13 14 15 1718

3

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHR

RIN

GTI

P

IT IL

C58

100n

F_1

0P_2

00V

C57

100n

F_1

0P_2

00V

C59 100n

F_1

0P_2

00V

Page 35: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

TO BASEBOARD C

ONNECTOR

NOTE

2

From CPLD

NOTE

1

TO BASEBOARD C

ONNECTOR

TO BASEBOARD CONNECTOR

From C

PLD

TO BASEBOARD C

ONNECTOR

TO BASEBOARD C

ONNECTOR

TO BASEBOARD C

ONNECTOR AURA

L NE

TWORKS

NOTE 1&2 :

Motorola demulti

plexed mode

consid

ered

NOTE

3

NOTE 3 :

WFPS=1, WFPS Mode

WFPS=0, ST_BUS Mode

TO BASEBOARD C

ONNECTOR

DNP

DNP

: Do

Not

Place

From

WAN

PLL

--4

.096MHz

From

WAN

PLL

--

8K

Hz

Fram

e Sync In ST-Bus mode

From

WAN

PLL

--

16.384MHz

DNP

PCM Description

0 DSP

1 FALC

2 DuSLIC

3 BASE CARD

TO BASEBOARD C

ONNECTOR

DNP

DNP

NOTE

4

NOTE 4 :

WF Mode --

Place R127

ST_BUS Mode --

Place R128

From

WAN

PLL

--

8K

Hz

Fram

e Sync In WF-Bus mode

<Doc

>2.

0

Dig

ital S

witc

h

B

715

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CO

NN

_D12

CO

NN

_AD

28

CO

NN

_D14

CO

NN

_AD

27

CO

NN

_D11

CO

NN

_D9

CO

NN

_D3

CO

NN

_AD

25

CO

NN

_AD

23

CO

NN

_D5

CO

NN

_D1

CO

NN

_AD

30

CO

NN

_D15

CO

NN

_AD

29

CO

NN

_D7

CO

NN

_AD

26

CO

NN

_D10

CO

NN

_AD

24

CO

NN

_D0

CO

NN

_D8

CO

NN

_D13

CO

NN

_D6

CO

NN

_D4

CO

NN

_D2

TD

M_G

PL1

8,13

,15

TD

M_G

PL2

8,13

,15

PLD

_DuS

LIC

_TS

I_R

ST

n3,

4,15

CT

_D5

13TX

23,

4

CO

NN

_D[0

:15]

8,13

,15

CT

_D4

13

HC

LK14

CT

_D0

13

CLK

14

CT

_D1

13P

LD_I

DT

_CS

n15

RX

23,

4R

X1

9

TX1

9

CT

_ST

FR

AM

En

14,1

5

CO

NN

_AD

[22:

31]

8,13

,15

CT

_WF

RA

ME

n14

,15

DGND

DV

DD

3V3

DV

DD

3V3

DGND

DV

DD

3V3

DGND

DGND

DGND

R46 10K

R44

10K

R56

22K

R12

80E

R12

70E

TP

131

M I C R O P R O C E S S O R I N T E R F A C E INT

CO

NN

T X

GR

OU

ND

R X

PO

WE

R

U11 IDT

72V

7080

0

303127 2928 19 20 21 22 23 24 25 26

51

33 34 35 36 37 38 39 40 43 44 45 46 47 48 49 50

1310111855 56 57 58 2 3 4 554

678959606162

11232425363

14

64

151617

41

52

AS

/ALE

IMDS

/RD

CS

R/W

/WR

A0

A1

A2

A3

A4

A5

A6

A7

DTA

AD

0A

D1

AD

2A

D3

AD

4A

D5

AD

6A

D7

D8

D9

D10

D11

D12

D13

D14

D15

CLKFO

iF

E/H

CLK

WF

PS

TX0

TX1

TX2

TX3

RX

0R

X1

RX

2R

X3

OD

E

ICICICICICICICIC

GNDGNDGNDGNDGNDGND

VCC

VCC

DN

CD

NC

RE

SE

T

VCC

DN

C

R45

10K

R47 0E

C65 0_

1uF

R48

0ER

490E

R50

0E

R53

0ER

540E

R51

0E

R52

0E

R55

0E

R43

10K

C66 0_

1uF

C67 0_

1uF

Page 36: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

FROM BASEBOARD C

ONNECTOR

AURA

L NE

TWORKS

CLOC

K

OSC

ILLATOR

BASEBOARD CO

NNECTOR

TO BASEBOARD C

ONNECTOR

DNP

DNP

: Do

Not

Place

Sign

al

D

escription

TDM_

GPL1

R/W#

TDM_

GPL2

DS#

DNP

DBW

D

escription

0

8 bit

1

16 bit

IM

De

scription

0

Intel mode

1

Mot

orola mode

FROM BASEBOARD C

ONNECTOR

FROM BASEBOARD C

ONNECTOR

FROM BASEBOARD C

ONNECTOR

<Doc

>2.

0

QU

AD

FA

LC

B

815

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

MC

LK

MC

LK

CO

NN

_D0

CO

NN

_AD

30

CO

NN

_D4

CO

NN

_D2

CO

NN

_AD

23

CO

NN

_AD

26

CO

NN

_AD

22

CO

NN

_AD

24

CO

NN

_AD

28C

ON

N_D

3

CO

NN

_D6

CO

NN

_D5

CO

NN

_AD

31

CO

NN

_AD

27

CO

NN

_AD

29

CO

NN

_AD

25C

ON

N_D

1

CO

NN

_D7

CO

NN

_D[0

:15]

7,13

,15

TD

M_R

ES

ET

13,1

5

TD

M_T

O_P

Q2_

INT1

13

JTA

G_T

RS

T13

TD

M_G

PL2

7,13

,15

CT

_FR

AM

En

9,10

,11,

12,1

4

CO

NN

_AD

[22:

31]

7,13

,15

TD

M_T

DO

13

PQ

2_C

S_T

DM

113

JTA

G_T

MS

13

TD

M_G

PL1

7,13

,15

TD

M_T

DI

13

JTA

G_T

CK

13

DV

DD

3V3

DV

DD

3V3

AV

DD

3V3

DGND

DV

DD

3V3

DGND

AV

DD

3V3

DV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

DV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

R58

10K

TP

261

VIT

E_V

CB

2_B

0F_1

6M38

4

U13

14 7

8

1

VDD GNDoutp

ut

TRI-S

TATE

C76 0_

1uF

U12

AQ

uad_

falc

74 75 76 77 78 79 80 81 82 83 46 8786 8541 40 6862 84 131

140

141

113

112

88 89 90 93 94 95 96 97 98 99 100

103

104

105

106

107

134

133

48 118

63

A0

A1

A2

A3

A4

A5

A6

A7

A8

A9

RE

S

BH

E/B

LE

CS

RD

/DS

INT

DB

WIMA

LE

WR

/R/W

TRS

TCK

TM

STD

OTD

I

D0

D1

D2

D3

D4

D5

D6

D7

D8

D9

D10

D11

D12

D13

D14

D15

XTA

L/N

CM

CLK

SY

NC

NC

NC

C77 0_

1uF

R70

0E

C78 0_

1uF

U12

BQ

uad_

falc

38 71 110

143 42 67 114

139 10 28 55 91 101

124

132

1 36 73 108

45 64 117

136

11 29 56 92 102

125

135

VD

DX

1V

DD

X2

VD

DX

3V

DD

X4

VD

DR

1V

DD

R2

VD

DR

3V

DD

R4

VD

DV

DD

VD

DV

DD

VD

DV

DD

VD

D

VS

SX

1V

SS

X2

VS

SX

3V

SS

X4

VS

SR

1V

SS

R2

VS

SR

3V

SS

R4

VS

SV

SS

VS

SV

SS

VS

SV

SS

VS

S

R68

27E C

79 0_1u

F

R71

10K

C80 0_

1uF

R12

1

0E

C81 0_

1uF

C82 0_

1uF

C83 0_

1uF

C69 0_

1uF

C70 0_

1uF

C71 0_

1uF

R57

10K

C72 0_

1uF

R69

0E

C73 0_

1uF

R59

10K

C74 0_

1uF

C75 0_

1uF

R60

10K

R61

10K

R62

10K

R63

10K

R64

10K

R65

10K

R66

10K

R67

10K

C68

0_1u

F

Page 37: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE

NOTE

NOTE

NOTE: Connect 1

& 2 for E1

Connect 2 & 3 for T1

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

915

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

RC

LK1

14C

T_C

8_A

3,4,

13,1

4,15

TX1

7

CT

_C8_

A3,

4,13

,14,

15

RX

17

CT

_FR

AM

En

8,10

,11,

12,1

4

FS

C_Q

FA

LC14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

J1 CO

N3

1 2 3

R732E

_5P

_0W

125

R747R

5_5P

_0W

125

J2 CO

N3

1 2 3

R762E

_5P

_0W

125

U18

TR

600-

150

12

U14

TR

600-

150

12

D4

BA

V70

3

12

D3 BA

W56

3

1 2

R78

120E_5P_0W125

R79

100E_5P_0W125

J3

CO

N3

123

U12

CQ

uad_

falc

123

122

121

120 2 3

119 6 5 4 9 8

109

111

115

116

697

XP

D1

XP

C1

XP

B1

XP

A1

XD

I1

SC

LKX

1R

CLK

1

RP

C1

RP

B1

RP

A1

RD

O1

SC

LKR

1

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL1

/RD

IP/R

OID

RL2

/RD

IN/R

CLK

I

SE

C/F

SC

RP

D1

U17

TR

600-

150

12

U20

TR

600-

150

12

D1

BA

V70

3

12

D2

BA

W56

3

1 2

U15

AT

GS

P-S

O24

NX

1 2

40 39

5 U15

BTG

SP

-SO

24N

X

3 4

38 37

36

P2A

CO

NN

_RJ4

5_4S

TA

CK

A1

A3

A5

A7

A2

A4

A6

A8

TP

191

R75

0E

TP

181

TP

171

TP

161

TP

141

TP

151

TP

201

U16 SiB

AR

1 2

R77

10E

_5P

_0W

125

R80

10E

_5P

_0W

125

R727R

5_5P

_0W

125

U19 SiB

AR

1 2

Page 38: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE: Connect 1

& 2 for E1

Connect 2 & 3 for T1NOTE

NOTE

NOTE

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

1015

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CT

_FR

AM

En

8,9,

11,1

2,14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

U21

TR

600-

150

12

D6

BA

V70

3

12

D5 BA

W56

3

1 2

R817R

5_5P

_0W

125

J4 CO

N3

1 2 3

R822E

_5P

_0W

125

D8

BA

V70

3

12D

7B

AW

56

3

1 2

R837R

5_5P

_0W

125

J5 CO

N3

1 2 3

R852E

_5P

_0W

125

U12

DQ

uad_

falc

129

128

127

126 18 19 130 17 16 15 14 12 13

144

142

138

137

XP

D2

XP

C2

XP

B2

XP

A2

XD

I2

SC

LKX

2R

CLK

2

RP

D2

RP

C2

RP

B2

RP

A2

RD

O2

SC

LKR

2

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL1

/RD

IP/R

OID

RL2

/RD

IN/R

CLK

I

U24

TR

600-

150

12

U22 SiB

AR

1 2

R86

10E

_5P

_0W

125

R89

10E

_5P

_0W

125

U25 SiB

AR

1 2

U15

CTG

SP

-SO

24N

X

8 9

33 32

10 U15

DT

GS

P-S

O24

NX

6 7

35 34

31

U23

TR

600-

150

12

U26

TR

600-

150

12

R84

0E

R88

100E_5P_0W125

J6

CO

N3

123

R87

120E_5P_0W125

P2B

CO

NN

_RJ4

5_4S

TA

CK

B1

B3

B5

B7

B2

B4

B6

B8

Page 39: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE: Connect 1

& 2 for E1

Connect 2 & 3 for T1

NOTE

NOTE

NOTE

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

1115

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CT

_FR

AM

En

8,9,

10,1

2,14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

R93

0EP

2C

CO

NN

_RJ4

5_4S

TA

CK

C1

C3

C5

C7

C2

C4

C6

C8

U29

TR

600-

150

12

U32

TR

600-

150

12

U28 SiB

AR

1 2

R95

10E

_5P

_0W

125

R98

10E

_5P

_0W

125

U31 SiB

AR

1 2

R907R

5_5P

_0W

125

J7 CO

N3

1 2 3

R912E

_5P

_0W

125

R927R

5_5P

_0W

125

J8 CO

N3

1 2 3

R942E

_5P

_0W

125

U30

TR

600-

150

12

U27

TR

600-

150

12

U12

EQ

uad_

falc

54 53 52 51 20 21 47 25 24 23 22 27 26

37 39 43 44

XP

D3

XP

C3

XP

B3

XP

A3

XD

I3

SC

LK3

RC

LK3

RP

D3

RP

C3

RP

B3

RP

A3

RD

O3

SC

LKR

3

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL1

/RD

IP/R

OID

RL2

/XD

IN/R

CLK

I

D10

BA

V70

3

12

D9 BA

W56

3

1 2

R97

100E_5P_0W125

J9

CO

N3

123

R96

120E_5P_0W125

D11

BA

V70

3

12

D12

BA

W56

3

1 2

U15

ET

GS

P-S

O24

NX

11 12

30 29

15

U15

FT

GS

P-S

O24

NX

13 14

28 27

26

Page 40: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE: Connect 1 & 2 for E1

Connect 2

& 3 for T1

NOTE

NOTE

NOTE

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

1215

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CT

_FR

AM

En

8,9,

10,1

1,14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

P2D

CO

NN

_RJ4

5_4S

TA

CK

D1

D3

D5

D7

D2

D4

D6

D8

U35

TR

600-

150

12

U38

TR

600-

150

12

U34 SiB

AR

1 2

R10

20E

R10

6

100E_5P_0W125

J12 C

ON

3

123

R10

5

120E_5P_0W125

R997R

5_5P

_0W

125

J10

CO

N3

1 2 3

R10

02E

_5P

_0W

125

R10

410

E_5

P_0

W12

5

R10

17R

5_5P

_0W

125

U12

FQ

uad_

falc

60 59 58 57 49 50 61 35 34 33 32 30 31

72 70 6566

XP

D4

XP

C4

XP

B4

XP

A4

XD

I4

SC

LKX

4R

CLK

4

RP

D4

RP

C4

RP

B4

RP

A4

RD

O4

SC

LKR

4

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL2

/RD

IN/R

CLK

I

RL1

/RD

IP/R

OID

J11

CO

N3

1 2 3

R10

32E

_5P

_0W

125

R10

710

E_5

P_0

W12

5

D14

BA

V70

3

12

D13 BA

W56

3

1 2

U37 SiB

AR

1 2

U36

TR

600-

150

12

U33

TR

600-

150

12

U15

GTG

SP

-SO

24N

X

18 19

23 22

20

D15

BA

W56

3

1 2

U15

HTG

SP

-SO

24N

X

16 17

25 24

21

D16

BA

V70

3

12

Page 41: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

REME

MBER

: D0

is

LSB

on QuadFALC

D0 i

s MS

B on

Motorola!!

REME

MBER

: A0

is

LSB

on QuadFALC

A31

is L

SB o

n Motorola!!

CT_D

0- T

rans

mit

to DSP (TDM0)

CT_D

1- R

X fr

om D

SP (TDM0)

CT_D

4 Tr

ansm

it t

o MPC8260

CT_D

5 Re

ciev

e fr

om MPC8260

J13 will connect to J9 connector of base card

J14 will connect to J7 connector of base card

J15 will connect to J8 connector of base card

<Doc

>2.

0

Bas

e B

oard

Con

nect

ors

B

1315

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

TD

M_G

PIO

1

CT

_D0

CT

_D4

CT

_D5

CT

_D1

TD

M_G

PIO

2

TD

M_G

PIO

0

CO

NN

_AD

24

CO

NN

_D15

CO

NN

_D8

CO

NN

_D3

CO

NN

_AD

22

CO

NN

_D13

CO

NN

_D4

CO

NN

_D5

CO

NN

_D9

CO

NN

_D12

CO

NN

_AD

23

CO

NN

_D15

CO

NN

_AD

28

CO

NN

_AD

29

CO

NN

_D14

CO

NN

_D8

CO

NN

_D5

CO

NN

_D0

CO

NN

_D0

CO

NN

_AD

23

CO

NN

_AD

24

CO

NN

_D7

CO

NN

_AD

31

CO

NN

_AD

29

CO

NN

_D2

CO

NN

_D1

CO

NN

_AD

25

CO

NN

_D1

CO

NN

_D6

CO

NN

_D11

CO

NN

_D10

CO

NN

_D14

CO

NN

_AD

30

CO

NN

_D9

CO

NN

_AD

30

CO

NN

_AD

27

CO

NN

_AD

25

CO

NN

_D6

CO

NN

_D13

CO

NN

_AD

22

CO

NN

_D2

CO

NN

_D7

CO

NN

_D4

CO

NN

_D12

CO

NN

_D3

CO

NN

_D11

CO

NN

_AD

27

CO

NN

_AD

31

CO

NN

_AD

26

CO

NN

_AD

28

CO

NN

_AD

26

CO

NN

_D10 C

ON

N_A

D[2

2:31

]7,

8,15

TD

M_R

ES

ET

8,15

TD

M_S

PIC

LK3,

4

TD

M_S

PIM

OS

I3,

4

TD

M_S

PI_

CS

03

PQ

2_C

S_T

DM

215

TD

M_G

PL1

7,8,

15

PQ

2_C

S_T

DM

18

TD

M_T

O_P

Q2_

INT3

4

JTA

G_T

CK

8

TD

M_T

O_P

Q2_

INT2

3

TD

M_G

PL2

7,8,

15

CT

_D5

7C

T_D

47

CT

_D0

7C

T_D

17

CT

_FR

AM

E_A

15

CT

_C8_

A3,

4,9,

14,1

5

CO

NN

_D[0

:15]

7,8,

15

TD

M_T

DI

8T

DM

_TD

O8

TD

M_T

O_P

Q2_

INT1

8

TD

M_S

PI_

CS

14

JTA

G_T

RS

T8

JTA

G_T

MS

8

TD

M_S

PIM

OS

O3,

4

+5V

+5V

+5V

+5V

+3.3

V+5

V

+3.3

V+3

.3V

DGND

DGND

DGND

DGND

DGND

DGND

R13

30E

R13

40E

J14

HE

AD

ER

32x2

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64

J15

HE

AD

ER

32x2

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64

TP

351

TP

361

TP

371

J13

HE

AD

ER

32x2

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64

Page 42: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWOR

KS

TO BASE BOARD CONNECTOR -- 8.192MHz

TO IDT SWITCH---4.096MHz

TO IDT SWITCH --- 16.384MHz

DuSLIC/FALC

DNP

: Do

Not

Place

DNP

To Q

uadF

ALC

DNP

8 KH

z FS

C si

gnal

from QuadFALC

TO BASE BOARD CONNECTOR -- 8KHz

<Doc

>2.

0

CLO

CK

SY

NC

HR

ON

IZA

TIO

N C

IRC

UIT

B

1415

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CLK

_OS

C

CLK

_OS

C

PLD

_MO

DE

_sel

015

PLD

_TC

LRn

15

CT

_C8_

A3,

4,9,

13,1

5

PLD

-MO

DE

_sel

115

PLD

_F_s

el1

15P

LD_F

_sel

015

HC

LK7

NO

RM

AL_

PLD

15

CLK

7

LOC

K_P

LD15

PLD

_TIE

_en

15

RC

LK1

9

PLD

_WA

N_P

LL_R

ST

n15

HO

LDO

VE

R_P

LD15

CT

_WF

RA

ME

n7,

15C

T_F

RA

ME

3,4,

15

CT

_FR

AM

En

8,9,

10,1

1,12

CT

_ST

FR

AM

En

7,15

PLD

_QF

ALC

_FR

AM

E15

FS

C_Q

FA

LC9

DV

DD

3V3

DV

DD

3V3

DGND

DV

DD

3V3

DGND

DGND

DGND

DGND

DV

DD

3V3

DV

DD

3V3

DV

DD

3V3

DGND

DV

DD

3V3

C88

0_1u

F

TP

21 1

C89

0_1u

F

U41 N

C7S

04

24

35

INO

UT*

GN

D

VC

C

TP

321

TP

331

TP

341

R11

10E

R11

20E

TP

38 1

R11

310

K

R13

00E

C84 0_1u

F

R12

90E

R10

8

10K

TP

24 1

TP

23 1

TP

22 1

TP

25 1

R13

70E

R13

60E

U40

IDT

82V

3001

1319263748

1218273847

49 50

10 2 1 4 3 56 4595

44 52 46 51 25 24 2320 17 16 15 14 40 39 3336

29

41 42

32 30 28 31

535455

6 7 8 11 21 22 34 35 43

VDDVDDVDDVDDVDD

VSSVSSVSSVSSVSS

OS

Co

OS

Ci

F_s

el1

MO

DE

_sel

1M

OD

E_s

el0

RS

T

TC

LRT

IE_e

n

FLO

CK

F_s

el0

Fre

f

LOC

K

HO

LDO

VE

RN

OR

MA

LF

RE

ER

UN

C32

o

C16

oC

8o

C4o

C2o

C3o

C1.

5oC

6o

F32

oF

16o

F0o

F8o

TDO

RS

PTS

P

TDI

TR

ST

TCK

TM

S

IC0IC1IC2

IC IC IC IC IC IC IC IC IC

U39

FO

X_F

7C-2

E3

1

14 7

8E

/D

VDD GNDO/P

R11

00E

R11

4

0E

R13

8

10K

TP

301

C85

0_1u

F

C86

0_1u

F

C87

0_1u

FR

109

33E

12

Page 43: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

SYNC

:Sy

nchr

onou

s cl

ock

from Central Office

FROM BASEBOARD CONNECTOR

AURA

L NE

TWORKS

FROM BASE BOARD CONNECTOR

FROM BASE BOARD CONNECTOR

FROM BASE BOARD CONNECTOR

FROM BASEBOARD C

ONNECTOR

DNP:

Do

Not

Plac

e

To B

ase

boar

d co

nnectors

From

WAN

PLL

From

WAN

PLL

From

WAN

PLL

FROM BASEBOARD CONNECTOR

<Doc

>2.

0

CP

LD a

nd P

ower

B

1515

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CO

NN

_AD

22

TC

K_P

LDT

DI_

PLD

TD

O_P

LDT

MS

_PLD

TD

I_P

LD

TC

K_P

LD

TD

O_P

LD

TM

S_P

LDP

LD_L

OS

_FA

LC

CO

NN

_AD

30C

ON

N_A

D29

CO

NN

_AD

28C

ON

N_A

D27

CO

NN

_D15

CO

NN

_D14

CO

NN

_D13

CO

NN

_D12

CO

NN

_D[0

:15]

7,8,

13

CO

NN

_AD

[22:

31]

7,8,

13CO

NN

_AD

[22:

31]

7,8,

13

PQ

2_C

S_T

DM

213

LOC

K_P

LD14

NO

RM

AL_

PLD

14

TD

M_R

ES

ET

8,13

PLD

_ID

T_C

Sn

7C

T_C

8_A

3,4,

9,13

,14

PLD

_MO

DE

_sel

014

PLD

-MO

DE

_sel

114

PLD

_F_s

el0

14P

LD_F

_sel

114

PLD

_TC

LRn

14P

LD_T

IE_e

n14

PLD

_DuS

LIC

_TS

I_R

ST

n3,

4,7

HO

LDO

VE

R_P

LD14

TD

M_G

PL1

7,8,

13T

DM

_GP

L27,

8,13

PLD

_WA

N_P

LL_R

ST

n14

CT

_FR

AM

E3,

4,14

CT

_FR

AM

E_A

13

CT

_ST

FR

AM

En

7,14

CT

_WF

RA

ME

n7,

14

PLD

_QF

ALC

_FR

AM

E14

DV

DD

3V3 DGND

DV

DD

3V3

DGND

DV

DD

5V

DGND

VB

ATL

VB

ATH

+5V

DV

DD

3V3

DV

DD

5VD

VD

D5

DV

DD

3V3

+3.3

V3V

3

VH

RV

HR

X+5

V

DGND

VH

RX

3V3

DV

DD

5V

BA

THX

VB

ATH

XDG

NDDG

ND

DV

DD

5VD

VD

D3V

3V

HR

VB

ATH

X

DGND

DV

DD

3V3

DGND

DGND

DGND

DGND

LED

6

1 2

LED

7

1 2

LED

8

1 2

LED

9

12

TP

39

1

R12

4

10K

2 1

R11

5

330E

R12

6

10K

2 1

R12

5

10K

2 1

J20

JUM

PE

R3

123

D19

IN40

04

12

D18

IN40

04

12

J18

JUM

PE

R3

123

D20

IN40

04

12

C95

100n

F_1

0P_2

00V

J19

JUM

PE

R3

123

D17

IN40

04

12

J17

CO

N6_

Pow

erS

uppl

y

1 2 3 4 5 6T

P40

1T

P29 1

LED

5

12

U42

XC

R30

32X

L_5V

Q44

I

42 43 44 2 3 5 6 8 10 11 12 13 14 15 18 19 20 21 22 23

25 27 28 30 31 33 34 35

9172941

162436

440 39 38 37 26 1 32 7

I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O

I/O I/O I/O I/O I/O I/O I/O I/O

VccVccVccVcc

GNDGNDGND

PO

RT

_EN

IN0/

CLK

0IN

1/C

LK1

IN2/

CLK

2IN

3/C

LK3

TCK

TDI

TDO

TM

S

C92

0_1u

F

C93

0_1u

F

C90

0_1u

F

C91

0_1u

F

TP

311

R12

0

10K

2 1

R11

9

330E

2 1

R11

8

330E

2 1

R11

7

330E

2 1

J16

CO

NN

_JT

AG

_PLD

1 2 3 4 5 6 7 8 9

C94 0_1u

F

R11

6

22K

Page 44: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

+24V

-48V

+48V

+5VI

N

+5VI

N

+3.3

V+3

.3V

+5VI

N

+5VI

N

+3.3

V

+5VI

N

PWR

_ON

+5VI

N+3

.3V

PWR

_ON

Nam

eR

evD

ate:

Shee

tof

Title

Bloc

k

0.1

Pack

et te

lelp

hony

Mot

orol

aC

opyr

ight

200

11

Tues

day,

Feb

ruar

y 10

, 200

4

Sche

mat

ic

Ric

h C

utle

r

PDK_

POTS

_PW

RN

ame

Rev

Dat

e:Sh

eet

of

Title

Bloc

k

0.1

Pack

et te

lelp

hony

Mot

orol

aC

opyr

ight

200

11

Tues

day,

Feb

ruar

y 10

, 200

4

Sche

mat

ic

Ric

h C

utle

r

PDK_

POTS

_PW

RN

ame

Rev

Dat

e:Sh

eet

of

Title

Bloc

k

0.1

Pack

et te

lelp

hony

Mot

orol

aC

opyr

ight

200

11

Tues

day,

Feb

ruar

y 10

, 200

4

Sche

mat

ic

Ric

h C

utle

r

PDK_

POTS

_PW

R

RESETTABLE

FUSE

The

purpose

of

this

board

is

to

provide

power

to

the

PSTN

card

of

the

Smart

Packet

Telephony

Development

Kit.

Pins1-5:ToPSTNCard

Pins6-8:ToBaseboard

Pins9-10:ToOn/OFFSwitch

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U4

NM

T057

2S

U4

NM

T057

2S

1 2 3 4 5 6 7 8 9 10

J2 EDG

E PA

DS

J2 EDG

E PA

DS

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U2

NM

T057

2S

U2

NM

T057

2S

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U5

NM

T057

2S

U5

NM

T057

2S

VIN 1

GND 2

0V 5

V01 6

V02 7

V03 8

U3

NM

T057

2S

U3

NM

T057

2S

11

22

U6 RG

E400

U6 RG

E400

1 2 3 4 5 6 7 8 9 10

11 12 13 14 15 16 17 18 19 20

J1

ATX

INPU

T Ty

co 2

-794

664-

0

J1

ATX

INPU

T Ty

co 2

-794

664-

0

Page 45: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

Pack

et T

elep

hony

Dev

elop

ment

Kit

PO

TS c

ard

Rev

2.0

Revi

sion

Chan

ge f

rom

prev

ious

ver

sion

1.0

Init

ial

Revi

sion

.

2.0

Swap

ping

of

the

SPIM

OSI

and

SPIM

OSO

signals.

DATE

18/1

1/2002

06/0

6/2003

AURA

L NE

TWOR

KS

Thre

e le

vel

rese

t co

nfig

urat

ion.

Prov

ided

nar

rowe

r fr

ame

puls

e (F

16o/

fro

m WAN PLL)

for

the

TSI

to o

pera

te i

n ST

-BUS

mode.

Brou

ght

F8o,

F0o

/, F

16o/

(fr

om W

AN P

LL)

to CPLD.

-->Q

uadF

ALC,

CPL

D--

>WAN

PLL

-->T

SI,

DuSL

IC

Fram

e Sy

nc f

or B

ASE

BOAR

D Co

nnec

tors

is

prov

ided

from CPLD

<Doc

>2.

0

RE

VIS

ION

HIS

TO

RY

B

115

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

Page 46: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

POTS

BLO

CK S

CHEM

ATIC

RJ45

DuSL

IC

RJ11

PCM3

TO BASEBOARD CONNECTORS

T1/E

1

RJ11

RJ11

AURA

L NE

TWORKS

SLIC

RJ45

SWIT

CH51

2 x

512

PCM0

PCM1

SLIC

PCM0

SERI

AL BUS

DuSL

IC

Quad

FALC

PCM2

RJ45

T1/E

1

uP B

US

RJ45

PCM3

RJ11

SLIC

T1/E

1

RCLK1

T1/E

1

uP B

US

SLIC

uP B

US

CODE

C

CODE

C

WAN PLL

8KHz

16.3

84MH

z

8.19

2MHz

4.09

6MHz

CPLDIDT_CS#

(Inf

ineo

n)

(Infineon)

(Infineon)

(Inf

ineo

n)

(Inf

ineo

n)

(Inf

ineo

n)

(Inf

ineo

n)

(IDT

)

(IDT

)

(Xil

inx)

<Doc

>2.

0

Pot

s C

ard

Blo

ck S

chem

atic

B

215

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

Page 47: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

TO BASEBOARD

From C

PLD

AURA

L NE

TWORKS

47uF

100m

H

From

WAN

PLL

From

WAN

PLL

From

WAN

PLL

To W

AN PLL

Hook

sta

tus

Indicators

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

315

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

VC

M1

VC

M1

AC

P_1

A5

C1_

1A5

C1_

1B5

DC

P_1

B5

AC

P_1

B5

C2_

1A5

DC

P_1

A5

IL_1

B5

DC

N_1

A5

IT_1

A5

IT_1

B5

TD

M_T

O_P

Q2_

INT2

13T

DM

_SP

I_C

S0

13

CT

_C8_

A4,

9,13

,14,

15

RX

24,

7TX

24,

7

TD

M_S

PIM

OS

I4,

13

CT

_FR

AM

E4,

14,1

5

IL_1

A5

TD

M_S

PIC

LK4,

13

VC

MS

_15

C2_

1B5

AC

N_1

A5

AC

N_1

B5

DC

N_1

B5

TD

M_S

PIM

OS

O4,

13

PLD

_DuS

LIC

_TS

I_R

ST

n4,

7,15

DV

DD

3V3

DGND

AV

DD

3V3

DV

DD

3V3

DGND

AV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

DGND

DGND

DGND

DV

DD

3V3

R11

680E

_1P

_0W

125

C10

680n

F_1

0P_1

6V

R12

470E

_1P

_0W

125

R3

470E

_1P

_0W

125

R13

1K6_

1P_0

W12

5

R2

680E

_1P

_0W

125

LED

2

12

C12

120n

F_1

0P_2

5VR

9

330E

1 2

C11

68nF

_10P

_50V

C13

680n

F_1

0P_1

6V

R8

0E

R7

0E

R4

0E

C9

680n

F_1

0P_1

6V

R5

0E

LED

1

12

C8

120n

F_1

0P_2

5V

R6

1K6_

1P_0

W12

5

CHANNEL A CHANNEL B

PCM

U1

PE

B32

65

43 42 47 44 49 48 4645 51 52 53 50 57 56 58 6 7 2 5 64 1 4 3 62 61 60 635540

2430

9

54418

2531

5932 2114 15 16 23 19 2018 3417 22 27 2826 29 33 35 13 12 11 10 36 37 38 39

AC

PA

AC

NA

DC

PA

DC

NA

C1A

C2A

CD

CP

A

CD

CN

A

ITA

CA

ITA

VC

MIT

A

ILA

VC

MV

CM

SC

RE

F

AC

PB

AC

NB

DC

PB

DC

NB

C1B

C2B

CD

CN

B

CD

CP

BIT

AC

B

ITB

VC

MIT

B

ILB

GNDRGNDA

GNDDGNDPLL

GNDB

VDDRVDDAVDDB

VDDDVDDPLL

SE

LCLK

PC

M/IO

M-2

SE

L24/

DR

A

TS

0/D

IN

TS

1/D

CLK

TS

2/C

S

FS

CD

CL/

PC

LK

DD

/DR

B

DU

/DO

UT

RE

SE

T

INT

MC

LK

DX

A

DX

B

TCA

TCB

RS

YN

C

TE

ST

IO4B

IO3B

IO2B

IO1B

IO4A

IO3A

IO2A

IO1A

R1

10K

TP1

1

+C2

C6 10

0nF

_10P

_50V

R12

20E

C1 0_

1uF

L1

C14

680n

F_1

0P_1

6V

C7 10

0nF

_10P

_50V

C4

100n

F_1

0P_5

0V

C3

100n

F_1

0P_5

0V

TP5

1TP

41

R10

330E

1 2

TP2

1LE

D11

12

LED

10

12

R13

9

330E

1 2

R14

0

330E

1 2

C5

100n

F_1

0P_5

0V

Page 48: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

TO BASEBOARD

AURA

L NE

TWORKS

To W

AN PLL

From

WAN

PLL

From

WAN

PLL

From

WAN

PLL

From

CPLD

Hook

sta

tus

Indicators

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

415

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

VC

M2

VC

M2

AC

P_2

A6

AC

N_2

A6

C1_

2A6

C1_

2B6

DC

P_2

B6

AC

P_2

B6

C2_

2B6

VC

MS

_26

C2_

2A6

IL_2

A6

DC

P_2

A6

IL_2

B6

DC

N_2

B6

AC

N_2

B6

DC

N_2

A6

IT_2

A6

IT_2

B6

TD

M_T

O_P

Q2_

INT3

13

TD

M_S

PIM

OS

I3,

13

TD

M_S

PIC

LK3,

13T

DM

_SP

I_C

S1

13

CT

_FR

AM

E3,

14,1

5C

T_C

8_A

3,9,

13,1

4,15

PLD

_DuS

LIC

_TS

I_R

ST

n3,

7,15

RX

23,

7TX

23,

7

TD

M_S

PIM

OS

O3,

13

DV

DD

3V3

DV

DD

3V3

AV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DV

DD

3V3

R14 10

K

R21

0E

R18

0E

R17

0E

R20

0E

R24

680E

_1P

_0W

125

C22

680n

F_1

0P_1

6V

R25

470E

_1P

_0W

125

R16

470E

_1P

_0W

125

R26

1K6_

1P_0

W12

5

R15

680E

_1P

_0W

125

C24

120n

F_1

0P_2

5V

C23

68nF

_10P

_50V

C25

680n

F_1

0P_1

6V

C21

680n

F_1

0P_1

6V

C20

120n

F_1

0P_2

5V

R19

1K6_

1P_0

W12

5

C26

680n

F_1

0P_1

6V

CHANNEL A CHANNEL B

PCM

U2

PE

B32

65

43 42 47 44 49 48 4645 51 52 53 50 57 56 58 6 7 2 5 64 1 4 3 62 61 60 63

5540

2430

9

54418

2531

5932 2114 15 16 23 19 2018 3417 22 27 2826 29 33 35 13 12 11 10 36 37 38 39

AC

PA

AC

NA

DC

PA

DC

NA

C1A

C2A

CD

CP

A

CD

CN

A

ITA

CA

ITA

VC

MIT

A

ILA

VC

MV

CM

SC

RE

F

AC

PB

AC

NB

DC

PB

DC

NB

C1B

C2B

CD

CN

B

CD

CP

BIT

AC

B

ITB

VC

MIT

B

ILB

GNDRGNDA

GNDDGNDPLL

GNDB

VDDRVDDAVDDB

VDDDVDDPLL

SE

LCLK

PC

M/IO

M-2

SE

L24/

DR

A

TS

0/D

IN

TS

1/D

CLK

TS

2/C

S

FS

CD

CL/

PC

LK

DD

/DR

B

DU

/DO

UT

RE

SE

T

INT

MC

LK

DX

A

DX

B

TCA

TCB

RS

YN

C

TE

ST

IO4B

IO3B

IO2B

IO1B

IO4A

IO3A

IO2A

IO1A

C15 10

0nF

_10P

_50V

C16 10

0nF

_10P

_50V

C18

100n

F_1

0P_5

0V

C17

100n

F_1

0P_5

0V

C19

100n

F_1

0P_5

0V

LED

4

12

LED

3

12

R22

330E

1 2

R23

330E

1 2

LED

13

12

LED

12

12

R14

1

330E

1 2

R14

2

330E

1 2

TP

111

TP

101

TP8

1TP

71

R12

30E

Page 49: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

100m

H

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

515

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

RIN

G1

TIP

1

TIP

2

RIN

G2

AC

N_1

A3

AC

P_1

A3

DC

N_1

A3

DC

P_1

A3

C1_

1A3

C2_

1A3

IT_1

A3

IL_1

A3

VC

MS

_13

DC

P_1

B3

IT_1

B3

C1_

1B3

IL_1

B3

AC

P_1

B3

DC

N_1

B3

AC

N_1

B3

VC

MS

_13

C2_

1B3

AV

DD

5V

VH

RV

BA

TH

AV

DD

5V

VB

ATH

VH

R

DV

DD

5VA

VD

D5V

DGND

VB

ATL

VH

RV

BA

TH

VB

ATH

VH

RV

BA

TLDG

ND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

C40

100n

F_1

0P_2

00V

C41 100n

F_1

0P_2

00V

U3

PE

B42

65

11 12 13 14 15 17183

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHRR

ING

TIP

IT IL

C32

470n

F_1

0P_1

6V

C33

220n

F_2

0P_2

00V

C39

100n

F_1

0P_2

00V

P1A

CO

NN

_RJ1

1_4S

TA

CK

A4

A3

A6

A2

A5

A1

R31

20E

_1P

_0W

5

P1B

CO

NN

_RJ1

1_4S

TA

CK

B4

B3

B6

B2

B5

B1

R33

30E

_0P

5_0W

5C

45

220n

F_2

0P_2

00V

C46

15nF

_10P

_100

V

U4

LCP

02_1

50B

1S

O8_

300

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

R34

20E

_1P

_0W

5

R28

30E

_0P

5_0W

5

C44

220n

F_2

0P_2

00V

R29

30E

_0P

5_0W

5

R27

20E

_1P

_0W

5

C42

15nF

_10P

_100

V

R30

20E

_1P

_0W

5

C43

470n

F_1

0P_1

6V

C27

100n

F_1

0P_5

0V

C31

15nF

_10P

_100

V

C35

15nF

_10P

_100

V

U6

LCP

02_1

50B

1S

O8_

300

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

C34

220n

F_2

0P_2

00V

R32

30E

_0P

5_0W

5

C29

100n

F_1

0P_2

00V

C28

100n

F_1

0P_2

00V

C30

100n

F_1

0P_2

00V

C38

100n

F_1

0P_5

0VU

5P

EB

4265

11 12 13 14 15 1718

3

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHR

RIN

GTI

P

IT IL

C37

0_1u

F

+C

36

47U

F_1

6V

L3

Page 50: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

<Doc

>2.

0

Ana

log

Sub

scrib

er L

ine

Inte

rfac

e

B

615

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

RIN

G3

TIP

3

RIN

G4

TIP

4

VC

MS

_24

AC

N_2

A4

AC

P_2

A4

DC

N_2

A4

DC

P_2

A4

C1_

2A4

C2_

2A4

IT_2

A4

IL_2

A4

DC

P_2

B4

IT_2

B4

C1_

2B4

IL_2

B4

AC

P_2

B4

DC

N_2

B4

AC

N_2

B4

VC

MS

_24

C2_

2B4

AV

DD

5V

VH

RV

BA

TH

AV

DD

5V

VH

RV

BA

TH

VB

ATL

VB

ATH

VH

R

VB

ATL

VH

RV

BA

TH

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

DGND

C52

470n

F_1

0P_1

6V

C53

220n

F_2

0P_2

00V

P1C

CO

NN

_RJ1

1_4S

TA

CK

C4

C3

C6

C2

C5

C1

R39

20E

_1P

_0W

5

P1D

CO

NN

_RJ1

1_4S

TA

CK

D4

D3

D6

D2

D5

D1

R41

30E

_0P

5_0W

5C

63

220n

F_2

0P_2

00V

C64

15nF

_10P

_100

V

U8

LCP

02_1

50B

1

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

R42

20E

_1P

_0W

5

R36

30E

_0P

5_0W

5

C60

15nF

_10P

_100

V

R37

30E

_0P

5_0W

5

C62

220n

F_2

0P_2

00V

R35

20E

_1P

_0W

5

C61

470n

F_1

0P_1

6V

R38

20E

_1P

_0W

5

C47

100n

F_1

0P_5

0VC

49

100n

F_1

0P_2

00V

C48

100n

F_1

0P_2

00V

C50

100n

F_1

0P_2

00V

C51

15nF

_10P

_100

V

U10

LCP

02_1

50B

1

1

23

4

56 7

8

TIP

GN

GP

RING

NC

GN

DG

ND

NC

C55

15nF

_10P

_100

V

C54

220n

F_2

0P_2

00V

R40

30E

_0P

5_0W

5

U7

PE

B42

65

11 12 13 14 15 1718

3

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHR

RIN

GTI

P

IT IL

C56

100n

F_1

0P_5

0VU

9P

EB

4265

11 12 13 14 15 1718

3

9

81610

5674

12

20 19

VC

MS

AC

NA

CP

DC

ND

CP

C2

C1

BGND

AGND

NC

NC

CE

XT

VDDVBATLVBATH

VHR

RIN

GTI

P

IT IL

C58

100n

F_1

0P_2

00V

C57

100n

F_1

0P_2

00V

C59 100n

F_1

0P_2

00V

Page 51: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

TO BASEBOARD C

ONNECTOR

NOTE

2

From CPLD

NOTE

1

TO BASEBOARD C

ONNECTOR

TO BASEBOARD CONNECTOR

From C

PLD

TO BASEBOARD C

ONNECTOR

TO BASEBOARD C

ONNECTOR

TO BASEBOARD C

ONNECTOR AURA

L NE

TWORKS

NOTE 1&2 :

Motorola demulti

plexed mode

consid

ered

NOTE

3

NOTE 3 :

WFPS=1, WFPS Mode

WFPS=0, ST_BUS Mode

TO BASEBOARD C

ONNECTOR

DNP

DNP

: Do

Not

Place

From

WAN

PLL

--4

.096MHz

From

WAN

PLL

--

8K

Hz

Fram

e Sync In ST-Bus mode

From

WAN

PLL

--

16.384MHz

DNP

PCM Description

0 DSP

1 FALC

2 DuSLIC

3 BASE CARD

TO BASEBOARD C

ONNECTOR

DNP

DNP

NOTE

4

NOTE 4 :

WF Mode --

Place R127

ST_BUS Mode --

Place R128

From

WAN

PLL

--

8K

Hz

Fram

e Sync In WF-Bus mode

<Doc

>2.

0

Dig

ital S

witc

h

B

715

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CO

NN

_D12

CO

NN

_AD

28

CO

NN

_D14

CO

NN

_AD

27

CO

NN

_D11

CO

NN

_D9

CO

NN

_D3

CO

NN

_AD

25

CO

NN

_AD

23

CO

NN

_D5

CO

NN

_D1

CO

NN

_AD

30

CO

NN

_D15

CO

NN

_AD

29

CO

NN

_D7

CO

NN

_AD

26

CO

NN

_D10

CO

NN

_AD

24

CO

NN

_D0

CO

NN

_D8

CO

NN

_D13

CO

NN

_D6

CO

NN

_D4

CO

NN

_D2

TD

M_G

PL1

8,13

,15

TD

M_G

PL2

8,13

,15

PLD

_DuS

LIC

_TS

I_R

ST

n3,

4,15

CT

_D5

13TX

23,

4

CO

NN

_D[0

:15]

8,13

,15

CT

_D4

13

HC

LK14

CT

_D0

13

CLK

14

CT

_D1

13P

LD_I

DT

_CS

n15

RX

23,

4R

X1

9

TX1

9

CT

_ST

FR

AM

En

14,1

5

CO

NN

_AD

[22:

31]

8,13

,15

CT

_WF

RA

ME

n14

,15

DGND

DV

DD

3V3

DV

DD

3V3

DGND

DV

DD

3V3

DGND

DGND

DGND

R46 10K

R44

10K

R56

22K

R12

80E

R12

70E

TP

131

M I C R O P R O C E S S O R I N T E R F A C E INT

CO

NN

T X

GR

OU

ND

R X

PO

WE

R

U11 IDT

72V

7080

0

303127 2928 19 20 21 22 23 24 25 26

51

33 34 35 36 37 38 39 40 43 44 45 46 47 48 49 50

1310111855 56 57 58 2 3 4 554

678959606162

11232425363

14

64

151617

41

52

AS

/ALE

IMDS

/RD

CS

R/W

/WR

A0

A1

A2

A3

A4

A5

A6

A7

DTA

AD

0A

D1

AD

2A

D3

AD

4A

D5

AD

6A

D7

D8

D9

D10

D11

D12

D13

D14

D15

CLKFO

iF

E/H

CLK

WF

PS

TX0

TX1

TX2

TX3

RX

0R

X1

RX

2R

X3

OD

E

ICICICICICICICIC

GNDGNDGNDGNDGNDGND

VCC

VCC

DN

CD

NC

RE

SE

T

VCC

DN

C

R45

10K

R47 0E

C65 0_

1uF

R48

0ER

490E

R50

0E

R53

0ER

540E

R51

0E

R52

0E

R55

0E

R43

10K

C66 0_

1uF

C67 0_

1uF

Page 52: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

FROM BASEBOARD C

ONNECTOR

AURA

L NE

TWORKS

CLOC

K

OSC

ILLATOR

BASEBOARD CO

NNECTOR

TO BASEBOARD C

ONNECTOR

DNP

DNP

: Do

Not

Place

Sign

al

D

escription

TDM_

GPL1

R/W#

TDM_

GPL2

DS#

DNP

DBW

D

escription

0

8 bit

1

16 bit

IM

De

scription

0

Intel mode

1

Mot

orola mode

FROM BASEBOARD C

ONNECTOR

FROM BASEBOARD C

ONNECTOR

FROM BASEBOARD C

ONNECTOR

<Doc

>2.

0

QU

AD

FA

LC

B

815

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

MC

LK

MC

LK

CO

NN

_D0

CO

NN

_AD

30

CO

NN

_D4

CO

NN

_D2

CO

NN

_AD

23

CO

NN

_AD

26

CO

NN

_AD

22

CO

NN

_AD

24

CO

NN

_AD

28C

ON

N_D

3

CO

NN

_D6

CO

NN

_D5

CO

NN

_AD

31

CO

NN

_AD

27

CO

NN

_AD

29

CO

NN

_AD

25C

ON

N_D

1

CO

NN

_D7

CO

NN

_D[0

:15]

7,13

,15

TD

M_R

ES

ET

13,1

5

TD

M_T

O_P

Q2_

INT1

13

JTA

G_T

RS

T13

TD

M_G

PL2

7,13

,15

CT

_FR

AM

En

9,10

,11,

12,1

4

CO

NN

_AD

[22:

31]

7,13

,15

TD

M_T

DO

13

PQ

2_C

S_T

DM

113

JTA

G_T

MS

13

TD

M_G

PL1

7,13

,15

TD

M_T

DI

13

JTA

G_T

CK

13

DV

DD

3V3

DV

DD

3V3

AV

DD

3V3

DGND

DV

DD

3V3

DGND

AV

DD

3V3

DV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

DV

DD

3V3

DV

DD

3V3

DGND

DGND

DGND

R58

10K

TP

261

VIT

E_V

CB

2_B

0F_1

6M38

4

U13

14 7

8

1

VDD GNDoutp

ut

TRI-S

TATE

C76 0_

1uF

U12

AQ

uad_

falc

74 75 76 77 78 79 80 81 82 83 46 8786 8541 40 6862 84 131

140

141

113

112

88 89 90 93 94 95 96 97 98 99 100

103

104

105

106

107

134

133

48 118

63

A0

A1

A2

A3

A4

A5

A6

A7

A8

A9

RE

S

BH

E/B

LE

CS

RD

/DS

INT

DB

WIMA

LE

WR

/R/W

TRS

TCK

TM

STD

OTD

I

D0

D1

D2

D3

D4

D5

D6

D7

D8

D9

D10

D11

D12

D13

D14

D15

XTA

L/N

CM

CLK

SY

NC

NC

NC

C77 0_

1uF

R70

0E

C78 0_

1uF

U12

BQ

uad_

falc

38 71 110

143 42 67 114

139 10 28 55 91 101

124

132

1 36 73 108

45 64 117

136

11 29 56 92 102

125

135

VD

DX

1V

DD

X2

VD

DX

3V

DD

X4

VD

DR

1V

DD

R2

VD

DR

3V

DD

R4

VD

DV

DD

VD

DV

DD

VD

DV

DD

VD

D

VS

SX

1V

SS

X2

VS

SX

3V

SS

X4

VS

SR

1V

SS

R2

VS

SR

3V

SS

R4

VS

SV

SS

VS

SV

SS

VS

SV

SS

VS

S

R68

27E C

79 0_1u

F

R71

10K

C80 0_

1uF

R12

1

0E

C81 0_

1uF

C82 0_

1uF

C83 0_

1uF

C69 0_

1uF

C70 0_

1uF

C71 0_

1uF

R57

10K

C72 0_

1uF

R69

0E

C73 0_

1uF

R59

10K

C74 0_

1uF

C75 0_

1uF

R60

10K

R61

10K

R62

10K

R63

10K

R64

10K

R65

10K

R66

10K

R67

10K

C68

0_1u

F

Page 53: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE

NOTE

NOTE

NOTE: Connect 1

& 2 for E1

Connect 2 & 3 for T1

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

915

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

RC

LK1

14C

T_C

8_A

3,4,

13,1

4,15

TX1

7

CT

_C8_

A3,

4,13

,14,

15

RX

17

CT

_FR

AM

En

8,10

,11,

12,1

4

FS

C_Q

FA

LC14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

J1 CO

N3

1 2 3

R732E

_5P

_0W

125

R747R

5_5P

_0W

125

J2 CO

N3

1 2 3

R762E

_5P

_0W

125

U18

TR

600-

150

12

U14

TR

600-

150

12

D4

BA

V70

3

12

D3 BA

W56

3

1 2

R78

120E_5P_0W125

R79

100E_5P_0W125

J3

CO

N3

123

U12

CQ

uad_

falc

123

122

121

120 2 3

119 6 5 4 9 8

109

111

115

116

697

XP

D1

XP

C1

XP

B1

XP

A1

XD

I1

SC

LKX

1R

CLK

1

RP

C1

RP

B1

RP

A1

RD

O1

SC

LKR

1

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL1

/RD

IP/R

OID

RL2

/RD

IN/R

CLK

I

SE

C/F

SC

RP

D1

U17

TR

600-

150

12

U20

TR

600-

150

12

D1

BA

V70

3

12

D2

BA

W56

3

1 2

U15

AT

GS

P-S

O24

NX

1 2

40 39

5 U15

BTG

SP

-SO

24N

X

3 4

38 37

36

P2A

CO

NN

_RJ4

5_4S

TA

CK

A1

A3

A5

A7

A2

A4

A6

A8

TP

191

R75

0E

TP

181

TP

171

TP

161

TP

141

TP

151

TP

201

U16 SiB

AR

1 2

R77

10E

_5P

_0W

125

R80

10E

_5P

_0W

125

R727R

5_5P

_0W

125

U19 SiB

AR

1 2

Page 54: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE: Connect 1

& 2 for E1

Connect 2 & 3 for T1NOTE

NOTE

NOTE

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

1015

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CT

_FR

AM

En

8,9,

11,1

2,14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

U21

TR

600-

150

12

D6

BA

V70

3

12

D5 BA

W56

3

1 2

R817R

5_5P

_0W

125

J4 CO

N3

1 2 3

R822E

_5P

_0W

125

D8

BA

V70

3

12D

7B

AW

56

3

1 2

R837R

5_5P

_0W

125

J5 CO

N3

1 2 3

R852E

_5P

_0W

125

U12

DQ

uad_

falc

129

128

127

126 18 19 130 17 16 15 14 12 13

144

142

138

137

XP

D2

XP

C2

XP

B2

XP

A2

XD

I2

SC

LKX

2R

CLK

2

RP

D2

RP

C2

RP

B2

RP

A2

RD

O2

SC

LKR

2

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL1

/RD

IP/R

OID

RL2

/RD

IN/R

CLK

I

U24

TR

600-

150

12

U22 SiB

AR

1 2

R86

10E

_5P

_0W

125

R89

10E

_5P

_0W

125

U25 SiB

AR

1 2

U15

CTG

SP

-SO

24N

X

8 9

33 32

10 U15

DT

GS

P-S

O24

NX

6 7

35 34

31

U23

TR

600-

150

12

U26

TR

600-

150

12

R84

0E

R88

100E_5P_0W125

J6

CO

N3

123

R87

120E_5P_0W125

P2B

CO

NN

_RJ4

5_4S

TA

CK

B1

B3

B5

B7

B2

B4

B6

B8

Page 55: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE: Connect 1

& 2 for E1

Connect 2 & 3 for T1

NOTE

NOTE

NOTE

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

1115

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CT

_FR

AM

En

8,9,

10,1

2,14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

R93

0EP

2C

CO

NN

_RJ4

5_4S

TA

CK

C1

C3

C5

C7

C2

C4

C6

C8

U29

TR

600-

150

12

U32

TR

600-

150

12

U28 SiB

AR

1 2

R95

10E

_5P

_0W

125

R98

10E

_5P

_0W

125

U31 SiB

AR

1 2

R907R

5_5P

_0W

125

J7 CO

N3

1 2 3

R912E

_5P

_0W

125

R927R

5_5P

_0W

125

J8 CO

N3

1 2 3

R942E

_5P

_0W

125

U30

TR

600-

150

12

U27

TR

600-

150

12

U12

EQ

uad_

falc

54 53 52 51 20 21 47 25 24 23 22 27 26

37 39 43 44

XP

D3

XP

C3

XP

B3

XP

A3

XD

I3

SC

LK3

RC

LK3

RP

D3

RP

C3

RP

B3

RP

A3

RD

O3

SC

LKR

3

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL1

/RD

IP/R

OID

RL2

/XD

IN/R

CLK

I

D10

BA

V70

3

12

D9 BA

W56

3

1 2

R97

100E_5P_0W125

J9

CO

N3

123

R96

120E_5P_0W125

D11

BA

V70

3

12

D12

BA

W56

3

1 2

U15

ET

GS

P-S

O24

NX

11 12

30 29

15

U15

FT

GS

P-S

O24

NX

13 14

28 27

26

Page 56: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

DNP

NOTE: Connect 1 & 2 for E1

Connect 2

& 3 for T1

NOTE

NOTE

NOTE

DNP: Do Not Place

<Doc

>2.

0

QU

AD

FA

LC

B

1215

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CT

_FR

AM

En

8,9,

10,1

1,14

AV

DD

3V3

AV

DD

3V3

DGND

DGND

P2D

CO

NN

_RJ4

5_4S

TA

CK

D1

D3

D5

D7

D2

D4

D6

D8

U35

TR

600-

150

12

U38

TR

600-

150

12

U34 SiB

AR

1 2

R10

20E

R10

6

100E_5P_0W125

J12 C

ON

3

123

R10

5

120E_5P_0W125

R997R

5_5P

_0W

125

J10

CO

N3

1 2 3

R10

02E

_5P

_0W

125

R10

410

E_5

P_0

W12

5

R10

17R

5_5P

_0W

125

U12

FQ

uad_

falc

60 59 58 57 49 50 61 35 34 33 32 30 31

72 70 6566

XP

D4

XP

C4

XP

B4

XP

A4

XD

I4

SC

LKX

4R

CLK

4

RP

D4

RP

C4

RP

B4

RP

A4

RD

O4

SC

LKR

4

XL1

/XD

OP

/XO

ID

XL2

/XD

ON

/XF

M

RL2

/RD

IN/R

CLK

I

RL1

/RD

IP/R

OID

J11

CO

N3

1 2 3

R10

32E

_5P

_0W

125

R10

710

E_5

P_0

W12

5

D14

BA

V70

3

12

D13 BA

W56

3

1 2

U37 SiB

AR

1 2

U36

TR

600-

150

12

U33

TR

600-

150

12

U15

GTG

SP

-SO

24N

X

18 19

23 22

20

D15

BA

W56

3

1 2

U15

HTG

SP

-SO

24N

X

16 17

25 24

21

D16

BA

V70

3

12

Page 57: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWORKS

REME

MBER

: D0

is

LSB

on QuadFALC

D0 i

s MS

B on

Motorola!!

REME

MBER

: A0

is

LSB

on QuadFALC

A31

is L

SB o

n Motorola!!

CT_D

0- T

rans

mit

to DSP (TDM0)

CT_D

1- R

X fr

om D

SP (TDM0)

CT_D

4 Tr

ansm

it t

o MPC8260

CT_D

5 Re

ciev

e fr

om MPC8260

J13 will connect to J9 connector of base card

J14 will connect to J7 connector of base card

J15 will connect to J8 connector of base card

<Doc

>2.

0

Bas

e B

oard

Con

nect

ors

B

1315

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

TD

M_G

PIO

1

CT

_D0

CT

_D4

CT

_D5

CT

_D1

TD

M_G

PIO

2

TD

M_G

PIO

0

CO

NN

_AD

24

CO

NN

_D15

CO

NN

_D8

CO

NN

_D3

CO

NN

_AD

22

CO

NN

_D13

CO

NN

_D4

CO

NN

_D5

CO

NN

_D9

CO

NN

_D12

CO

NN

_AD

23

CO

NN

_D15

CO

NN

_AD

28

CO

NN

_AD

29

CO

NN

_D14

CO

NN

_D8

CO

NN

_D5

CO

NN

_D0

CO

NN

_D0

CO

NN

_AD

23

CO

NN

_AD

24

CO

NN

_D7

CO

NN

_AD

31

CO

NN

_AD

29

CO

NN

_D2

CO

NN

_D1

CO

NN

_AD

25

CO

NN

_D1

CO

NN

_D6

CO

NN

_D11

CO

NN

_D10

CO

NN

_D14

CO

NN

_AD

30

CO

NN

_D9

CO

NN

_AD

30

CO

NN

_AD

27

CO

NN

_AD

25

CO

NN

_D6

CO

NN

_D13

CO

NN

_AD

22

CO

NN

_D2

CO

NN

_D7

CO

NN

_D4

CO

NN

_D12

CO

NN

_D3

CO

NN

_D11

CO

NN

_AD

27

CO

NN

_AD

31

CO

NN

_AD

26

CO

NN

_AD

28

CO

NN

_AD

26

CO

NN

_D10 C

ON

N_A

D[2

2:31

]7,

8,15

TD

M_R

ES

ET

8,15

TD

M_S

PIC

LK3,

4

TD

M_S

PIM

OS

I3,

4

TD

M_S

PI_

CS

03

PQ

2_C

S_T

DM

215

TD

M_G

PL1

7,8,

15

PQ

2_C

S_T

DM

18

TD

M_T

O_P

Q2_

INT3

4

JTA

G_T

CK

8

TD

M_T

O_P

Q2_

INT2

3

TD

M_G

PL2

7,8,

15

CT

_D5

7C

T_D

47

CT

_D0

7C

T_D

17

CT

_FR

AM

E_A

15

CT

_C8_

A3,

4,9,

14,1

5

CO

NN

_D[0

:15]

7,8,

15

TD

M_T

DI

8T

DM

_TD

O8

TD

M_T

O_P

Q2_

INT1

8

TD

M_S

PI_

CS

14

JTA

G_T

RS

T8

JTA

G_T

MS

8

TD

M_S

PIM

OS

O3,

4

+5V

+5V

+5V

+5V

+3.3

V+5

V

+3.3

V+3

.3V

DGND

DGND

DGND

DGND

DGND

DGND

R13

30E

R13

40E

J14

HE

AD

ER

32x2

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64

J15

HE

AD

ER

32x2

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64

TP

351

TP

361

TP

371

J13

HE

AD

ER

32x2

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64

Page 58: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

AURA

L NE

TWOR

KS

TO BASE BOARD CONNECTOR -- 8.192MHz

TO IDT SWITCH---4.096MHz

TO IDT SWITCH --- 16.384MHz

DuSLIC/FALC

DNP

: Do

Not

Place

DNP

To Q

uadF

ALC

DNP

8 KH

z FS

C si

gnal

from QuadFALC

TO BASE BOARD CONNECTOR -- 8KHz

<Doc

>2.

0

CLO

CK

SY

NC

HR

ON

IZA

TIO

N C

IRC

UIT

B

1415

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CLK

_OS

C

CLK

_OS

C

PLD

_MO

DE

_sel

015

PLD

_TC

LRn

15

CT

_C8_

A3,

4,9,

13,1

5

PLD

-MO

DE

_sel

115

PLD

_F_s

el1

15P

LD_F

_sel

015

HC

LK7

NO

RM

AL_

PLD

15

CLK

7

LOC

K_P

LD15

PLD

_TIE

_en

15

RC

LK1

9

PLD

_WA

N_P

LL_R

ST

n15

HO

LDO

VE

R_P

LD15

CT

_WF

RA

ME

n7,

15C

T_F

RA

ME

3,4,

15

CT

_FR

AM

En

8,9,

10,1

1,12

CT

_ST

FR

AM

En

7,15

PLD

_QF

ALC

_FR

AM

E15

FS

C_Q

FA

LC9

DV

DD

3V3

DV

DD

3V3

DGND

DV

DD

3V3

DGND

DGND

DGND

DGND

DV

DD

3V3

DV

DD

3V3

DV

DD

3V3

DGND

DV

DD

3V3

C88

0_1u

F

TP

21 1

C89

0_1u

F

U41 N

C7S

04

24

35

INO

UT*

GN

D

VC

C

TP

321

TP

331

TP

341

R11

10E

R11

20E

TP

38 1

R11

310

K

R13

00E

C84 0_1u

F

R12

90E

R10

8

10K

TP

24 1

TP

23 1

TP

22 1

TP

25 1

R13

70E

R13

60E

U40

IDT

82V

3001

1319263748

1218273847

49 50

10 2 1 4 3 56 4595

44 52 46 51 25 24 2320 17 16 15 14 40 39 3336

29

41 42

32 30 28 31

535455

6 7 8 11 21 22 34 35 43

VDDVDDVDDVDDVDD

VSSVSSVSSVSSVSS

OS

Co

OS

Ci

F_s

el1

MO

DE

_sel

1M

OD

E_s

el0

RS

T

TC

LRT

IE_e

n

FLO

CK

F_s

el0

Fre

f

LOC

K

HO

LDO

VE

RN

OR

MA

LF

RE

ER

UN

C32

o

C16

oC

8o

C4o

C2o

C3o

C1.

5oC

6o

F32

oF

16o

F0o

F8o

TDO

RS

PTS

P

TDI

TR

ST

TCK

TM

S

IC0IC1IC2

IC IC IC IC IC IC IC IC IC

U39

FO

X_F

7C-2

E3

1

14 7

8E

/D

VDD GNDO/P

R11

00E

R11

4

0E

R13

8

10K

TP

301

C85

0_1u

F

C86

0_1u

F

C87

0_1u

FR

109

33E

12

Page 59: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

SYNC

:Sy

nchr

onou

s cl

ock

from Central Office

FROM BASEBOARD CONNECTOR

AURA

L NE

TWORKS

FROM BASE BOARD CONNECTOR

FROM BASE BOARD CONNECTOR

FROM BASE BOARD CONNECTOR

FROM BASEBOARD C

ONNECTOR

DNP:

Do

Not

Plac

e

To B

ase

boar

d co

nnectors

From

WAN

PLL

From

WAN

PLL

From

WAN

PLL

FROM BASEBOARD CONNECTOR

<Doc

>2.

0

CP

LD a

nd P

ower

B

1515

Thu

rsda

y, J

une

12, 2

003

Titl

e

Siz

eD

ocum

ent N

umbe

rR

ev

Dat

e:S

heet

of

CO

NN

_AD

22

TC

K_P

LDT

DI_

PLD

TD

O_P

LDT

MS

_PLD

TD

I_P

LD

TC

K_P

LD

TD

O_P

LD

TM

S_P

LDP

LD_L

OS

_FA

LC

CO

NN

_AD

30C

ON

N_A

D29

CO

NN

_AD

28C

ON

N_A

D27

CO

NN

_D15

CO

NN

_D14

CO

NN

_D13

CO

NN

_D12

CO

NN

_D[0

:15]

7,8,

13

CO

NN

_AD

[22:

31]

7,8,

13CO

NN

_AD

[22:

31]

7,8,

13

PQ

2_C

S_T

DM

213

LOC

K_P

LD14

NO

RM

AL_

PLD

14

TD

M_R

ES

ET

8,13

PLD

_ID

T_C

Sn

7C

T_C

8_A

3,4,

9,13

,14

PLD

_MO

DE

_sel

014

PLD

-MO

DE

_sel

114

PLD

_F_s

el0

14P

LD_F

_sel

114

PLD

_TC

LRn

14P

LD_T

IE_e

n14

PLD

_DuS

LIC

_TS

I_R

ST

n3,

4,7

HO

LDO

VE

R_P

LD14

TD

M_G

PL1

7,8,

13T

DM

_GP

L27,

8,13

PLD

_WA

N_P

LL_R

ST

n14

CT

_FR

AM

E3,

4,14

CT

_FR

AM

E_A

13

CT

_ST

FR

AM

En

7,14

CT

_WF

RA

ME

n7,

14

PLD

_QF

ALC

_FR

AM

E14

DV

DD

3V3 DGND

DV

DD

3V3

DGND

DV

DD

5V

DGND

VB

ATL

VB

ATH

+5V

DV

DD

3V3

DV

DD

5VD

VD

D5

DV

DD

3V3

+3.3

V3V

3

VH

RV

HR

X+5

V

DGND

VH

RX

3V3

DV

DD

5V

BA

THX

VB

ATH

XDG

NDDG

ND

DV

DD

5VD

VD

D3V

3V

HR

VB

ATH

X

DGND

DV

DD

3V3

DGND

DGND

DGND

DGND

LED

6

1 2

LED

7

1 2

LED

8

1 2

LED

9

12

TP

39

1

R12

4

10K

2 1

R11

5

330E

R12

6

10K

2 1

R12

5

10K

2 1

J20

JUM

PE

R3

123

D19

IN40

04

12

D18

IN40

04

12

J18

JUM

PE

R3

123

D20

IN40

04

12

C95

100n

F_1

0P_2

00V

J19

JUM

PE

R3

123

D17

IN40

04

12

J17

CO

N6_

Pow

erS

uppl

y

1 2 3 4 5 6T

P40

1T

P29 1

LED

5

12

U42

XC

R30

32X

L_5V

Q44

I

42 43 44 2 3 5 6 8 10 11 12 13 14 15 18 19 20 21 22 23

25 27 28 30 31 33 34 35

9172941

162436

440 39 38 37 26 1 32 7

I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O

I/O I/O I/O I/O I/O I/O I/O I/O

VccVccVccVcc

GNDGNDGND

PO

RT

_EN

IN0/

CLK

0IN

1/C

LK1

IN2/

CLK

2IN

3/C

LK3

TCK

TDI

TDO

TM

S

C92

0_1u

F

C93

0_1u

F

C90

0_1u

F

C91

0_1u

F

TP

311

R12

0

10K

2 1

R11

9

330E

2 1

R11

8

330E

2 1

R11

7

330E

2 1

J16

CO

NN

_JT

AG

_PLD

1 2 3 4 5 6 7 8 9

C94 0_1u

F

R11

6

22K

Page 60: Packet Telephony Development Kit PSTN Card User's Guide · Packet Telephony Development Kit PSTN Card, Rev. 1 2 Freescale Semiconductor Figure 1. PSTN Hardware Overview The PSTN card

Document Order No.:

Information in this document is provided solely to enable system and software implementers to use Freescale Semiconductor products. There are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document.

Freescale Semiconductor reserves the right to make changes without further notice to any products herein. Freescale Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Freescale Semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. “Typical” parameters which may be provided in Freescale Semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including “Typicals” must be validated for each customer application by customer’s technical experts. Freescale Semiconductor does not convey any license under its patent rights nor the rights of others. Freescale Semiconductor products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Freescale Semiconductor product could create a situation where personal injury or death may occur. Should Buyer purchase or use Freescale Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and hold Freescale Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Freescale Semiconductor was negligent regarding the design or manufacture of the part.

Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. StarCore is a trademark of StarCore LLC. All other product or service names are the property of their respective owners.

© Freescale Semiconductor, Inc. 2005.

How to Reach Us:Home Page:www.freescale.com

E-mail:[email protected]

USA/Europe or Locations not listed:Freescale Semiconductor Technical Information Center, CH3701300 N. Alma School RoadChandler, Arizona 85224+1-800-521-6274 or [email protected]

Europe, Middle East, and Africa:Freescale Halbleiter Deutschland GMBHTechnical Information CenterSchatzbogen 781829 München, Germany+44 1296 380 456 (English)+46 8 52200080 (English)+49 89 92103 559 (German)+33 1 69 35 48 48 (French)[email protected]

Japan:Freescale Semiconductor Japan Ltd. HeadquartersARCO Tower 15F1-8-1, Shimo-Meguro, Meguro-ku,Tokyo 153-0064, Japan0120 191014 or +81 3 5437 [email protected]

Asia/Pacific:Freescale Semiconductor Hong Kong Ltd.Technical Information Center2 Dai King StreetTai Po Industrial EstateTai Po, N.T. Hong Kong+800 2666 8080

For Literature Requests Only:Freescale Semiconductor Literature Distribution CenterP.O. Box 5405Denver, Colorado 802171-800-441-2447 or 303-675-2140Fax: [email protected]

PTKITPSTNUGRev. 1


Recommended