+ All Categories
Home > Documents > pd design 8

pd design 8

Date post: 06-Apr-2018
Category:
Upload: kanhaiya-mishra
View: 216 times
Download: 0 times
Share this document with a friend

of 40

Transcript
  • 8/3/2019 pd design 8

    1/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    1

    Objective

    Introduction to the various steps involved in routing.

    After completion of this program students will be familiar with theRouting flow,Routing optimization, and will be ready to do therouting for any design.

  • 8/3/2019 pd design 8

    2/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    2

    Routing

    Objective:

    Create metal connections to all clock and signal pins.

    Metal traces must meet physical DRC requirements.

    Minimize the total wire length, the number of vias, or just completing allconnections without increasing the chip area.

    Each net meets its timing budget.

  • 8/3/2019 pd design 8

    3/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    3

    Design status at start of routing phase

    Placement completed

    CTS completed

    Power and ground nets routed

    Estimated congestion acceptable

    Estimated timing - acceptable (~0 ns slack)

    Estimated max cap/transition no violations

  • 8/3/2019 pd design 8

    4/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    4

    nets

    1 1

    1

    via

    branch

    trunk

    tracks

    pins

    2 2

    2

    Terminologies

  • 8/3/2019 pd design 8

    5/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    5

    Metal routing Tracks

    pitchMinimumSpacing

    Pitch = minimum width + minimum spacing

    Terminologies

  • 8/3/2019 pd design 8

    6/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    6

    Routing Types

    Grid-lessGrid based

  • 8/3/2019 pd design 8

    7/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    7

    G-Cell

    The size of a GRC is equal to the height of the average standard cell.

    GR determines whether each assigned GRC along a path has enough wiretracks for assigned nets through the edges of that GRC.

    If there are not enough wire tracks ,GR reassigns metal layers or GRCsaccordingly.

    global route

    congestion area

    G-Cells

  • 8/3/2019 pd design 8

    8/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    8

    Routing Types

    S

    T

    Maze Routing

  • 8/3/2019 pd design 8

    9/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    9

    Channel Routing

    A channel is the routing region bounded by two parallel rows ofterminals

    Assume top and bottom boundary

    Each terminal is assigned a number to indicate which net it belongs to 0 indicates : does not require an electrical connection

    Routing Types

  • 8/3/2019 pd design 8

    10/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    10

    Channel Routing

    channel

  • 8/3/2019 pd design 8

    11/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    11

    Routing Anatomy

    Metal layer 1

    Via

    Top

    view

    3Dview

    Metal layer 2

    Metal layer 3

    Note: Colours used

    in this slide are not

    standard

  • 8/3/2019 pd design 8

    12/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    12

    Typical Routing Flow

    Placement Info

    CT routing

    Global Routing

    Track Assignment

    Detail Route

    Search And Repair

    Back Annotation

    STA

    Timingcheck

    Proceed to Tape out

    P&RTool

    Yes

    No

  • 8/3/2019 pd design 8

    13/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    13

    Routing Operation

    Track Assign

    Global Route

    Detail Route

    Search&Repair

  • 8/3/2019 pd design 8

    14/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    14

    Routing Phases

    Global Routing

    Input : detailed placement

    Determine channel routing foreach net

    Minimize area (congestion) &

    improve timing

  • 8/3/2019 pd design 8

    15/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    15

    Grid-based routing system

    Metal traces (routes) are built along, andcentre around routing tracks

    Each metal layer has its own tracks andpreferred routing direction:

    M1: Horizontal M2: Vertical, etc

    The tracks and preferred routingdirections are defined in a "unitTile"cell in the standard

    cell library

    Track

    Pitch(based on DRC)

    Grid Point

    M1

    Trace

    M2 unitTile

  • 8/3/2019 pd design 8

    16/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    16

    Global Route

    Global Route (GR) is the first step in routing.

    GR gives more accurate parasitic and delay estimates compared to VR.

    The global Route that is performed during routing will be used by thesubsequent Track Assign operation.

  • 8/3/2019 pd design 8

    17/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    17

    global route

    congestion area

    G-Cells

    Global Route

  • 8/3/2019 pd design 8

    18/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    18

    Track Assignment

    Track Assignment (TA):

    Assigns each net to a specifictrack and lays down the actualmetal traces.

    It also attempts to:

    Make long, straight traces.

    Reduces the number of vias.

    It does not check or followphysical DRC rules.

  • 8/3/2019 pd design 8

    19/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    19

    Detail Routing

    Input channels and approximate routingfrom the global routing phase

    Determine the exact route and layers foreach net

    Objective: valid routing, minimize area(congestion), meet timing constraints

    Additional objectives: minimum via,power

    Routing Phases

  • 8/3/2019 pd design 8

    20/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    20

    Detail Route

    Detail Route SBoxes

    MinSpacing

    Thin&FatSpacing

    NotchSpacing

    Notch

    Spacing

  • 8/3/2019 pd design 8

    21/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    21

    Search & Repair

    Search & Repair fixes remaining DRC violations through multiple loopsusing progressively larger S-box sizes

    Astro works on the FRAM view, not the detailed transistor-level (CEL)view.

    Astro's DRC rules are a subset of the completely technology DRC rules

    loop1loop2

    loop3loop4

  • 8/3/2019 pd design 8

    22/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    22

    Astro Routing Flow

    From Placement

    Set Clock Common

    Options

    Synthesize the Clock

    Tree (CTS)

    Re-connect Scan

    Chains

    Enable propagated

    Clocks

    Post-CTS Placement

    Optimization

    Optimize Timing

    Skew

    Optimize Timing

    (Useful Skew CTO)

    Route Clock Nets

    Route Signal Nets

    Optimize

    Trace Topology

    Post Route Clock

    Tree Optimization

    DFM

  • 8/3/2019 pd design 8

    23/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    23

    Options for Routing & Optimization

    Options must be set prior to any routing and optimization steps

  • 8/3/2019 pd design 8

    24/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    24

    Route Guide

  • 8/3/2019 pd design 8

    25/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    25

    Route Clock Nets First

    Skew and insertion delay targets are easier to meet if clock netsare routed firstThis command can also be used to route critical signals or buses

  • 8/3/2019 pd design 8

    26/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    26

    Routing Signal Nets : Auto Route

    Easy routing for design with:

    No timing, max trans/cap violations

    No congestion

  • 8/3/2019 pd design 8

    27/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential

    27

    Route Signals Nets with Logic Optimization

    Effort : Medium

    Routing Phase : Global Route

    Optimization Targets

  • 8/3/2019 pd design 8

    28/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 28

    Route Signal Nets: track Assign Phase

    Routing Phase : Track Assign

    Effort : Medium

    Optimization Target

  • 8/3/2019 pd design 8

    29/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 29

    Route Signal Nets : Detail Route Phase

    Routing Phase : Detail Route

    Effort : MediumOptimization Target

  • 8/3/2019 pd design 8

    30/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 30

    Explicit Search & Repair

  • 8/3/2019 pd design 8

    31/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 31

    Wire parasitic for external

    STA are provided via a .spef

    file

    The .spef file can be

    generated from the PARA

    view or the internal LPE of

    Astro

    Back Annotation

  • 8/3/2019 pd design 8

    32/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 32

    Back Annotation

    This is how to dump the

    SPEF for your current

    database.

    Output file name

  • 8/3/2019 pd design 8

    33/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 33

    Netlist Output

  • 8/3/2019 pd design 8

    34/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 34

    Back Up

  • 8/3/2019 pd design 8

    35/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 35

    Channel Routing

    Upper boundaryUpper boundary

    Lower boundaryLower boundary

    TracksTracks

    TerminalsTerminalsViaVia

    TrunksTrunks BranchesBranches

    DoglegDogleg

  • 8/3/2019 pd design 8

    36/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 36

    Post-route CTO

    Minor clock skew and/or timing violations may have been

    introduced by previous routing and optimization stepsUse Post Route CTO to fix these violations

    Post Route CTO

    ECO Route

    Skew+

    timing

    OK?

    No

    Yes

    All nets routed

    Route ClockNets

    Route SignalNets

    Optimize TraceTopology

    PostRouteCTO

    Search&Repair

  • 8/3/2019 pd design 8

    37/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 37

    Redundant Via

    Metal2

    Metal3 Via

  • 8/3/2019 pd design 8

    38/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 38

    s 1

    1

    1

    22

    22

    23

    3 3

    3

    3

    3

    4

    4

    4

    44

    4

    5

    5

    5

    5

    55

    5

    5t

    Maze Routing

  • 8/3/2019 pd design 8

    39/40

    Ph: 080-40-788574 www.rv-vlsi.comRV-VLSI Confidential 39

    Where STA fits in ASIC flow?

    synthesis

    DFT

    Formal Verification

    STA

    Designconstraints

    DRC,LVS

    ExtractedParasitic

    Back annotation

    P&R tool

    Timingcheck

    Timing

    check

    .lib

    No

    YesNo

    Yes

  • 8/3/2019 pd design 8

    40/40

    Ph 080 40 788574 l i 40

    Routing Regions


Recommended