+ All Categories
Home > Documents > Performance evaluation of nonchemically amplified negative tone...

Performance evaluation of nonchemically amplified negative tone...

Date post: 17-Jul-2020
Category:
Upload: others
View: 0 times
Download: 0 times
Share this document with a friend
10
Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography Vikram Singh Vardhineedi Sri Venkata Satyanarayana Nikola Batina Israel Morales Reyes Satinder K. Sharma Felipe Kessler Francine R. Scheffer Daniel E. Weibel Subrata Ghosh Kenneth E. Gonsalves Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Transcript
Page 1: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

Performance evaluation ofnonchemically amplified negativetone photoresists for e-beam andEUV lithography

Vikram SinghVardhineedi Sri Venkata SatyanarayanaNikola BatinaIsrael Morales ReyesSatinder K. SharmaFelipe KesslerFrancine R. SchefferDaniel E. WeibelSubrata GhoshKenneth E. Gonsalves

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 2: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

Performance evaluation of nonchemically amplifiednegative tone photoresists for e-beam and EUVlithography

Vikram Singh,a Vardhineedi Sri Venkata Satyanarayana,b Nikola Batina,c Israel Morales Reyes,c Satinder K. Sharma,a

Felipe Kessler,d Francine R. Scheffer,d Daniel E. Weibel,d Subrata Ghosh,b,* and Kenneth E. Gonsalvesb,*aIndian Institute of Technology Mandi, School of Computing and Electrical Engineering, Mandi, Himachal Pradesh 175001, IndiabIndian Institute of Technology Mandi, School of Basic Sciences, Mandi, Himachal Pradesh 175001, IndiacDepto. de Química, Lab. de Nanotecnología e Ingeniería Molecular, CBI, UAM-I, Mexico D.F., MexicodUniversidade Federal do Rio Grande do Sul, UFRGS, Instituto de Química, Avenida Bento Gonçalves 9500, P.O. Box 15003, 91501-970 PortoAlegre, RS, Brazil

Abstract. Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resistsremains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nmline patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ∕cm2. The presentwork demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photore-sists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containingthe radiation sensitive sulfonium functionality. Investigations into the effect of several process parametersare reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposureconditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, andresolution has been assessed for the optimization of 20 nm features and the corresponding LER/line widthroughness. These n-CARs have also been found to possess high etch resistance. The etch durability ofMAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the siliconsubstrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing newresist materials with improved efficiency for EUVL through polymer microstructure engineering. © 2014 Society ofPhoto-Optical Instrumentation Engineers (SPIE) [DOI: 10.1117/1.JMM.13.4.043002]

Keywords: nonchemically amplified resist; postexposure bake; postapply bake; e-beam lithography; extreme ultraviolet lithography;surface roughness; contrast; sensitivity; etch resistance.

Paper 14069P received May 6, 2014; revised manuscript received Aug. 27, 2014; accepted for publication Sep. 4, 2014; publishedonline Oct. 16, 2014.

1 IntroductionExtreme ultraviolet lithography (EUVL) using a 13.5-nmwavelength is considered to be one of the most promisingcandidates for next-generation lithography (NGL) technol-ogy.1 One of the key challenges in EUVL is simultaneouslymeeting resist performance targets like sensitivity, resolu-tion, etch resistance, and line edge roughness (LER).2 It isknown that chemically amplified resists (CARs) limit theultimate minimum half-pitch (hp) resolution, especially forfeatures at 20 nm and below due to their postexposure insta-bility and acid diffusion problem.3–6 In addition to theseeffects, LER or line width roughness (LWR) and criticaldimension (CD) play a significant role in sub-20 nm technol-ogy and beyond which are not met by CARs, specificallywith regard to the ITRS-2013 guidelines.2,7–10 AlthoughCARs have successfully been used for patterning down to15 nm hp, their sensitivity (30 mJ∕cm2) still remains anissue.10 It is known that for CARs, a decrease in hp forsub-20 nm technology leads to pattern collapse and, there-fore, limits the resolution and LER. For these reasons, theabove-stated requirements must be effectively controlled.Thus, an additional constraint has been superimposed on

chemically amplified resists. Due to these shortcomings,recent attention has focused on the development of non-chemically amplified resists (n-CARs) with improved lithog-raphy performance capable of patterning both isolated anddense lines patterns to facilitate the high throughput produc-tion of equally isolated (IC logic gates) and dense (DRAM,FRAM memory) areas of devices for future successfulimplementation of NGL technology nodes.11

Herein, we investigated the novel n-CARs negative toneresists based on the copolymer poly(4-(methacryloyloxy)phenyldimethylsulfoniumtriflate-co-methylmethacrylate) [poly(MAPDST-co-MMA)], as well as the poly(4-(methacryloy-loxy)phenyldimethylsulfoniumtriflate (MAPDST-homopoly-mer) that are prepared from monomers containing sulfoniumgroups. These polymeric resists are directly sensitive to radi-ation and function without utilizing the concept of chemicalamplification. Novel n-CARs and process optimization, suchas film thickness, spinning speed, effect of the prebake andpostbake temperatures, etch resistance, electron beam lithog-raphy (EBL) exposure dose, surface topography, and mor-phology are reported here. The EUV performance andphotodynamic studies of such nonchemically amplified (n-CAR) negative tone photoresists are reported, including

*Address all correspondence to: Subrata Ghosh, E-mail: [email protected];Kenneth E. Gonsalves, E-mail: [email protected] 0091-3286/2014/$25.00 © 2014 SPIE

J. Micro/Nanolith. MEMS MOEMS 043002-1 Oct–Dec 2014 • Vol. 13(4)

J. Micro/Nanolith. MEMS MOEMS 13(4), 043002 (Oct–Dec 2014)

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 3: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

the ultimate resolution, sensitivity, and low LER/LWR usingthe Microfield Exposure Tool (MET) at Berkeley. Theseresults are aimed at providing a clear understanding ofhow the lithographic imaging process impacts the n-CA resistconcept.

2 Materials and Methods

2.1 Materials

The monomer 4-(methacryloyloxy)phenyl)dimethylsulfo-nium triflate (MAPDST) was synthesized following the lit-erature procedure.12–16 The resist, poly(MAPDST-co-MMA),was synthesized using azobisisobutyronitrile (AIBN) initiatedfree radical cross-polymerization between MAPDST andMMA (1∶1 molar feed ratio) at 60°C under a dry nitrogenatmosphere for two days. The molecular weight (Mw) ofthis copolymer was calculated to be 17.7 × 103 by gel perme-ation chromatography (GPC). The composition determined by1H NMR corresponds to ðMAPDSTÞ75ðMMAÞ25. Similarly,an MAPDST homopolymer was synthesized by reactingmonomer MAPDST and using AIBN as an initiator of 1wt% relative to the monomer in acetonitrile at 60°C under-neath a dry nitrogen atmosphere for two days. The molecularweights of this homopolymer (Mw ¼ 20.7 × 103) andcopolymer (Mw ¼ 17;000) were determined using GPCanalysis. Chemical structures of these polymers are shownin Figs. 1(a) and 1(b). Both the resist materials were obtainedas white powders. The synthesized resist materials were char-acterized using Fourier transform infrared spectroscopy, 1HNMR, and differential scanning calorimetry and thermalgravimetric analysis.17

2.2 Thin Film Preparation for EBL Evaluation

The resist solution of the synthesized MAPDST homopoly-mer and poly(MAPDST-co-MMA) copolymer were pre-pared in methanol at 3% by weight of polymer andfiltered through a 0.2-μm Teflon filter to remove particles.For e-beam evaluation, the thin films of the MAPDST homo-polymer and poly(MAPDST-co-MMA) copolymer negativephotoresist solutions were spin-coated directly on RadioCorporation of America cleaned bared Si wafers to ∼30to 60 nm thickness and then baked on a hot plate to removeany excess solvent. Exposures were carried out by using aRaith GmbH (Dortmund, Germany), 150-Two modelmachine (e-beam) at the exposure energy of 20 KeV by cov-ering a broad range of doses. The exposed samples were

developed in optimized aqueous solutions of tetramethylammonium hydroxide (TMAH) (0.003 N) in de-ionized(DI) water maintained at a pH ∼12 at room temperature,rinsed in de-ionized water, and blow dried with pure nitrogengas. These polymers were also evaluated by EUVexposure atthe SEMATECH-Berkeley MET lab.18 The CD, LER, andLWR were calculated using SuMMIT® software.

Films were characterized by means of atomic force micro-scope (AFM) imaging using AFM (Multimode NanoscopeIV, Digital Instruments, Santa Barbara, California). Imageswere taken by a very slow scan rate of only 0.5 Hz in thetapping mode to avoid possible damaging of the patterns.In order to achieve a necessary nanoscale resolution, weused two types of AFM tips: the high aspect ratio tips witha 2-μm spike mounted at the end of the cantilever and DP15/HiRes-C/AIBS, with a tip apex of only 1 nm (MikroMasch,NanoAndMore, Soquel, California).

2.3 Thin Film Preparations for EUV Evaluation

For EUV evaluation, the MAPDST homopolymer and poly(MAPDST-co-MMA) copolymer negative tone resist solu-tions were prepared in methanol and filtered with a 0.2-micron Teflon filter then spin-coated onto an hexamethyldi-silazane (HMDS) treated 200-mm silicon wafer for ∼40-nmthin films. The thin films of the MAPDST homopolymer andpoly(MAPDST-co-MMA) copolymer were prebaked at100°C for 90 s and 90°C for 90 s, respectively. The respectivecenter doses, as determined from initial test wafer exposures,were assessed to be ∼90 mJ∕cm2 for the homopolymer and30 mJ∕cm2 for the copolymer. It should be pointed out thatthe actual center dose value for the MAPDST homopolymeris not certain at this time. It is highly dependent on developerconditions, and more test runs are required for EUVoptimi-zations. Based on these center doses, the resulting photore-sist layers were flood exposed with the respective dose arrayusing mask IMO228775 with a field of R4C3 at theSEMATECH Berkeley MET. The sample was postexposedbaked at 115°C for 90 s and developed in optimized aqueoussolutions of TMAH (0.003 N) in DI water to obtain high-res-olution line patterns.

2.4 Photodynamic Studies

EUV photodynamic studies were carried out at the BrazilianSynchrotron Light Source (LNLS) Campinas. In theseexperiments, the planar grating monochromator (PGM)beam line for EUV, VUV, and soft x-ray spectroscopy (100to 1500 eV), which gives a spectral resolution ðE∕ΔEÞ ¼25;000, was used as the monochromatic photon source. Theexperimental setup includes a computer-controlled XYZsample manipulator housed in an ultrahigh vacuum (UHV)chamber (P∼1 × 10−9 mbar). The Si wafers were directlyattached to the sample holder by using conducting dou-ble-sided tape and the synchrotron radiation (SR) beamwas slightly defocused. No sample charging was observedthroughout the experiments. Samples outside the UHVchamber were always manipulated in an inert atmosphereand ultraviolet light exposition was avoided. Resist filmswere characterized by x-ray photoelectron spectroscopy(XPS) using a high-performance hemispheric SPECSLABII (Phoibos-Hs 3500 150 analyzer, SPECS, Berlin,Germany) energy analyzer. Additionally, a quadruple massspectrometer (QMS, Pfeiffer compact mass spectrometer,

Fig. 1 Chemical structures of (a) MAPDST-MMA copolymer(x ¼ 75%, y ¼ 25%) and (b) MAPDST homopolymer.

J. Micro/Nanolith. MEMS MOEMS 043002-2 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 4: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

open ion source, 1–200 amu, Berlin, Germany) was inte-grated into the vacuum chamber. Additionally, a quadruplemass spectrometer (QMS) was integrated into the vacuumchamber in order to examine the volatile defragmentationproducts ablated from the irradiated polymer surface byan in situ gas analysis. When SR was used to irradiate thefilms (1 and 5 min), a specific energy was selected(103.5 eV) that corresponds to the maximum intensity ofthe ondolatory radiation at the PGM beam line. After irradi-ation, pure oxygen at atmospheric pressure was introducedinto the UHV chamber for 30 min to neutralize the remainingradicals on the film surface. Please note that the above expo-sure energy was maintained to be as close as possible to thatof the BMET tool.

2.5 Film Preparation for EUV Photodynamic Study

Samples were prepared by spin coating inside a glove boxcontaining dry nitrogen gas (purity grade: 5.0) and underred light illumination. One of two drops of the resist solutionwas set on cleaned silicon wafers (0.5 × 1 cm).

3 Results and Discussions

3.1 E-Beam Evaluation and Postbake Condition

Upon irradiation of polymeric film using a Raith 150 e-beamlithography system at an energy of 20 KeV, a polarity differ-ence in exposed and unexposed areas was observed. Theunexposed MAPDST homopolymer was polar due to itsionic character and, therefore, was soluble in polar solvents,such as water. Unexposed regions of the MAPDST homo-polymer negative tone resist film readily dissolved in anaqueous TMAH developer (0.003 N, pH 11.5), while theexposed patterns were maintained after dipping the exposedresist film in developer. These are characteristics of a non-chemically amplified negative polymeric resist. TheMAPDST homopolymer exhibited 20-nm patterns (20KXmagnification) at doses 20, 25, and 40 μC∕cm2 at variouspostbake conditions. These are as given in Fig. 2.

The postbake temperature was found to be very crucial forobtaining clear line patterns (the reason for the improvedimaging results while using a postexposure bake has beendiscussed in Sec. 3.4). An attempt to develop 20-nm linepatterns using a relatively low postbake temperature

(100°C∕90 s) failed completely when the films were exposedat lower doses (20 to 25 μC∕cm2). Some line patterns wereobserved at this postbake temperature only when the filmswere exposed at a higher dose (40 μC∕cm2) (Fig. 2). A slightincrease in postbake temperature improved the patterningprocess and resulted in 20 nm features (Fig. 2). Finally,after an extensive investigation and gradual optimization ofthe postbake effect on the exposed resists, a protocol wasdeveloped for high-quality 20-nm line patterns of MAPDSThomopolymer at 115°C∕90 s. A similar protocol with a post-bake condition of 100°C∕90 s was adapted for the copoly-mer resist to obtain an improved pattern fidelity.

3.2 Contrast Analysis

The contrast curve of MAPDST homopolymer resist filmswas determined after the exposure of e-beam on a Raith150 system at 20 KeV for varying doses ranging from 1to 70 μC∕cm2 for 20 × 100 μm pads. After exposure, theresist was developed at various developing times (25, 21,and 17 s) by dipping the exposed films in optimized aqueoussolutions of TMAH (0.003 N) in DI-water with a pH ∼11.5to 12, as shown in Fig. 3. The thickness of the developedpatterns was measured on a Stylus profilometer. After devel-oping for 17 s, a high contrast of γ ¼ 3.6 with a sensitivity5.25 μC∕cm2 was obtained. It is clearly shown in Fig. 3 thatthe contrast of the MAPDST homopolymer is highly depen-dent on the developing conditions.

High contrast ðγÞ∕sensitivity (μC∕cm2) −1.8∕2.06 for theMAPDST-MMA copolymer resist was also obtained asshown in Fig. 4. These are highly improved when comparedwith the e-beam dose values reported in the literature forother nCARs, such as AR-N7500,17,19 maN2400,17,20 HSQ,21

and Fullerol.22

3.3 Surface Roughness of Developed Film

The surface roughness of the MAPDST homopolymer aftere-beam exposure with various doses and using a 0.003 NTMAH base developing condition as stated above is shownin Fig. 5. The RMS values (AFM analysis) of the MAPDSThomopolymer surface vary with the e-beam lithographyexposure dose. It is clearly shown in Fig. 5 that roughnessremains constant above 25 μC∕cm2. However, below thiscritical dose, roughness increases rapidly. Similarly, the criti-cal dose for MAPDST-MMA copolymer was found to be20 μC∕cm2. It appears that the optimum dose window is20 to 60 μC∕cm2.

3.4 High-Resolution Patterning Using EUVLithography

The EUV exposure results of the nonchemically amplifiedMAPDST homopolymer and MAPDST-MMA copolymerresists at the SEMATECH-Berkeley MET lab18 are shownin Fig. 6. High-resolution lines patterns with high-qualityscanning electron microscope images (at 120 K magnifica-tion) were obtained for both n-CAR materials having a lowLER. The 20-nm lines with a 20 nm CD/40 nm pitch linespace pattern of both the resist polymers are very clear andsharp at the edges.

The low LER was unambiguously confirmed by highermagnification (120 K magnification) field emission scanningelectron microscopy images of the MAPDST homopolymer

Fig. 2 E-beam lithography results, 20-nm features, for nonchemicallyamplified negative resist (MAPDST homopolymer) under differentpostbake conditions.

J. Micro/Nanolith. MEMS MOEMS 043002-3 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 5: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

(sensitivity ∼30 mJ∕cm2) and the MAPDST-MMA copoly-mer (sensitivity 10 mJ∕cm2) as shown in Fig. 6. The calcu-lated 3σ LER of the 20-nm lines for the MAPDSThomopolymer (exposure dose 94 mJ∕cm2) and theMAPDST-MMA copolymer (exposure dose 33 mJ∕cm2) are2.0� 0.3 and 1.8� 0.1 nm, respectively (LER was calcu-lated as the average of the edge roughness of 10 lines). Inaddition to line patterns, we also attained complex patterns,such as circular L/S patterns (Fig. 7), further supporting thepotential of these resist materials for the most promisingEUV technology. Such circular complex features are forpotential applications in diffraction grating. We, therefore,strongly believe these materials will provide a path forwardfor designing n-CARs that may attain higher resolutions thancurrent CARs at competitive sensitivities.

3.5 Polarity Switching Mechanism

As discussed in the previous sections, the unexposed poly-mer thin film is polar and actually highly hydrophilic innature because of its strong ionic character. Therefore, it issoluble in polar solvents such as water. Upon exposure toradiation, the polymer undergoes a polarity change makingthe exposed area less polar than the unexposed area, which inturn brings on differences in solubility of these exposed andunexposed areas. Thus, when irradiated, the anionic counterions (CF3SO−

3 ) of the resists decomposed resulting in theformation of Ar-Sþ-ðCH3Þ2 groups, which upon postbakeannealing, get converted to Ar-S-CH3 (nonionic in nature).This was confirmed from EUV photodynamic studies, whichare discussed in detail in the next section. As the exposedpolymer thin film lost its ionic character, it became less polarand was found to be insoluble in polar solvents. The unex-posed regions of the resist film were readily soluble in opti-mized TMAH solution in DI-water, and the exposed regions(patterns) were intact when dipped into the developer, estab-lishing its nature as a unique negative tone photoresist.

3.6 EUV Photodynamic Studies of n-CARsFormulation

The photosensitivity analysis of these n-CARs, MAPDSThomopolymer, and MAPDST-MMA copolymer formulationwas executed to inspect the precise chemical information andphotochemistry of synthesized resist materials. TheMAPDST homopolymer and MAPDST-MMA copolymerthin films were irradiated at 103.5 eV at selected fixed peri-ods of time. During irradiation, the volatile ion fragments,measured by an in situ gas analysis, desorbed from the poly-mer surfaces after continuous SR excitation. Figure 8 showsthe time evolution of SOþ

2 , SOþ, and CFþ3 fragments during

irradiation of the MAPDST-MMA copolymer. Hydrocarbonswere also observed as background gases in the UHV cham-ber. One of them is the C5H

þ9 fragment coincident with the

CFþ3 ion. In our previous publication,16 it was found that inthe case of the MAPDST homopolymer, SOþ

2 and SOþintensities increased to reach a maximum and then decreased

Fig. 3 NRT response curves of nonchemically amplified resist(MAPDST homopolymer) processed for different development timeswhile keeping other conditions the same, i.e., postexposure bake(PEB), postapply bake (PAB), and exposure conditions.

Fig. 4 Sensitivity to electron dose characteristics for MAPDST-MMAcopolymer.

Fig. 5 (a) Surface roughness (rms value) of MAPDST homopolymerwith E-beam exposure dose under same conditions, i.e., PEB/PAB,and same developing condition.

J. Micro/Nanolith. MEMS MOEMS 043002-4 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 6: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

to zero in a few minutes; the m∕z ¼ 69 increased to a maxi-mum and then decreased to the background level. The newcontribution of m∕z ¼ 69 observed corresponds to thedesorption of CFþ3 ions produced by 103.5 eV photon exci-tation. The results showed that irradiation of the MAPDSThomopolymer films produced a fast desorption processwhere a maximum in the QMS signal intensity is reachedbetween 10 and 15 s.16 On the contrary, excitation at103.5 eV of MAPDST-MMA copolymer films yielded alower QMS signals and the maximum of the intensity isreached at longer times (∼100 s, see inset of Fig. 8).Because the SR beam current for both experiments wasalmost the same (∼210 mA), it is possible to infer that theMAPDST-MMA copolymer is more resistant to radiationdamage with a lower degree of degassing. This can be attrib-uted to the copolymer microstructure where methyl methac-rylate units replace the more volatile sulfonium moieties. Toconfirm the above QMS results, high resolution x-ray photo-electron spectroscopy (HR-XPS) spectra were obtained atthe C 1s and S 2p excitations. Figure 8 shows that after5 min of irradiation of the MAPDST-MMA copolymerfilm at 103.5 eV, C─O, COO, and CF3 signals still remainedon the surface of the polymer. The resistance to radiation ofthe MAPDST-MMA copolymer contrasted with the photo-fragmentation dynamic of the MAPDST homopolymer.16

Only 1 min of irradiation of the MAPDST homopolymer at103.5 eV led almost to the disappearance of the COO signalintensity together with a strong decrease in the CF3 signal.

Fig. 6 High-resolution extreme ultraviolet (EUV) exposure results of 20-nm lines with L/S patterns ofnonchemically amplified (a) MAPDST homopolymer and (b) MAPDST-MMA copolymer resists.

Fig. 7 EUV exposure results on circular patterns: 25 nm circular patterns of MAPDST homopolymerresist (a) and 22 nm circular patterns of MAPDST-MMA copolymer resist (b).

Fig. 8 High-resolution x-ray photoelectron spectroscopy (XPS) spec-tra of the C 1s envelope of the MAPDST-MMA copolymer films beforeirradiation and after 1 and 5 min of SR irradiation at 103.5 eV. Inset: insitu quadruple mass spectrometer gas analysis during irradiation ofthe films at 103.5 eV of excitation energy.

J. Micro/Nanolith. MEMS MOEMS 043002-5 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 7: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

Additionally, no detection of both signal contributions wasobserved at 5 min of irradiation. Interestingly, the main C 1ssignals (C─C∕C─H, C═O, and C─O contributions) in theMAPDST-MMA copolymer seemed not to be affectedafter irradiation. This result contrasted with the MAPDSThomopolymer where new functionalities were needed toincorporate in the deconvolution to obtain a right fit afterirradiation.16 The C 1s XPS results match the QMS onesshowing that an MAPDST-MMA copolymer may have ahigher stability under 103.5 eV SR radiation excitation.

The analysis of the HR-XPS results of S 2p signals givemore detailed information about the polymer’s stabilityagainst the SR radiation (Fig. 9). The MAPDST homopoly-mer loses sulfur components rapidly and after 5 min of irra-diation at 103.5 eV, the only contribution left is the S-Cbonding, probably belonging to the (dimethylthio)phenylgroup.16 The MAPDST-MMA copolymer again looked morestable and mainly lost the S═O contribution. The QMS,C 1 s, and S 2p XPS results allow confirmation that thecopolymer is more resistant to 103.5 eV radiations thanthe MAPDST homopolymer with a lower degree of degass-ing during irradiation in the case of the MAPDST-MMAcopolymer.

L-near edge x-ray absorption fine structure (NEXAFS)spectroscopy is sometimes more sensitive to geometricaland chemical changes in the top most monolayers of amaterial than the K-inner shell excitations. L-edge sulfurNEXAFS spectroscopy was investigated to better understandthe XPS and QMS results in relation to the high radiationresistance of the MAPDST-MMA copolymer. The inset ofFig. 10 shows the untreated NEXAFS spectra of theMAPDST-MMA copolymer. Three main signals, labeled asA, B, and C, can be identified in the pristine film.Comparison with previous results of gas phase SO2 and pol-ymer samples23–25 allows interpretation of the signal A toelectronic transitions involving the spin-orbit split of the2p sulfur excited species (2p1∕2 and 2p3∕2 levels) mainlyto the unoccupied π� antibonding orbitals. Signal B maycorrespond to the mixture of 2p1∕2 → π�, 2p3∕2 → σðC─SÞ,2p1∕2 → σðC─SÞ, and S 2p → empty S 3d transitions that can-not be resolved. Finally, signal C can also be assigned to

higher energy S 2p → empty S 3d transitions and S 2p →π�ðS═OÞ sulfonic acid functionalities. To clearly see the effectof the radiation on the MAPDST-MMA copolymer, Fig. 10shows the difference spectra between each irradiated filmand the untreated spectra (inset of Fig. 10). As can be seenin Fig. 10, signals A and C resisted the irradiation and signalB had already disappeared in the first minute of irradiation.Because signal B is a mixture of several transitions, it can behypothesized that the MAPDST-MMA copolymer maydevelop a rearrangement during irradiation that finally main-tained the S─C and SOx functional groups almost intact(there were no important shifts in energies in the A and Csignals). The energy resolution of the beam line did notallow the separation of each contribution in the NEXAFSspectra. On the contrary, the sulfur NEXAFS spectra ofthe irradiated MAPDST homopolymer (Fig. 11) showed thatsignal B resisted the irradiation and signal C shifted to higherenergies in ∼2 eV. The new signal of C ¼ 176.8 eV can beassigned to a transition from S 2p to the empty S 3d (t2states) and multiple high energy scattering,24 i.e., losingthe SO3 functionality.

From the above photodynamic data, it can be concludedthat for a polarity change for the copolymer on EUVexposure,compared to the homopolymer,16 fewer sulfonyl groups areaffected since the hydrophobic MMA groups function as dis-solution inhibitors. Further evidence is provided by the highersensitivity of the copolymer to EUV photons ∼10 mJ∕cm2

compared to ∼30 mJ∕cm2 for the homopolymer.

3.7 AFM Analysis

Finally, the AFM analysis of the copolymer EUVL featureswas explored as there is more confidence in the exposuredose and subsequent exposure conditions.

The AFM integrated with a diamond needle (cantilever)tip was used for metrology investigations of the MAPDST-MMA copolymer n-CAR. The radius of curvature of the tipapex and spring constant of the cantilever were 1 nm and 20to 50 N∕m, respectively. The internal topography for the20-nm line pattern features could not be imaged satisfacto-rily because the tip was touching the walls of the 20 nmfeatures due to the fast scan speed of the AFM system.

Fig. 9 High-resolution XPS spectra of the S 2p envelope of theMAPDST-MMA copolymer films before irradiation and after 1 and5 min of SR irradiation at 103.5 eV.

Fig. 10 L-near edge x-ray absorption fine structure (NEXAFS) spec-tra of the untreated MAPDST-MMA copolymer (inset) along with theirdifference spectra “irradiated minus untreated spectrum.” Irradiationtimes at 103.5 eV are indicated in the figure.

J. Micro/Nanolith. MEMS MOEMS 043002-6 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 8: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

Figures 12(a) and 12(b) represent the AFM images of thesurface 2.4 × 2.4 μm. While imaging with a slow scanspeed (0.5 Hz with 512 points along the x-line), sufficientresolution was obtained. Though the tip successfullyrevealed that the pattern width is 20 nm, it could not reachto the bottom of each pattern and, therefore, did not showthe internal structure of the pattern walls. Indeed, some-times it was observed that the tip was touching the innerwalls of the 20-nm-wide patterns. Due to the small distanceat the inner space of the pattern, the tip reached the other

side of the inner wall before touching the bottom of the pat-terns. In order to have a clear idea about the actual shape ofthe pattern up to the bottom of the polymer layer, smallersegments of the polymer surface were scanned, such as theone shown in Fig. 12(c) (1.25 μm × 1.25 μm). Note thatthis pattern is not 20 nm wide, it is wider and in therange of 50 to 60 nm as can be seen at the cross-section.We could reach to the bottom because of this larger spaceand detect that the polymer is 43.5 nm thick. This helped inthe imaging of well-developed patterns with regular andexpected shapes.

Figure 12(b) shows the three-dimensional images of theMAPDST-MMA copolymer resist patterns, which signifythe regular space 25 nm (left) and 20 nm (right) of the resistlines and high aspect ratio of the MAPDST-MMA copoly-mer developed line patterns. Additionally, it reveals verygood adhesion between the MAPDST-MMA copolymernegative tone resist and the silicon substrate. Therefore, itindicates that the MAPDST-MMA copolymer line patternshave the required adhesion strength. The depth profile analy-sis and section investigation of Fig. 12(c) confirm that thewell-developed lithographic patterns possess a depth of45 to 50 nm, matching the MAPDST-MMA copolymer resistfilm thickness (40 to 50 nm). It is noted that the MAPDST-MMA negative tone copolymer resist line patterns are fullydeveloped up to the silicon surface.

3.8 Etch Resistance

The etch chemistry of SF6 was used to characterize the non-chemically amplified MAPDST homopolymer and MAPDST-MMA copolymer resists. The thicknesses of the films were

Fig. 11 NEXAFS spectra of the untreated MAPDST homopolymer(inset) along with their difference spectra “irradiated minus untreatedspectrum.” Irradiation times at 103.5 eV are indicated in the figure.

Fig. 12 (a) Atomic force microscope surface topography image of 2.4 × 2.4 μm area for nonchemicallyamplified MAPDST-MMA copolymer resist. (b) Three-dimensional images of MAPDST copolymer resistpatterns with the regular space 25 nm (left) and 20 nm (right). (c) The depth profile analysis and sectioninvestigation.

J. Micro/Nanolith. MEMS MOEMS 043002-7 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 9: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

measured before and after reactive ion etching by Bruker’sDektakXT™ (Santa Barbara, California) StylusProfilometer. The recipe for plasma etching was SF6 at aflow rate of 5 sccm and a pressure of 10 mTorr with anRF power of 20 W for 20, 30, 40, and 60 s for both resistmaterials. The etch ratios of the MAPDST homopolymer andMAPDST-MMA copolymer resists with respect to the sili-con substrate were 7.2∶1 and 8.3∶1, as shown in Fig. 13.These materials show a very high etch resistance to the sil-icon substrate when compared to conventional organicresists.22–27 The MAPDST-MMA copolymer was also testedunder an etch recipe of CHF3∕O2 with a flow rate of22.5∕2.5 sccm at a pressure of 80 mTorr with an RFpower of 150 W for 1 min. In this case, the etch ratio forthe MAPDST-MMA copolymer to the silicon dioxide wasobserved as 0.36∶1, which is also comparable to conven-tional organic resists under the same conditions.28

4 ConclusionsTo conclude, the e-beam lithography and EUVL investiga-tions of two novel resists, MAPDST homopolymer andMAPDST-MMA copolymer, demonstrated their high perfor-mance capabilities resolution down to 20 nm with highercontrast ratio (γ) of 3.6 and 1.8 under e-beam lithographyand etch resistance (under SF6 plasma chemistry) withrespect to silicon substrate are 7.2∶1 and 8.3∶1, respectively.EUV lithography shows similar resolution and good sensi-tivity. Further detailed study is needed to optimize these n-CAR materials for EUV lithography patterning applicationsfor a sub-20 nm node. However, present EUV/e-beam lithog-raphy results demonstrate their excellent performance char-acteristics, showing low line edge roughness comparedwith existing chemical amplified resist materials. Furtherimprovement toward sensitivity of these materials is under-way in order to achieve high resolution line patterns down to11 nm L/S features with low line edge roughness. We arealso exploring the possibility of the incorporation of darkelements in the polymer backbone microstructure to increaseEUV photon absorption capability, which might lead to anincrease in sensitivity.

AcknowledgmentsAcknowledgment is made to Intel Corp, USA, for partialsupport of the project administered by SRC USA. Theauthors would like to thank Patrick Naulleau and ChrisAnderson (LBNL) for extreme ultraviolet exposure using aMicrofield Exposure Tool at LBNL. IIT Mandi acknowl-edges the use of the Center of Excellence in Nanoelectronicsfacilities at IIT Bombay under the Indian NanoelectronicsUser Program and also acknowledges the JMI-University,New Delhi, for the use of the HRSEM system. This workwas also partially supported by the CNPq (processno. 550461/2012-4), CAPES, and LNLS, Brazil. The authorswould also like to acknowledge the technical assistance ofthe Accelerator Group, especially the PGM-VUV and SoftX-ray Spectroscopy Group.

References

1. TWINSCAN NXE:3300B, http://www.asml.com/asml/show.do?lang=EN&ctx=46772&dfp_product_id=842 (30 July 2014).

2. “The international technology roadmap for semiconductors,”REPORTS’ SUMMARIES/Lithography, http://www.itrs.net/Links/2013ITRS/Summary2013.htm (21 August 2014).

3. J. W. Thackeray, “Materials challenges for sub-20-nm lithography,”J. Micro/Nanolith. MEMS MOEMS 10(3), 033009 (2011).

4. P. P. Naulleau et al., “Critical challenges for EUV resist materials,” Proc.SPIE 7972, 797202 (2011).

5. W. Yayi et al., “Performance of chemically amplified resists at half-pitchof 45 nm and below,” Proc. SPIE 6519, 65190R (2007).

6. I. B. Baek et al., “Electron beam lithography patterning of sub-10 nmline using hydrogen silsesquioxane for nanoscale device applications,”J. Vac. Sci. Technol. B 23(6), 3120–3123 (2005).

7. H. Tsubaki et al., “EUV resist materials design for 15 nm hp and below,”Proc. SPIE 8679, 867905 (2013).

8. K. Cho et al., “EUV patterning results at SEMATECH,” in Int. Symp. onExtreme Ultraviolet Lithography, Vol. 1, p. 1001, Curran Associates,Inc. (2012).

9. Y. Ekinei et al., “Evaluation of EUV resist performance with interfer-ence lithography towards 11 nm half-pitch and beyond,” Proc. SPIE8679, 867910 (2013).

10. C. Anderson et al., “The SEMATECH Berkeley MET: demonstration of15-nm half-pitch in chemically amplified EUV resist and sensitivity ofEUV resists at 6.x-nm,” Proc. SPIE 8322, 832212 (2012).

11. V. Singh et al., “Towards novel non-chemically amplified (n-CARS)negative resists for next generation lithography (NGL) applications,”J. Mater. Chem. C 2, 2118–2122 (2014).

12. K. E. Gonsalves et al., “Organic-inorganic nanocomposites: uniqueresists for nanolithography,” Adv. Mater. 13, 703–714 (2001).

13. K. E. Gonsalves and H. Wu, “A novel single-component negative resistfor DUV and electron beam lithography,” Adv. Mater. 13, 195–197(2001).

14. K. E. Gonsalves, “High resolution resists for next generation lithogra-phies,” U.S. Patent 7 008 749 B2 (2006).

15. A. A. Brown et al., “Polymer brush resist for responsive wettability,”Soft Matter 5, 2738–2745 (2009).

16. V. S. V. Satyanarayana et al., “Radiation sensitive novel polymeric resistmaterials: iterative synthesis and their EUV fragmentation studies,” ACSAppl. Mater. Interfaces 6, 4223–4232 (2014).

17. V. Canalejas-Tejero et al., “Ultrasensitive non-chemically amplifiedlow-contrast negative electron beam lithography resist with dual-tonebehaviour,” J. Mater. Chem. C 1, 1392–1398 (2013).

18. The SEMATECH Berkeley Microfield Exposure Tool (MET), http://met.lbl.gov/ (4 December 2013).

19. “Information and data sheets,” http://www.allresist.de (March 2012).20. “Information and data sheets,” http://www.microresist.com (March

2012).21. A. E. Grigorescu and C. W. Hagen, “Resists for sub-20-nm electron

beam lithography with a focus on HSQ: state of the art,”Nanotechnology 20(29), 292001 (2009).

22. F. Gibbons et al., “A chemically amplified fullerence derivative molecu-lar electron beam resist,” Small 3(12), 2076–2080 (2007).

23. B. W. Yates and D. M. Shinozaki, “Radiation degradation of poly(butene-1 sulfone) and poly(1,4-phenylene ether sulfone) in the softx-ray region,” J. Mater. Res. 7(2), 520–524 (1992).

24. E. Cortes et al., “Perchloromethyl mercaptan, CCl3SCl, excited withsynchrotron radiation in the proximity of the sulfur and chlorine 2pedges: dissociative photoionization of highly halogenated species,”J. Phys. Chem. A 113(35), 9624–9632 (2009).

Fig. 13 Etch resistance to plasma etching of MAPDST homopolymerand MAPDST-MMA copolymer resists (under SF6 plasma chemistry)relative to the etch resistance of silicon.

J. Micro/Nanolith. MEMS MOEMS 043002-8 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 10: Performance evaluation of nonchemically amplified negative tone ...faculty.iitmandi.ac.in/~satinder/files/Journals/29.pdf · Performance evaluation of nonchemically amplified negative

25. Q. Lud et al., “Controlling surface functionality through generation ofthiol groups in a self-assembled monolayer,” Langmuir 26(20), 15895–15900 (2010).

26. Y. Jinxing et al., “Novel ester acetal polymers and their application forpositive-tone chemically amplified i-line photoresists,” J. Mater. Chem.C 1(6), 1160–1167 (2013).

27. M. Trikeriotis et al., “A new inorganic EUV resist with high-etch resis-tance,” Proc. SPIE 8322, 83220U (2012).

28. M. A. Ali et al., “A new nanocomposite resist for low and highvoltage electron beam lithography,” Microelectron. Eng. 70(1), 19–29 (2003).

Vikram Singh is presently working as a research scientist in anINTEL USA funded project at the Indian Institute of Technology (IIT)Mandi, Himachal Pradesh, India. He is focusing on nonchemicallyamplified resists for extreme ultraviolet lithography (EUVL) at the10-nm node and beyond. He wrote his PhD thesis on the topic fab-rication, characterization, and reliability study of HfO2 high-k gatedielectric for advanced CMOS technology in 2012 from theElectronics Science Department, Kurukshetra University.

Vardhineedi Sri Venkata Satyanarayana received his PhD in thefield of synthetic organic chemistry from VIT University, Vellore,India, in 2011. He has good hands-on experience in organic synthesisand materials synthesis. Presently, he is working for a project fundedby INTEL Corp., USA, as a research scientist (PDF) at IIT Mandi,Himachal Pradesh. He is working on the design and synthesis of non-chemically amplified resists for EUVL at sub-20 nm half pitch.

Nikola Batina is a full professor of chemistry at the Department ofChemistry, Universidad Autonoma Metropolitana-Iztapalapa (UAM-I), Mexico City, Mexico. He is a (co)author of over 120 publicationsin refereed international journals and supervisor of 11 PhD disserta-tions. He has had research programs on atomic and nanometer-resolution surface science, electrochemistry, and nanotechnology.He is the founder and leader of the Nanotechnology and MolecularEngineering Laboratory.

Israel Morales Reyes is a PhD student at the Biomedical EngineeringSchool at UAM-I, Mexico City, Mexico. He received his bachelor’sdegree in mechatronics engineering from Unidad Profesional Interdis-ciplinaria en Ingeniería y Tecnologías Avanzadas (Mexico City) andhis master’s degree in biomedical engineering (honors) from UAM-I,Mexico City. His interest and work are focused on carbon nanotubeselectronics, neural cells electrophysiology, and nanomaterial charac-terization by atomic force microscope and STM.

Satinder K. Sharma earned his PhD from the Department of Elec-tronics Science, Kurukshetra University, India, in 2007 followed by

postdoctoral studies at IIT Kanpur. He served IIIT Allahabad as a fac-ulty member during 2010 to 2012. He is currently an assistant profes-sor in the School of Computing and Electrical Engineering at IITMandi. His current research interests include microelectronic circuitsand systems, CMOS device fabrication and characterization, nanoe-lectronics, nano/microfabrication and design, polymer nanocompo-site, and sensors, photovoltaic and self-assembly.

Felipe Kessler holds a degree in chemistry from Regional IntegratedUniversity of High Uruguay and Missions, a master’s degree in chem-istry from the Federal University of Rio Grande do Sul (UFRGS), and aPhD in chemistry from UFRGS. He carried out a one-year doctoralperiod in the University of Manchester, United Kingdom, and nowis in a postdoctoral position at Makenzi University, Brazil. He hasexperience in the fields of chemistry, physical chemistry of surfaces,and photochemistry.

Francine R. Scheffer holds a degree in chemistry from the FederalUFRGS and a master’s degree in material science from UFRGS. Shehas experience in physical chemistry, photocatalysts, and hydrogenphotogeneration by the water-splitting reaction.

Daniel E. Weibel studied chemistry (diploma) at the Nacional Univer-sity of Córdoba (UNC) and obtained a PhD in physical chemistry fromUNC. He spent postdoctoral periods at the University of Gakusuin,Japan, Munster University, Germany, and Manchester University,United Kingdom. He is currently an associate professor at UFGRS,Brazil. He has experience in the field of physical-chemistry and, inparticular, in surface science acting on the following topics: TOF-SIMS, x-ray photoelectron spectroscopy, AES, L-near edge x-rayabsorption fine structure, synchrotron radiation, polymers, andphotochemistry.

Subrata Ghosh received his PhD from IIT Guwahati followed by post-doctoral studies at Bar-Ilan University, Israel, Case Western ReserveUniversity, USA, and University of Leipzig, Germany. He is the recipi-ent of the Alexander von Humboldt Fellowship. Currently, he is work-ing as an assistant professor at IIT Mandi. While he is looking at theapplications of newly developed soft materials as molecular markersto understand various biological events, he is equally interested indeveloping materials for electronic applications.

Kenneth E. Gonsalves is a visting distinguished professor at IITMandi, Himachal Pradesh, India. He was the Celanese-Acetate dis-tinguished professor at the University of North Carolina at Charlotte.His research has focused on the development of new resists for EUVLas well as resists for bionanotechnology applications. His emphasis ison polymer materials synthesis, including nanomaterials.

J. Micro/Nanolith. MEMS MOEMS 043002-9 Oct–Dec 2014 • Vol. 13(4)

Singh et al.: Performance evaluation of nonchemically amplified negative tone photoresists. . .

Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 18 Jun 2020Terms of Use: https://www.spiedigitallibrary.org/terms-of-use


Recommended