+ All Categories
Home > Documents > Performance, Power, and Area Design Trade-offs in ...Beamforming Architectures”,submitted to IEEE...

Performance, Power, and Area Design Trade-offs in ...Beamforming Architectures”,submitted to IEEE...

Date post: 26-Aug-2020
Category:
Upload: others
View: 1 times
Download: 0 times
Share this document with a friend
1
Performance, Power, and Area Design Trade-offs in Millimeter-Wave Transmitter Beamforming Architectures Han Yan and Danijela Cabric Array Power Consumption & Cost Results Reference [1] NGMN, “NGMN 5G white paper”, 2015 [2] H. Yan et al, “Performance, Power, and Area Design Trade-offs in Millimeter-Wave Transmitter Beamforming Architectures”, submitted to IEEE Circuits and Systems Magazine, Mar. 2018 Conclusions Array size optimization in each architecture: 1) Trade-off between output and processing power; 2) Sub-array typically requires much higher number of antennas Digital array: 1) Bottleneck is not in digital precoding or DAC; 2) Best choice for use cases with high multiplexing regime Sub-array: 1) Reasonable option in use cases with low multiplexing; 2) Bottleneck is in distribution loss & compensation Fully-connected hybrid array: Excessive power and complexity in RF signal distribution network Introduction The mmWave radio features massive arrays Beamforming gain in Tx & Rx to compensate for propagation loss Multiplexing gain for throughput boost Emerging mmWave arrays architectures: hybrid array Intends to use analog phase shifters to reduce number of RF- chain in massive array Two major designs: sub-array and fully-connected hybrid array Key innovations and contributions: a comprehensive comparison of three 5G-NR Tx array candidates that considers Required performance in typical 5G-NR use cases Hardware design trade-off including the impact of array size on hardware specification requirement Comprehensive hardware block break-down including RF distribution networks in hybrid arrays Comparison Framework Array candidates Link budget estimation Multiuser Beamforming Algorithm and Hardware Design Trade-Off Beamforming with three Tx array architectures Methodology for Array Power Consumption & Cost RF signal distribution budget example in SA Fig. Total power consumption for three architectures operating in the Dense Urban use case. For each array architecture with varying array size, other design parameters are chosen according the analysis in Section III and throughput demands are guaranteed. Processing power (excluding non-silicon PA) per array element are listed in text. Fig. Total power consumption for three architectures when the throughput requirements in use cases are met. Each architecture uses array size that reaches lowest power consumption. Fig. The required power consumption in DA and SA architecture when both are designed to meet the demands of the increased network throughput. DSP efficiency improvement in the future is also included. Fig. IC area breakdown of three architectures. Each of architecture uses array size such that it can meet 5G use cases throughput requirement in an energy efficient manner. Signal distribution example in SA We model the following circuits block in three Tx array architectures DSP: power scales with required baseband precoding operation throughput and precision in bits. Wireline data routing: power scales with throughput of precoded symbols and required precision in bits DAC: power scales with required precision in bits LO/Mixer: fixed power RF Amp.: power scales with required RF signal distribution budget PA: power consumption scales with output power Fig. SINR performance with different hardware impairments. SA and FH have Q = {3,4,5} bits phase shifter (dotted, dashed, and solid curves). The baseband precoding uses fixed point operation with precision 2 bits greater than associated DAC quantization. Figure. Two stage hybrid beamforming illustration in FH architecture. Analog stage and receiver uses maximum ratio transmission and combining while digital stage is used to control interference. Fig. Required transmission power as function of transmitter array size to reach SNR target. Results in three array architectures and three use cases are shown. Multiplexing =8 =2 =1 UE SINR (dB) 22.1 6.2 35.5 a. Based on 3GPP model for above-6GHz band.. b. Includes shadowing and 25mm/h rain absorbtion. c. Based on 8 receiver antennas and 3dBi antenna gain in first two cases and 256 receiver antennas and 3dBi antenna gain in backhauling. Items Dense Urban 50+Mbps Everywhere Self- backhauling BW (MHz) 850 850 850 Distance (m) 100 100 707 Prop. Loss a (dB) 104.4 125.1 118.3 Other Loss b (dB) 12.7 25.3 17.0 Rx Gain c (dB) 12.0 12.0 27.1 Rx NF (dB) 10.0 10.0 10.0 AWGN (dBm) -74.7 -74.7 -74.7 SNR w/o Tx Array (dB) 18.7 -14.7 15.5 Typical Use Cases in 5G-NR [1] Dense Urban: Network throughput 3.75Tbps/km2 50+Mbps Everywhere: 100Mbps for 2500 connection/km2 NLOS UEs Self-backhauling: Peak rate 10Gbps Comparison is based on Performance requirement survey for 5G-NR use cases Simulation study of required output power & array size Circuits block model of entire transmission system Trade-off between transmission power and processing power Channel model ( cluster and intra-cluster rays; independent for UEs) Tx beamforming with DA There is no RF beamformer, i.e., Digital beamformer uses regularized zero-forcing, i.e., where DA = H is post- combining effective channel Received signal model ( -th UE) Assumptions: 1) CSI is perfectly known to both BS and Ues; 2) one data stream for one UE; 3) UEs have the same SNR; 4) Each UE with analog array uses maximum ratio combining. Digital Array (DA) Sub-Array (SA) Fully-connected Hybrid Array (FH) Tx beamforming with SA RF beamformer is maximum ratio transmission, i.e., where SA is selected elements in -th column of SA Digital beamformer uses regularized zero-forcing, i.e., where SA = H SA is the effective channel with combiner and analog precoders Tx beamforming with FH Similar to SA except full occupation in FH due to fully-connection between phase shifters and antennas Summary of hardware model (see [2] for detailed equations) Adapted from H-tree based RF signal distribution and compensation network in 60GHz 256-element array [Zihir et al, IEEE TMTT, 2016] Acknowledgment This work is partially supported by National Science Foundation through grant 1718742
Transcript
Page 1: Performance, Power, and Area Design Trade-offs in ...Beamforming Architectures”,submitted to IEEE Circuits and Systems Magazine, Mar. 2018 Conclusions • Array size optimization

Performance, Power, and Area Design Trade-offs in Millimeter-Wave Transmitter Beamforming Architectures

Han Yan and Danijela Cabric

Array Power Consumption & Cost Results

Reference[1] NGMN, “NGMN 5G white paper”, 2015[2] H. Yan et al, “Performance, Power, and AreaDesign Trade-offs in Millimeter-Wave TransmitterBeamforming Architectures”, submitted to IEEECircuits and Systems Magazine, Mar. 2018

Conclusions

• Array size optimization in each architecture: 1) Trade-offbetween output and processing power; 2) Sub-array typicallyrequires much higher number of antennas

• Digital array: 1) Bottleneck is not in digital precoding or DAC;2) Best choice for use cases with high multiplexing regime

• Sub-array: 1) Reasonable option in use cases with lowmultiplexing; 2) Bottleneck is in distribution loss &compensation

• Fully-connected hybrid array: Excessive power andcomplexity in RF signal distribution network

Introduction

The mmWave radio features massive arrays• Beamforming gain in Tx & Rx to compensate for propagation loss• Multiplexing gain for throughput boost

Emerging mmWave arrays architectures: hybrid array• Intends to use analog phase shifters to reduce number of RF-

chain in massive array• Two major designs: sub-array and fully-connected hybrid array

Key innovations and contributions: a comprehensive comparisonof three 5G-NR Tx array candidates that considers• Required performance in typical 5G-NR use cases• Hardware design trade-off including the impact of array size on

hardware specification requirement• Comprehensive hardware block break-down including RF

distribution networks in hybrid arrays

Comparison FrameworkArray candidates

Link budget estimation

Multiuser Beamforming Algorithm and Hardware Design Trade-Off

Beamforming with three Tx array architectures

Methodology for Array Power Consumption & Cost

RF signal distribution budget example in SA

Fig. Total power consumption for three architectures operating in the Dense Urban use case. For each array architecture with varying array size,other design parameters are chosen according the analysis in Section III and throughput demands are guaranteed. Processing power (excludingnon-silicon PA) per array element are listed in text.

Fig. Total power consumption for threearchitectures when the throughput requirements inuse cases are met. Each architecture uses array sizethat reaches lowest power consumption.

Fig. The required power consumption in DAand SA architecture when both are designedto meet the demands of the increasednetwork throughput. DSP efficiencyimprovement in the future is also included.

Fig. IC area breakdown of threearchitectures. Each of architecture usesarray size such that it can meet 5G usecases throughput requirement in anenergy efficient manner.

Signal distribution example in SA

We model the following circuits block in three Tx array architectures• DSP: power scales with required baseband precoding operation

throughput and precision in bits.• Wireline data routing: power scales with throughput of precoded

symbols and required precision in bits• DAC: power scales with required precision in bits• LO/Mixer: fixed power• RF Amp.: power scales with required RF signal distribution budget

PA: power consumption scales with output power

Fig. SINR performance with different hardware impairments. SAand FH have Q = {3,4,5} bits phase shifter (dotted, dashed, andsolid curves). The baseband precoding uses fixed point operationwith precision 2 bits greater than associated DAC quantization.

Figure. Two stage hybrid beamforming illustration in FH architecture. Analogstage and receiver uses maximum ratio transmission and combining while digitalstage is used to control interference.

Fig. Required transmissionpower as function oftransmitter array size toreach SNR target. Results inthree array architectures andthree use cases are shown.

Multiplexing 𝑈 = 8 𝑈 = 2 𝑈 = 1UE SINR (dB) 22.1 6.2 35.5

a. Based on 3GPP model for above-6GHz band..b. Includes shadowing and 25mm/h rain absorbtion.c. Based on 8 receiver antennas and 3dBi antenna gain in firsttwo cases and 256 receiver antennas and 3dBi antenna gain in

backhauling.

ItemsDense Urban

50+Mbps Everywhere

Self-backhauling

BW (MHz) 850 850 850Distance (m) 100 100 707Prop. Lossa

(dB)104.4 125.1 118.3

Other Lossb

(dB)12.7 25.3 17.0

Rx Gainc (dB) 12.0 12.0 27.1Rx NF (dB) 10.0 10.0 10.0

AWGN (dBm) -74.7 -74.7 -74.7SNR w/o Tx Array (dB)

18.7 -14.7 15.5

Typical Use Cases in 5G-NR [1]• Dense Urban: Network throughput

3.75Tbps/km2• 50+Mbps Everywhere: 100Mbps for

2500 connection/km2 NLOS UEs• Self-backhauling: Peak rate 10Gbps

Comparison is based on• Performance requirement survey for

5G-NR use cases• Simulation study of required output

power & array size• Circuits block model of entire

transmission system • Trade-off between transmission power

and processing power

Channel model (𝑳 cluster and 𝑹 intra-cluster rays; independent for UEs)

• Tx beamforming with DAThere is no RF beamformer, i.e.,

Digital beamformer uses regularized zero-forcing, i.e.,

where 𝐆DA 𝑢 = 𝐰𝑢H𝐇𝑢 is post-

combining effective channel

Received signal model (𝑢-th UE)

Assumptions: 1) CSI is perfectly known to both BS and Ues; 2) one data stream for one UE; 3) UEs have the same SNR; 4) Each UE with analog array uses maximum ratio combining.

Digital Array (DA)

Sub-Array (SA)

Fully-connected Hybrid Array (FH)

• Tx beamforming with SARF beamformer is maximum ratio transmission, i.e.,

where 𝐫SA is selected elements in 𝑟-th column of 𝐑SADigital beamformer uses regularized zero-forcing, i.e.,

where 𝐆SA 𝑚 = 𝐰𝑚H𝐇𝑚𝐑SA is the effective channel

with combiner and analog precoders

• Tx beamforming with FHSimilar to SA except full occupation in 𝐑FH due to fully-connection between phase shifters and antennas

Summary of hardware model (see [2] for detailed equations)

Adapted from H-tree based RF signal distribution and compensation network in60GHz 256-element array [Zihir et al, IEEE TMTT, 2016]

Acknowledgment

This work is partially supported by NationalScience Foundation through grant 1718742

Recommended