+ All Categories
Home > Documents > Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically...

Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically...

Date post: 01-Mar-2021
Category:
Upload: others
View: 9 times
Download: 0 times
Share this document with a friend
14
Photosensitized Chemically Amplified Resist TM (PSCAR TM ) 2.0 for high throughput and high resolution EUV lithography: Dual photosensitization of acid generation and quencher decomposition by flood exposure Seiji Nagahara 1* , Michael Carcasi 2 , Gosuke Shiraishi 3 , Hisashi Nakagawa 4 , Satoshi Dei 5 , Takahiro Shiozawa 6 , Kathleen Nafus 7 , Danilo De Simone 8 , Geert Vandenberghe 8 , Hans-Jürgen Stock 9 , Bernd Küchler 9 , Masafumi Hori 5 , Takehiko Naruoka 4 , Tomoki Nagai 4 , Yukie Minekawa 3 , Tomohiro Iseki 3 , Yoshihiro Kondo 3 , Kosuke Yoshihara 3 , Yuya Kamei 6 , Masaru Tomono 3 , Ryo Shimada 3 , Serge Biesemans 10 , Hideo Nakashima 1 , Philippe Foubert 8 , Elizabeth Buitrago 11 , Michaela Vockenhuber 11 , Yasin Ekinci 11 , Akihiro Oshima 12 , and Seiichi Tagawa 12 1 Tokyo Electron Ltd., 3-1 Akasaka 5-chome, Minato-ku, Tokyo 107-6325, Japan, 2 Tokyo Electron America, Inc., 2400 Grove Boulevard, Austin, TX 78741, USA, 3 Tokyo Electron Kyushu Ltd., 1-1, Fukuhara, Koshi-shi, Kumamoto 861-1116, Japan, 4 JSR Corporation, 100 Kawajiri-cho, Yokkaichi, Mie, 510-8552, Japan, 5 JSR MICRO NV, Technologielaan 8 - B-3001 Leuven, Belgium, 6 Tokyo Electron Kyushu Ltd., assignee to imec, Kapeldreef 75, B-3001 Leuven, Belgium, 7 Tokyo Electron America, Inc., assignee to imec, Kapeldreef 75, B-3001 Leuven, Belgium, 8 imec, Kapeldreef 75, B-3001 Leuven, Belgium, 9 Synopsys GmbH, Karl-Hammerschmidt-Strasse 34, 85609 Aschheim, Germany, 10 Tokyo Electron Europe Ltd., assignee to imec, Kapeldreef 75, B-3001 Leuven, Belgium, 11 Paul Scherrer Institute, CH-5232 Villigen PSI, Switzerland, 12 Osaka University, Mihogaoka 8-1, Ibaraki, Osaka 567-0047, Japan. ABSTRACT A new type of Photosensitized Chemically Amplified Resist TM (PSCAR TM ) ** : “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL TM ’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography. Advances in Patterning Materials and Processes XXXIV, edited by Christoph K. Hohle, Proc. of SPIE Vol. 10146, 101460G · © 2017 SPIE · CCC code: 0277-786X/17/$18 · doi: 10.1117/12.2258217 Proc. of SPIE Vol. 10146 101460G-1 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
Transcript
Page 1: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

Photosensitized Chemically Amplified ResistTM

(PSCARTM

) 2.0

for high throughput and high resolution EUV lithography:

Dual photosensitization of acid generation and

quencher decomposition by flood exposure

Seiji Nagahara1*

, Michael Carcasi2, Gosuke Shiraishi

3, Hisashi Nakagawa

4, Satoshi Dei

5,

Takahiro Shiozawa6, Kathleen Nafus

7, Danilo De Simone

8, Geert Vandenberghe

8,

Hans-Jürgen Stock9, Bernd Küchler

9,

Masafumi Hori5, Takehiko Naruoka

4, Tomoki Nagai

4,

Yukie Minekawa3, Tomohiro Iseki

3, Yoshihiro Kondo

3, Kosuke Yoshihara

3, Yuya Kamei

6,

Masaru Tomono3, Ryo Shimada

3, Serge Biesemans

10, Hideo Nakashima

1, Philippe Foubert

8,

Elizabeth Buitrago11

, Michaela Vockenhuber11

, Yasin Ekinci11

,

Akihiro Oshima12

, and Seiichi Tagawa12

1Tokyo Electron Ltd., 3-1 Akasaka 5-chome, Minato-ku, Tokyo 107-6325, Japan,

2Tokyo Electron America, Inc., 2400 Grove Boulevard, Austin, TX 78741, USA,

3Tokyo Electron Kyushu Ltd., 1-1, Fukuhara, Koshi-shi, Kumamoto 861-1116, Japan,

4JSR Corporation, 100 Kawajiri-cho, Yokkaichi, Mie, 510-8552, Japan,

5JSR MICRO NV, Technologielaan 8 - B-3001 Leuven, Belgium,

6Tokyo Electron Kyushu Ltd., assignee to imec, Kapeldreef 75, B-3001 Leuven, Belgium,

7Tokyo Electron America, Inc., assignee to imec, Kapeldreef 75, B-3001 Leuven, Belgium,

8imec, Kapeldreef 75, B-3001 Leuven, Belgium,

9Synopsys GmbH, Karl-Hammerschmidt-Strasse 34, 85609 Aschheim, Germany,

10Tokyo Electron Europe Ltd., assignee to imec, Kapeldreef 75, B-3001 Leuven, Belgium,

11Paul Scherrer Institute, CH-5232 Villigen PSI, Switzerland,

12Osaka University, Mihogaoka 8-1, Ibaraki, Osaka 567-0047, Japan.

ABSTRACT

A new type of Photosensitized Chemically Amplified ResistTM (PSCARTM)**: “PSCAR 2.0,” is introduced in this paper.

PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a

“photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP).

With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then,

during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a

PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and

reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same

target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose

to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different

quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S,

1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance

was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width

roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TELTM’s

standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the

accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of

PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV

lithography.

Advances in Patterning Materials and Processes XXXIV, edited by Christoph K. Hohle, Proc. of SPIEVol. 10146, 101460G · © 2017 SPIE · CCC code: 0277-786X/17/$18 · doi: 10.1117/12.2258217

Proc. of SPIE Vol. 10146 101460G-1Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 2: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

UV floodexposure

1 /

Coating andPAB

ResistCoating

L J

PSCART.PhotosensitizedChemicallyAmplifiedResistTM

EUV patternexposure

Acid and I Additional acidphotosensitizes generation by

generation photosensitization

Resist polaritychange reaction

(deprotection etc.),

n.r.r.

High sensitivity&high resolutionaltern formation

ASML

ASML NXE EUV exposure tool

Keywords: Photosensitized Chemically Amplified Resist, PSCAR, PSCAR 2.0, chemically amplified resist, sensitivity,

resolution, LER, RLS trade-off, photosensitizer, photosensitizer precursor, chemical gradient, in-line coater/developer,

flood exposure, quencher loading and flood exposure dose co-optimization.

*[email protected]

** PSCARTM and Photosensitized Chemically Amplified ResistTM are trademarks of Tokyo Electron Ltd. and Osaka University.

1. INTRODUCTION

In order to lower the cost of ownership of extreme ultraviolet lithography (EUVL) in high volume manufacturing

(HVM), high sensitivity EUV resists enabling high throughput are being sought intensely 1-4. Nonetheless, stochastic

events like photon and chemical shot noise significantly limit lithography performance of EUV resists5-12. Thus,

sensitivity enhancement while keeping lithographic performance in EUV lithography is considered extremely difficult.

The concept of a Photosensitized Chemically Amplified ResistTM (PSCARTM) has been proposed by Tagawa et al.,13 of

Osaka University. This concept can be a promising solution to achieve increased resist sensitivity while maintaining

other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude).

PSCAR uses a flood exposure subsequent to an EUV pattern exposure to sensitize the decomposition of a photo acid

generator (PAG). The preliminary results have been discussed in previous papers13-17.

This paper introduces a new concept for PSCAR (“PSCAR 2.0”). PSCAR 2.0 enhances resist sensitivity and latent

image contrast by using the sensitization of not only the PAG decomposition but also the quencher decomposition during

the flood exposure. The contrast enhancement is realized by the flexibility of increasing the quencher loading while

simultaneously optimizing the flood exposure dose.

In section 2 of this paper, the conventional PSCAR concept which photosensitizes acid generation is reviewed with

relation to simulation results. In section 3, the new PSCAR 2.0 concept is introduced with simulation and experimental

results. Then in section 4, stochastic simulation results are shown to elucidate PSCAR behavior with relation to line

width roughness (LWR).

2. PSCAR: PHOTOSENSITIZATION OF ACID GENERATION

In this section, we will review the basic PSCAR concept13,14, 16,17 as background information for PSCAR 2.0 shown in the

following section. PSCAR photosensitizes acid generation in order to obtain higher sensitivities and enhanced contrast.

Figure 1 schematically shows the basic PSCAR process flow. In the PSCAR process, simply a UV flood exposure step is

added for PSCAR sensitization. By using an inline process flow from coating to development, we assume that the post

EUV exposure delay time effects can be escaped.

Figure 1. PSCAR process flow.

Proc. of SPIE Vol. 10146 101460G-2Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 3: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

R

R3-

Photosensitizer Precursor (PP)

R.

H' catalyticdeprotection

roaó

GAO

0.08

0.08

uux

PS

250

Longer absorption peak

PSCAR Absorption PropertiesR3- Resist EUV pattern UV flood

components exposure exposurePhotosensitizer (PS)

Polymer Yes No

No365 nm floodexposure light Quencher Yes No

PP Yes NoPS Yes Yes

00 50

In Figure 1, it is shown that after coating the wafer with resist and post apply bake (PAB), an EUV pattern exposure is

executed. During the EUV exposure step, an acid product and a photosensitizer (PS) are generated. After the EUV

pattern exposure, an ultraviolet (UV) flood exposure creates additional acids in the resist by photosensitization. After

generating enough acids in the resist, a post exposure bake (PEB) is performed to change resist polarity. Finally,

development of the resist completes the resist patterning process.

In the flow shown in Figure 1, it is clear that the PSCAR material itself and the flood exposure module are key for the

successful development and introduction of PSCAR in semiconductor mass fabrication.

PSCAR is based on chemically amplified resists (CAR). A photosensitizer precursor (PP) is added into the PSCAR in

addition to the ordinary CAR components such as a protected polymer, a photo acid generator (PAG), and a quencher. In

PSCAR, the PAG needs the ability of being photosensitized during UV flood exposure. A “PAG which can be

photosensitized by the flood exposure” is abbreviated as “PS-PAG” in this paper.

The typical chemical structures of a PP and a PS are shown in Figure 2. PP is converted to PS by a catalytic chain

reaction induced by the acid generated during the EUV exposure. This chemical structure change allows for selective UV

absorption at the EUV pattern exposed areas. The PP does not have absorption at 365 nm which is the flood exposure

wavelength while the PS has significant absorption at this wavelength. Through this conversion, PS selectively absorbs

UV light to sensitize PS-PAG decomposition and generate more acids.

PSCAR absorption properties at the EUV and UV flood exposure wavelengths are summarized in the table shown in

Figure 2. For EUV resists, EUV absorption is not very selective for each component. During EUV pattern exposure, acid

is generated and the PS can be generated by the reaction with acid at room temperature. The PP to PS reaction is

designed to occur at room temperature due to the low PP deprotection activation energies. On the other hand, the PS in

PSCAR selectively absorbs UV flood exposure light as shown in the table in Figure 2. PS excitation in the EUV pattern

exposed area during a UV flood exposure induces further acid generation by photosensitization.

Figure 2. Left: UV spectrum shift by photosensitizer (PS) generation from a photosensitizer precursor (PP).

Right: PSCAR absorption properties for EUV pattern exposure and UV flood exposure.

Figure 3 shows a simple model equation that describes the line edge roughness (LER).18 From the equation, one can

deduce that the LER of a resist can be reduced by enhancing chemical contrast of the resist for each dose. PSCAR aims

to break the resolution (R), line-edge-roughness (LER), and sensitivity (S) trade-off (RLS trade-off) relationships by

contrast enhancement.

Proc. of SPIE Vol. 10146 101460G-3Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 4: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

EUV dose j

LERsimple model

Variation in deblocked polymer concentration(EUV shot noise, etc.)

dm /dx%U°

Finite size of a resist molecule

Q LER =

Gradient of blocked polymer concentrationCha lenge of chemicalcont ast enhancement

Reg. QCAR

After EUV exposure(20 mJ /cm2)

Of

oyy

0.6

0.4

E 0.2z

0

-18124 d 0 4 8 1218x Position(nm)

Quencher loading:0.0724

Acid neutralization

1

@ 0.8

8s

2

0.6

0.4

0.2

0.8

08

04

0.2

Flood exposure(No flood)

0 o --16 -124 -4 0 4 8 1216 -18-124 .4 0 4 8 1218

x Position (nm) x Position(nm)

After PEB

0.2 -

'2'

-1812 -8 -4 0 4 8 1216x Position (nm)

-Acid-Base

PAG

-m

dm/dx = 0.055

Figure 3. LER simple model18: PSCAR tries to mitigate roughness of patterns by contrast enhancement.

The detailed behavior of PSCAR is modeled and analyzed using TEL’s internal lithography simulator called

LITHOLAB19 with the optical simulation by KLA Tencor’s PROLITH20, 21. The simulations aim to predict PSCAR

performance and optimize resist formulation. Further details of the simulation are explained elsewhere.19

With the simulated results, the resist system differences are compared between a conventional CAR (Figure 4) and a

PSCAR (Figure 5) with a conventional non-decomposable quencher (a regular quencher such as amines).

In the conventional CAR system (Figure 4), the acid, base (non-decomposable quencher), PAG, and protected polymer

(m) concentrations are simulated. Quencher loading is adjusted based on the acid amount in the resist at 20 mJ/cm2 EUV

dose in this case. The quencher loading in the conventional CAR cannot be higher than the acid amount to be able to

image the resists. Remaining acids after acid quenching (acid neutralization) by bases can catalyze the deprotection of

polymer during PEB. This polymer deprotection causes polarity change to solvate the resist during development.

Figure 4. Normalized concentrations of the chemical species in a CAR with a non-decomposable quencher (regular

quencher) for 16 nm HP L/S. Acid concentration (red), base (quencher) concentration (blue), and PAG concentration

(orange) are normalized to an initial PAG concentration. Blocked polymer concentration (m) (purple) is normalized to an

initial m concentration.

Simulation results of a PSCAR with a non-decomposable quencher (PSCAR 1.0) are shown in Figure 5. Similar to the

conventional CAR with a non-decomposable quencher (Figure 4), the resist component’s relative concentrations are

calculated for the PSCAR 1.0 system at 20 mJ/cm2 EUV dose in Figure 5.

In the case of conventional PSCAR 1.0, the acid generated by EUV pattern exposure is used to generate PS as shown in

Figure 5. This PS photosensitizes PS-PAG decomposition and creates additional acid products. This photosensitization

most likely occurs through electron transfer from excited states of PS to PAG17, 22. The PS image can be improved by

acid quenching in the first step and therefore the PS can create an improved acid image by photosensitization.

The quencher loading in the case of PSCAR 1.0 in Figure 5 can also be increased as compared to the conventional CAR

because the acid amount in the PSCAR can be enhanced by a flood exposure. With the increased quencher loading,

larger amplitude of acids in the resist is realized by photosensitization. The quenching of the unnecessary acid by

increased amount of quencher creates an improved acid image.

Proc. of SPIE Vol. 10146 101460G-4Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 5: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

After EUV exposure Acid neutralization(20 mJ /cm2) PS generation

&

1

y.e

1

1

0.6

0.8

0.4

03

o

Flood exposure(2030 mJ /cm2)

g1

y 0.8

80.6

I 0.4

0.2

£

oeQuencher loading:

Reg. Q ó 06 0.5

41¡

g 0.8

e 0.6

8 04 1 lPSCAR 1.0$ 64n

0.2nÉ 0.22 I \ r `o

-16-12 41 J 0 4 8 12 18o-18-12 41 2 0 4 8 1218 0 4 8 12 18

Position (nm) Position (nm) r PosiBOn (nm)

After PEB

-16 -12-8 -4 0 4 8 1216it Position (nm)

-Acid-Base-PS- PAG-m

dm/dx = 0.103

Rt

Rp-S * Y-143

PDB (quencher)

R4

R5-á rR6

PS-POD (quencher)

EUV exposure

EUV exposure

WY- Inert to resist(Water or weak acid)

+ other by- products

WY- Inert to resist(Water or weak acid)

+ other by- products

An enhanced image is obtained with the PSCAR system according to our simulation results in Figure 5. The chemical

contrast of the protected polymer (dm/dx) becomes roughly twice as the amount of quencher and flood exposure dose are

increased to generate enough acid in the system. Using this contrast enhancement technique, the sensitivity of the resist

can be improved by mitigating shot noise effects on roughness with reduced EUV doses.

Figure 5. Normalized concentrations of the chemical species in a PSCAR with a non-decomposable quencher (regular

quencher) (PSCAR 1.0) for 16 nm HP L/S. Acid concentration (red), base (quencher) concentration (blue), and

photosensitizer (PS) concentration and PAG concentration (orange) are normalized to an initial PAG concentration.

Blocked polymer concentration (m) (purple) is normalized to an initial m concentration.

3. PSCAR 2.0: PHOTOSENSITIZATION OF ACID GENERATION AND QUENCHER

DECOMPOSITION

The benefit of PSCAR as described in section 2 is to be enhanced by introducing additional chemistry. The new version

of PSCAR (PSCAR 2.0) utilizes photosensitization of not only acid generation but also quencher decomposition.

Instead of using non-decomposable quenchers (regular quencher) such as amines, a photo-decomposable base (PDB)

type quencher23-26 can be used in the PSCAR system. The PDB can be onium salts with OH- anion or –COO- anion to

quench super strong acids by anion exchange.23, 24 Resists with a PDB are designated as “PSCAR 1.5” in this paper.

PSCAR 1.5 has the advantage of improving the image contrast due to the decomposition of the quencher (Figure 6)

during an EUV exposure. However, PSCAR 1.5 has one disadvantage. If the PDB does not decompose by

photosensitization during a UV flood exposure (Figure 7), the contrast gained by the PDB will be reduced in the case of

high sensitization of a PSCAR 1.5 when using lower EUV doses.

To escape this situation, a “PDB which can be photosensitized by the flood exposure” (PS-PDB) is introduced to

improve the contrast in a PSCAR. The new PSCAR type is designated as a “PSCAR 2.0.” The PS-PDB decomposes

during EUV pattern exposure (Figure 6) and creates a quencher image in the resist. In addition, the PS-PDB decomposes

during a UV flood exposure by photosensitization (Figure 7). Similar to the PS-PAG, the PS-PDB should not absorb UV

flood exposure wavelengths to escape direct decomposition of the PS-PDB. Photosensitization induced decomposition of

the PS-PDB (most likely by an electron transfer17, 22) should proceed through the excitation of PS. To make the

photosensitization possible, the reduction potential of the onium salt PDB should be higher than the threshold, as it is in

the case of PAG sensitization17, 22. The PS-PDB decomposition though PS excitation during the flood exposure is

expected to create higher quencher image contrast.

Figure 6. EUV pattern exposure induced reactions of quenchers: PDB vs. PS-PDB.

Proc. of SPIE Vol. 10146 101460G-5Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 6: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

Substrateiesist film stagy

iattern exposa

(EUV etc.)iV food expos

iPEBi

DevelopmersEtching

cks

ure

sure

It

r

PS -PAG

PS -PDBquencher

PS -PDBquencher

PS -PAG +

PS -PDB +quencher

Polymer +(Nonpolar)

PS -PDBquencher +

Polymer -

$611 wprkc yt;

QueeoGlwr

Irleet fu resisF

rn exposure

:m exposure

Acid catalytic re

No bake or low ten

Neutralizatic

UV flood exposu

'composes by photos

UV flood expost

composes by photos

:atalytic reaction

feat (FEB)

Neutralization

PTD or

EUV patte

EUV patte

Via + Acid

+ Acid

PS

PS

De

De

Acid

Acid

Acid c

H

Development

Acid

Inert to resist(Weak acid)

:action

np bake

Ph

Inert IC

:ensitizationiiensitáation

P

Inert

Polymer +(Polar)

9 Inert to resist

NTD

iotosensitizer(PS)

D resist

kcid + PS

to resist + PE

Acid

PSCAR 2.0 r

In the EUV

decompositio

decompositio

increases. Aft

acid catalytic

the PP to PS

PAG and PS-

During the U

excitation.

During the P

improved ima

Finally, durin

tone developm

Figure

eaction steps

pattern expo

on creates an

on, acid quenc

fter pattern exp

c reactions at

conversion, a

-PDB decomp

UV flood expo

PEB in Figure

age of protect

ng developme

ment (NTD). T

e 7. UV flood

are summariz

sure step in

acid product

ching functio

posure, the PS

room tempera

a sharper imag

position.

osure in Figur

e 8, the acid i

ed polymers.

ent in Figure 8

The simulatio

exposure indu

zed in Figure 8

Figure 8. P

Figure 8, bot

t. PS-PDB d

onality is lost

S-PDB quench

ature. When t

ge of the PS is

re 8, PS-PAG

is used to de

8, the resist pa

on examples in

uced reaction

8.

PSCAR 2.0 rea

th of PS-PAG

ecomposition

and the effe

hes a strong ac

the acid quenc

s formed. This

G and PS-PDB

-protect polym

atterns are for

n this paper as

s of quencher

action steps.

G and PS-PD

creates the

ective acid co

cid. In paralle

ching process

s image of the

B decompose

mers. In para

rmed by posit

ssume PTD.

s: PDB vs. PS

DB decompose

inert materia

oncentration in

el, the convers

s occurs at a r

e PS is used fo

in the EUV p

llel, quenchin

tive tone deve

S-PDB.

e in PSCAR

l products. W

n the pattern

sion of PP to P

relatively quic

or photosensit

patterned area

ng of the acid

elopment (PTD

2.0. PS-PAG

With the PDB

exposed area

PS happens by

cker pace than

tization of PS

as through PS

d results in an

D) or negative

G

B

a

y

n

-

S

n

e

Proc. of SPIE Vol. 10146 101460G-6Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 7: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

PDBCAR

Q

yy

g

ß

After

0.8

0.6

0-10.12

EUV exposure(20 mJ /cm2)

p@

8

Acid neutralization

É 0.8

a oe

0.4H

0.2

.10.12

Flood exposure(No flood)

I

88

_

After PEB

08

08

0.4

0.2

-Acid-Base

PAG

-m

b-4 0 4 8x Position (nm)

1218

Quencher loading:0.0793

dm/dx = 0.058.8 .4 0 4 8 1218

x Position (nm)

0r.18-12 -0 < 0 4 0 12 18

x Positim (nm)

'.18.12 .4 0 4 e 12s Position (nm)

16

PS-PDB áPSCAR 2.0á

2

After

1

O8

0.8

04

0.2

0

EUV exposure(20 mJ /cm2)

s

z

Acid

0.8 -

0.4 -

0.2 -

a

neutralizationPS generation

&

S8

0.2

o-18 -12 -8 -4 0 4 8 1218

x Position (nm)

-18-1241<0 4 8 12 18x Position(nm)

Flood exposure(1510 mJ /cm2)

-18 -12 -8 -4 0 4 8 12 18x Position (nm)

2

0.8

0.2

o

After PEB

-18-12 -8 -4 0 4 8 12 18x Position 1nm)

- Acid-Base-Ps-PAG-M

dm/dx = 0.125

In Figures 9 and 10, the reactions of a conventional CAR with a PDB and a PSCAR 2.0 (a PSCAR with a PS-PDB) are

compared with LITHOLAB simulation results.

Figure 9. Normalized concentrations of the chemical species in a CAR with a PDB for 16 nm HP L/S. Acid

concentration (red), base (quencher) concentration (blue), and PAG concentration (orange) are normalized to an initial

PAG concentration. Blocked polymer concentration (m) (purple) is normalized to an initial m concentration.

The simulation results in Figure 9 show the concentrations of chemical species in a CAR with a PDB for each process

step. With the use of the PDB, the base (quencher) profile is generated by an EUV exposure (20 mJ/cm2) in addition to

the acid profile. Therefore, slight chemical gradient improvement dm/dx is seen compared to a conventional CAR as

shown in Figure 4.

Figure 10 shows the normalized concentrations of the chemical species after an EUV exposure (20 mJ/cm2) in a PSCAR

with a PS-PDB (PSCAR 2.0). In the PSCAR 2.0, quencher concentration can be increased greatly because additional

acids are generated by a flood exposure and additional quenchers are decomposed during the flood exposure. Figure 10

shows the case of quencher concentration of 0.6 compared to PAG. As shown in Figure 10, the protected polymer

gradient dm/dx of PSCAR 2.0 is much higher than dm/dx for the conventional CAR with a PDB in Figure 9 (more than

twice the dm/dx value).

Figure 10. Normalized concentrations of the chemical species in a PSCAR with a PS-PDB (PSCAR 2.0) for 16 nm HP

L/S. Acid concentration (red), base (quencher) concentration (blue), and photosensitizer (PS) concentration and PAG

concentration (orange) are normalized to an initial PAG concentration. Blocked polymer concentration (m) (purple) is

normalized to an initial m concentration.

Figure 11 shows the protected polymer gradient (dm/dx) for different resist types and different EUV exposure doses.

Proc. of SPIE Vol. 10146 101460G-7Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 8: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

0.16

0.14

0.12

0.10

0.08

0.06

0.04

0.02

0.00

PSCAR

PSCAR 0

15 20 25 30

EUV Exposure Dose (mJ /cm2)

- * -PSPDB PSCAR (Q =0.8)

-H-PDB PSCAR (1=0.6)

4-Reg. Q PSCAR (Q= 0.45 -0.55)

e-PDB CAR (Q= 0.049 -0.122)

4-Reg. Q CAR (Q= 0.0456 -0.122)

Figure 11. Chemical gradient for different resist types with the change of EUV exposure doses for 16 nm HP L/S.

Quencher concentrations (relative to PAG) (Q) used in the simulation are described in parentheses. For the CARs, the

quencher concentration was changed to get the target CD for each EUV dose. For regular quencher PSCAR, Q is

changed to get the best dm/dx. For PDB PSCAR (PSCAR1.5), fixed Q amount was used (roughly the max dm/dx). For

PS-PDB PSCAR (PSCAR 2.0), Q =0.8 was used as a selected value. The calculated dm/dx will be further increased with

increased Q in the case of PSCAR 2.0 (See Fig. 12).

In Figure 11, for the conventional CARs (a regular quencher CAR and a PDB CAR), the resist sensitivities are changed

by changing the quencher amount. To achieve higher resist sensitivity (= less EUV dose to target), the quencher loading

needs to be reduced in the case of conventional CARs. With the reduced amount of quencher, acid amplitude goes down

to get target CD. As a result, chemical gradient in the resists degrades with sensitivity increase in the case of

conventional CARs.

On the other hand, PSCARs can exhibit higher freedom to increase the quencher loading concentration. The best

quencher loading can be selected to get higher contrast in the resist because more acid can be obtained during the flood

exposure.

The PSCAR 1.0 in Figure 11 provides a better chemical contrast than a conventional CAR. A PSCAR with a PDB

(PSCAR 1.5) in Figure 11 has better performance than PSCAR 1.0. However, in the case of PSCAR 1.5, the chemical

gradient tends to decrease with sensitization due to the reduction of PDB decomposition by EUV exposure dose

reduction as shown in Figure 11. The PSCAR 2.0 concept solves this issue and keeps the high contrast at lower doses in

Figure 11. The PS-PDB (quencher) decomposition during the flood exposure seems to help contrast enhancement when

higher quencher loading concentrations are used.

Figure 12 shows the plot of dm/dx for different quencher loading concentrations and EUV exposure doses for PSCAR

1.5 and PSCAR 2.0. In the case of PSCAR 1.5, the quencher loading for maximum dm/dx decreases with reduced EUV

doses. The extremely high quencher loading concentrations (>0.7) tend to decrease chemical contrast as shown in Figure

12.

On the other hand, in Figure 12, PSCAR 2.0 is shown to have a higher capability of improving chemical gradient by

adding higher quencher concentrations. This capability is realized by quencher decomposition with the flood exposure-

induced photosensitization. Higher quencher loading with quencher image by PS-PDB decomposition may also be

beneficial for blocking acid diffusion in the non-pattern exposed area. In addition, higher quencher loading may be

beneficial for reducing quencher-induced chemical shot noise.

As shown in the simulation results (Figures 11 and 12), the potential of contrast enhancement is the highest in PSCAR

2.0. The possibility of increased loading of PS-PDB needs to be confirmed via experiments in the future.

Proc. of SPIE Vol. 10146 101460G-8Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 9: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

0.16

0.14

0.12

0.10

0.08

0.06

0.04

0.02

0.0003 0.4 0.5 0.6 0.7 0.3 as 1 1.1 1.2 13

Quencher (PDB or PS -PDB) loading relative to PAG

Solid Une PS-POS PSCM

-.-ssM/all2- - . «. rLIM/2

v.. . -2M/rn2N e 1 +30M/an2

PSCAR 1.5`1Y '`.,Dashed Line =PwMAR

]SM/Gn2-. roM/un2- 25 ml/cm2-30 MAT'

PSCAR 2.0

(Refereewith PD

MIN L/S 1:1Ref.

PDB CAR

UV 0 -1/cm' UV 0 J/em2 W 3 Jlcm' UV 6 Jim?

ill 11111

PSCAR .

PDB PSCAR 1.5ice CARB + PP)

Dose to size (mJ /an`)

Sensitivity vs. ref.

PS -PDB PSCAR 2.0(Reference CAR

with PS -PDB + PP)

22.6 18.6

x1.15 x1.37 x2.02

Dose to size (mJ /cm2) 30.8 22.8 16.2 10.4

Sensitivity vs. ref. x1.22 x1.64 x2.31 x3.61

Figure 12. Chemical gradient for PSCAR 1.5 and PSCAR 2.0 with the change of quencher loading and EUV exposure

doses (15 – 30 mJ/cm2 in the graph) for 16 nm HP L/S. The flood exposure doses are adjusted to get 16 nm HP L/S with

different quencher loadings.

The preliminary PSCAR 2.0 experimental results are shown in Figure 13. PSCAR 1.5 results are also shown in Figure 13

for comparison. These results show the preliminary tests without quencher concentration increase to get better contrast.

EUV exposures are performed with ASML’s NXE:3300 with TEL’s stand-alone flood exposure tool at imec.

Figure 13. PSCAR 1.5 and PSCAR 2.0 preliminary sensitization tests with EUV exposures with ASML NXE:3300 and

UV flood exposures with TEL’s pre-alpha UV (365 nm) flood exposure tool (stand-alone) at imec. Patterns are 16 nm

HP L/S. Quencher concentrations are the same for all samples (not optimized to get the best contrast with the flood

exposure).

As can be seen in Figure 13, the sensitivity is enhanced with increased flood exposure doses for both PSCAR 1.5 and

PSCAR 2.0. With 3 J/cm2 flood exposure, 1.37 times sensitivity enhancement compared to the reference base resist is

seen for PSCAR 1.5 and 1.64 times sensitivity enhancement is obtained for PSCAR 2.0. With 6 J/cm2 and 9 J/cm2 flood

exposures in Figure 13, higher sensitization results are shown. For the higher sensitization, roughness increase is

observed so far nonetheless. The roughness at higher sensitization needs to be reduced by quencher concentration

increase in the future tests.

Proc. of SPIE Vol. 10146 101460G-9Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 10: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

PSCAR 1.5 (PSCAR with PDB) PSCAR 2.0 (PSCAR with PS -PDB)

E 100%

80%

t 60%rE 40%LL

T. 20%

0%0

With PS(Exposed area)

With PP(Non- exposed

area)

2 4 6UV Flood Exposure Dose (J /cm2) UV Flood Exposure Dose (J /cm2)

The corresponding LWR values have not been shown as these values are not reliable due to delay time effects in the

experimental set-up with the stand-alone flood exposure tool with manual wafer handling in clean room air. As a

reference values, the LWR values (3σ) for PSCAR 1.5 example are 4.37 nm (at UV 0 J/cm2), 4.85 nm (at UV 3 J/cm2),

5.41 nm (at UV 6 J/cm2), 6.39 nm (at 9 J/cm2). LWR values (3σ) for PSCAR 2.0 example are 4.39 nm (at UV 0 J/cm2),

5.22 nm (at UV 3 J/cm2), 6.47 nm (at UV 6 J/cm2). To completely break the RLS tradeoff relationship, further

improvement and adjustment of resist materials and formulation are needed.

The focus of the future work will be maximizing contrast by quencher loading optimization and by having higher

efficiency of photosensitization to reduce the reactions of the non-EUV exposed area during flood exposure. In addition,

inline operation in a newly developed flood exposure tool in TEL’s CLEAN TRACKTM is expected to solve the delay

time issues in the future.

For the EUV doses with very high photon shot noises, the contrast enhancement by PSCAR system will not be sufficient

to mitigate the roughness increase. Therefore, in the future tests, we need to elucidate how much sensitization is possible

with keeping roughness performance by experiments.

Figure 14 shows the sensitization test results for the resists with PP and PS with 365 nm UV flood exposure. PSCAR 1.5

and PSCAR 2.0 results are compared. In Figure 14, the non-EUV exposed area is assumed by a PSCAR itself (with PP).

The EUV exposed area is assumed by a PSCAR with replacing PP by PS (deprotected ketone PS is added in the resist).

Figure 14. PSCAR 1.5 and PSCAR 2.0 remaining film thickness with UV flood exposures (365 nm wavelength) after

development. Only the quencher type is changed between PSCAR 1.5 (with a PDB) and PSCAR 2.0 (with a PS-PDB).

The rest of the resist components (a protected polymer, a PS-PAG and a PS/PP) are the same. The same quencher

concentrations are used for all samples. No EUV exposure was done for this test. The EUV exposure effect is mimicked

by replacing PP by PS in the resist film.

In the tests of the non-EUV exposed area (with PP), unwanted gradual film thickness loss is seen with flood exposure

dose increase for both PSCAR 1.5 and PSCAR 2.0. This background reaction is assumed to be due to base resist

absorption at UV flood exposure wavelengths. One option to reduce this effect is to improve the transparency of the base

polymer. Another option is to sensitize faster to mitigate the background absorption effects.

For the resists with PS and PP in Figure 14, it is clearly found that the flood-exposure-induced sensitization is happening

for both PSCAR 1.5 and PSCAR 2.0 with PS selectively. The sensitivity of the resist is enhanced for the PSCAR 2.0 by

more than double of the PSCAR 1.5 (Dose of the PSCAR 2.0 is less than half of the PSCAR 1.5). The results also

support the conclusion that the PSCAR 2.0 photosensitization can be accelerated by decomposition of the PS-PDB

during flood exposure.

4. PSCAR STOCHASTIC SIMULATION

The stochastic resist simulator has been developed to predict PSCAR behavior under photon and chemical shot noise.

The stochastic simulations have been performed by using Synopsys’ Sentaurus Lithography (S-Litho) 27, 28. The model

Proc. of SPIE Vol. 10146 101460G-10Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 11: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

Photon Acid amplificationDistribution by photosensitization

Acid.. after EUV exposure after photosensitization

Inhibitor Final resistconcentration profile

after PEB 16 nm 1:1 L/S

I/O I JaIIIC

SynopsysS -Litho Prototype

ach wit

Le4J 3

2

1

Regular quencher PDB (Photo Decomposable Base)t t quencher

umulated

PDBQuencher

results of

PDBQuencher

ulation e

PS -PDBQuencher

h different.

Reg. Q Reg. Q PDB PDB PS -PDBCAR PSCARI.O CAR PSCARI.5 PSCAR2.0

takes into account the roughness effects due to the shot noise and secondary electron effects during exposure, and the

interaction among the finite number of chemical molecules27. In a prototype implementation of PSCAR, it is possible to

simulate each step of the process, as shown in Figure 15.

Figure 15. PSCAR 2.0 stochastic simulation examples using Synopsys S-Litho prototype for 16 nm HP L/S. Photon and

chemical shot noises and secondary electron effects during exposure are taken into account. Each step of the process can

be simulated.

As a side note, the parameters used in S-Litho stochastic simulations are similar but not the same as the continuum

LITHOLAB simulation model discussed in Section 2 and 3.

Figure 16. LWR values (3σ) of 16 nm HP L/S patterns obtained by stochastic simulator for different resist types. The

EUV exposure dose is fixed at 20 mJ/cm2 for all the resists. Flood exposure doses for sensitization are fixed at 5 J/cm2

for PSCARs. For CARs, no flood exposure is assumed. Quencher amounts are optimized to get 16 nm HP L/S at the

fixed EUV and flood exposure doses. The lowest (best) LWR is obtained for PSCAR 2.0.

As shown in Figure 16, using the lithography stochastic simulation, LWR values for each resist type are simulated for

16 nm L/S using the same EUV exposure condition as in imec’s set up. Each LWR value is derived from 40 different

seeds and the obtained values for each simulation are accumulated to get the final LWR values using all the calculated

values. In the simulation in Figure 16, the EUV dose is fixed at 20 mJ/cm2 for all the resists. The flood exposure doses

are set to be zero for CAR and 5 J/cm2 for PSCARs. The quencher loadings for each resist are adjusted to get 16 nm L/S

pattern size.

Proc. of SPIE Vol. 10146 101460G-11Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 12: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

35

29.5 mJ/cm230

-31% dose

25 22.5 mJ/cm2

20 -

15PDB PS -PDBCAR PSCAR2.0

From the simulation result in Figure 16, the highest (worst) LWR value is observed when the CAR with the regular

quencher is used. A PSCAR with the regular quencher improved the image in Figure 16. Among PDB CAR, PSCAR 1.5,

and PSCAR 2.0, PSCAR 2.0 realized the lowest LWR around 3 nm when PDB CAR induces roughly 5 nm LWR. From

the stochastic simulation in Figure 16, it is confirmed that PSCAR 2.0 can provide the best LWR value under the same

EUV dose and flood exposure dose condition.

Figure 17 shows dose to size values for PDB CAR and PSCAR 2.0 for 16 nm L/S while keeping LWR performance the

same.

Figure 17. Dose to size for PDB CAR and PSCAR 2.0 for 16 nm L/S while keeping LWR performance the same.

Quencher amount is set the same for both resists and not optimized (not increased) to get best contrast with PSCAR 2.0.

Flood exposure dose: 0 J/cm2 for PDB CAR, 5 J/cm2 for PS-PDB PSCAR2.0.

Quencher amount is set to the same value for both resists and is not optimized (not increased) to get the best contrast

with PSCAR 2.0. In this condition, 31% dose reduction is confirmed. With the quencher loading and flood exposure co-

optimization, further improvement of sensitivity while keeping LWR performance is expected and will be the focus of

future work. The current simulation results suggest that PSCAR 2.0 has the capability of sensitivity enhancement while

keeping similar LWR.

SUMMARY

PSCAR performance when different types of quenchers are used is examined by simulation and experiments. PSCAR

uses “selective” photo sensitization during the flood exposure. The chemical contrast is further enhanced by the

simultaneous optimization of quencher loading and UV flood exposure dose.

A PSCAR continuum model simulator (by TEL) and stochastic simulator (by Synopsys) have been developed to help

predict PSCAR performance. Among the PSCARs with different quencher types, the best performance was found when

PSCAR 2.0 with PS-PDB was used. This PSCAR 2.0 had the highest possible chemical gradient and the lowest LWR.

From these results, it is concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 enables the highest

sensitization with improved contrast for EUV lithography.

Finally, the performance of both PSCAR 1.5 and PSCAR 2.0 has been confirmed on ASML’s NXE:3300 with TEL’s

standalone pre-alpha flood exposure tool at imec. It was found in these preliminary experiments that PSCAR 2.0 indeed

shows an enhanced photosensitization capability in comparison to PSCAR 1.5. Further optimization of the PSCAR

material formulation is needed, but the initial results are promising to already elucidate the potential of PSCARs.

ACKNOWLEDGEMENT

We would like to acknowledge all of our collaborative partners and colleagues who supported this work. We also thank

ASML for fruitful discussions related to this work. We also thank Dr. Wolfgang Demmerle and Mr. Hironobu Taoka of

Proc. of SPIE Vol. 10146 101460G-12Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 13: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

Synopsys for valuable discussions. We would also like to thank Japan Science and Technology Agency (JST) for partial

support on this work.

REFERENCES

[1] Martin van den Brink, “Cost-effective shrink with Holistic Lithography, extended by EUV,” EUVL Symposium

(2015).

[2] Anna Lio, “EUV resists: What's next?” Proc. SPIE 9776, 97760V (2016).

[3] Takahiro Kozawa, Julius Joseph Santillan and Toshiro Itani, “Relationship between sensitizer concentration and

resist performance of chemically amplified extreme ultraviolet resists in sub-10 nm half-pitch resolution region,”

Jpn. J. Appl. Phys., 56 016501 (2017).

[4] Seiji Nagahara, Yusuke Sakurai, Masanori Wakita, Yukio Yamamoto, Seiichi Tagawa, Masanori Komuro, Ei Yano,

Shinji Okazaki, “Methods to Improve Radiation Sensitivity of Chemically Amplified Resists by Using Chain

Reactions of Acid Generation,” Proc. SPIE, 3999, 386 (2000).

[5] Chris A. Mack, "Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore’s

Law," Proc. SPIE, 9189, 91890D (2014).

[6] Y. Ma, H. J. Levenson, and T. Wallow, “Line edge roughness impact on critical dimension variation,” Proc. SPIE

6518, 651824 (2007).

[7] G. M. Gallatin, "Resist blur and line edge roughness," Proc. SPIE, 5754, 38 (2005).

[8] Gregg M. Gallatin, Patrick Naulleau, Robert Brainard, “Fundamental Limits to EUV Photoresist,” Proc. SPIE, 6519,

651911 (2007).

[9] Robert L. Brainard, Peter Trefonas, Jeroen H. Lammers, Charlotte A. Cutler, Joseph F. Mackevich, Alexander

Trefonas, Stewart A. Robertson, "Shot noise, LER and quantum efficiency of EUV photoresists," Proc. SPIE, 5374,

74 (2004).

[10] Andrew R. Neureuther and C. Grant Willson, “Reduction in x-ray lithography shot noise exposure limit by

dissolution phenomena,” J. Vac. Sci. Technol. B 6, 167 (1988).

[11] James W. Thackeray, Roger A. Nassar, Robert Brainard, Dario Goldfarb, Thomas Wallow, Yayi Wei, Jeff Mackey,

Patrick Naulleau, Bill Pierson, and Harun H. Solak, “Chemically amplified resists resolving 25 nm 1:1 line-space

features with EUV lithography,” Proc. SPIE, 6517, 651719 (2007).

[12] Thomas Wallow, Craig Higgins, Robert Brainard, Karen Petrillo, Warren Montgomery, Chiew-Seng Koay, Greg

Denbeaux, Obert Wood, Yayi Wei, “Evaluation of EUV resist materials for use at the 32 nm half-pitch node,” Proc.

SPIE, 6921, 69211F (2008).

[13] Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima, “Super High Sensitivity Enhancement by Photo-Sensitized

Chemically Amplified Resist (PS-CAR) Process,” J. Photopolymer Science and Technology, 26(6), 825 (2013).

[14] Seiichi Tagawa, Akihiro Oshima, Satoshi Enomoto, C. Q. Dinh, “High-resist sensitization by pattern and flood

combination lithography,” Proc. SPIE, 9048, 90481S (2014).

[15] Elizabeth Buitrago, Seiji Nagahara, Oktay Yildirim, Hisashi Nakagawa, Seiichi Tagawa, Marieke Meeuwissen,

Tomoki Nagai, Takehiko Naruoka, Coen Verspaget, Rik Hoefnagels, Gijsbert Rispens, Gosuke Shiraishi, Yuichi

Terashita, Yukie Minekawa, Kosuke Yoshihara, Akihiro Oshima, Michaela Vockenhuber, Yasin Ekinci, “Sensitivity

enhancement of chemically amplified resists and performance study using extreme ultraviolet interference

lithography,” J. Micro/Nanolith. MEMS MOEMS., 15(3), 033502 (2016).

[16] Tomoki Nagai, Hisashi Nakagawa, Takehiko Naruoka, Seiichi Tagawa, Akihiro Oshima, Seiji Nagahara, Gosuke

Shiraishi, Kosuke Yoshihara, Yuichi Terashita, Yukie Minekawa, Elizabeth Buitrago, Yasin Ekinci, Oktay Yildirim,

Marieke Meeuwissen, Rik Hoefnagels, Gijsbert Rispens, Coen Verspaget, Raymond Maas, “Novel high sensitivity

EUV photoresist for sub-7nm node”, Proc. SPIE, 9779, 977908 (2016).

[17] Seiji Nagahara, Michael Carcasi, Hisashi Nakagawa, Elizabeth Buitrago, Oktay Yildirim, Gosuke Shiraishi, Yuichi

Terashita, Yukie Minekawa, Kosuke Yoshihara, Masaru Tomono, Hironori Mizoguchi, Joel Estrella, Tomoki Nagai,

Takehiko Naruoka, Satoshi Dei, Masafumi Hori, Akihiro Oshima, Michaela Vockenhuber, Yasin Ekinci, Marieke

Meeuwissen, Coen Verspaget, Rik Hoefnagels, Gijsbert Rispens, Raymond Maas, Hideo Nakashima, Seiichi

Tagawa, “Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically

Amplified Resist (PSCAR) with flood exposure” Proc. SPIE, 9776, 977607 (2016).

[18] Chris A. Mack, “A Simple Model of Line-Edge Roughness,” Future Fab International, Vol. 34 (2010).

Proc. of SPIE Vol. 10146 101460G-13Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 14: Photosensitized Chemically Amplified ResistTM (PSCARTM ...23229...Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 for high throughput and high resolution EUV lithography:

[19] Michael A. Carcasi, Seiji Nagahara, Gosuke Shiraishi, Tomohiro Iseki, Yukie Minekawa, Kosuke Yoshihara,

Hisashi Nakagawa, Takehiko Naruoka, Tomoki Nagai, Akihiro Oshima, Seiichi Tagawa, “Simulation and

experimentation of PSCARTM chemistry for complex structures,” Proc. SPIE, 10143-76 (2017) (to be published).

[20] Chris A. Mack, “Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation,” Finle

Technologies (1997).

[21] PROLITH™, http://www.kla-tencor.com/Lithography-Software/chip-prolith.html.

[22] J. V. Crevello, “Cationic polymerization - Iodonium and sulfonium salt photoinitiators,” Vol. 62 of the series

Advances in Polymer Science, 1 (1984).

[23] Funato, S., Kinoshita, Y., Kudo, T., Masuda, S., Okazaki, H., Padmanaban, M., Przybilla, K. J., Suehiro, N.,

Pawlowski, G., “Photodecomposable Bases: A Novel Concept to Stabilize Chemically Amplified Resists,” Journal

of Photopolymer Science and Technology, 543-554 (1995).

[24] Padmanaban, M., Bae, J. B., Cook, M., Kim, W. K., Klauck-Jacobs, A., Kudo, T., Rahman, M. D., Dammel, R. R.,

Byers, J. D., “Application Of Photodecomposable Base Concept To 193 nm Resists,” Proc. SPIE 3999, 1136-1146

(2000).

[25] Takahiro Kozawa, “Optimum concentration ratio of photodecomposable quencher to acid generator in chemically

amplified extreme ultraviolet resists,” Jpn. J. Appl. Phys. 54, 126501 (2015).

[26] Suchit Bhattarai, Andrew R. Neureuther and Patrick P. Naulleau, “Simulation analysis of LER and dose tradeoffs

for EUV resists with photo-decomposable quenchers,” Proc. SPIE 8679, 867925 (2013).

[27] Weimin Gao, Alexander Philippou, Ulrich Klostermann, Joachim Siebert, Vicky Philipsen, Eric Hendrickx, Tom

Vandeweyer, Gian Lorusso, “Calibration and verification of a stochastic model for EUV resist,” Proc. SPIE 8322,

83221D (2012).

[28] Sentaurus Lithography, https://www.synopsys.com/silicon/mask-synthesis/sentaurus-lithography.html.

Proc. of SPIE Vol. 10146 101460G-14Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use


Recommended