+ All Categories
Home > Documents > Platinum films with ALD · Shehzad Munshi [email protected] +44 (0)1923 690215 USA...

Platinum films with ALD · Shehzad Munshi [email protected] +44 (0)1923 690215 USA...

Date post: 05-Jul-2020
Category:
Upload: others
View: 0 times
Download: 0 times
Share this document with a friend
40
An Angel Business Communications publication Volume 34 Issue 3 2012 Platinum films with ALD Oxford Instruments compares plasma and thermal Show stopping Dynamic industry change at yearly get together MEMS development The time for MEMS has been here for some time MEMS Sensors The range of applications are greater than imagined MEMS Market The growth potential of the very small 22nm and Beyond Many flavours and opportunities will make up the final recipe for future manufacturing Stretching possiblities Flexible electronics shaping the future
Transcript
Page 1: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

An Angel Business Communications publication Volume 34 Issue 3 2012

Platinum films with ALDOxford Instruments compares plasma and thermal

Show stoppingDynamic industrychange at yearlyget together

MEMSdevelopmentThe time for MEMShas been here forsome time

MEMS SensorsThe range ofapplications aregreater thanimagined

MEMS MarketThe growthpotential of thevery small

22nm and BeyondMany flavours andopportunities willmake up the finalrecipe for futuremanufacturing

StretchingpossiblitiesFlexible electronicsshaping the future

Front Cover Silicon Final.qxp 25/7/12 12:45 Page 1

Page 2: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Contact Watlow® today for the latest thermal solutions for semiconductor applications.Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal Contact Watlow® today for the latest thermal solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.solutions for semiconductor applications.

Optimize the Thermal Performance of your

Process Equipment.

Watlow provides innovative

solutions to help process tool

manufacturers meet the needs of the

semiconductor technology roadmap.

Our approach is to work collaboratively

to help solve complex thermal

challenges associated with state-of-the-

art manufacturing processes; helping

to improve yield, throughput and cost

of ownership. This includes innovative

heaters, controllers and sensors for use

in front-end and back-end applications

such as CVD, PECVD, Etch, Diffusion,

Bonding, IC test and more.

Extensive computational tools enable product designs to be highly refined for ultimate performance.

Multi-zone circuit layouts in a polyimide construction are highly customized to deliver exacting performance up to 250°C.

EZ-ZONE® RM Multi-loop controllers are fully scalable with up to 152 PID Loops and 256 monitor points per system.

ASSURANT™ gas and pump line heaters feature high temperature capabilities with low outgassing.

Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Optimize the Thermal Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your Performance of your

Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.Process Equipment.

Extensive computational tools enable product designs to be highly refined for ultimate performance.

European Technical Sales Offices

Germany +49 (0) 7253-9400-0 [email protected]

France +33 1 41 32 79 70 [email protected]

Italy +39 02 4588841 [email protected]

Spain +34 91 675 1292 [email protected]

UK +44 (0) 115-964-0777 [email protected]

Page 3: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 3

editorialview

Editor-in-ChiefDavid Ridsdale [email protected] +44 (0)1923 690200

Consultant EditorRichard Stevenson PhD

[email protected] +44 (0)1291 629640

News EditorDr. Su Westwater [email protected]

Director of Solar & Semiconductor PublishingJackie Cannon [email protected] +44 (0)1923 690205

Senior Sales ExecutiveRobin Halder [email protected]+44 (0)2476 718109

Sales ManagerShehzad Munshi [email protected]+44 (0)1923 690215

USA RepresentativesBrun MediaTom Brun E: [email protected]: 724 539-2404

Janice Jenkins E: [email protected]: 724-929-3550

Director of Logistics Sharon Cowley [email protected]+44 (0)1923 690200

Design & Production Manager Mitchell Gaynor [email protected] +44 (0)1923 690214

Circulation DirectorJan Smoothy [email protected] +44 (0)1923 690200

Subscriptions ManagerDebbie Higham [email protected]+44 (0)1923 690220

Chief Operating OfficerStephen Whitehurst [email protected]+44 (0)2476 718970

Directors Bill Dunlop Uprichard – CEOStephen Whitehurst – COOJan Smoothy – CFOJackie Cannon, Scott Adams,Sharon Cowley, Sukhi Bhadal

Published byAngel Business Communications Ltd,Hannay House, 39 Clarendon Road,Watford, Herts WD17 1JA, UKT: +44 (0)1923 690200F: +44 (0)1923 690201

Angel Business Communications LtdUnit 6, Bow Court, Fletchworth Gate,Burnsall Road, Coventry CV5 6SPT: +44 (0)2476 718 970F: +44 (0)2476 718 971

Silicon Semiconductor is published four times a year on acontrolled circulation basis. Non-qualifying individuals cansubscribe at: £105.00/€158 pa (UK & Europe), £138.00 pa (air mail), $198 pa (USA). Cover price £4.50. All informationherein is believed to be correct at time of going to press.The publisher does not accept responsibility for any errors and omissions. The views expressed in this publicationare not necessarily those of the publisher. Every effort hasbeen made to obtain copyright permission for the material contained in this publication.

Angel Business Communications Ltd will be happy toacknowledge any copyright oversights in a subsequent issueof the publication. Angel Business Communications Ltd © Copyright 2012. All rights reserved. Contents may not bereproduced in whole or part without the written consent ofthe publishers. The paper used within this magazine isproduced by chain of custody certified manufacturers,guaranteeing sustainable sourcing.

US mailing information: Silicon Semiconductor (ISSN 1096-598X) is published 8 times a year Jan/Feb, March,April/May, June, July, August/September, October,November/December for a subscription of $198 by Angel Business Communications Ltd, Hannay House,39 Clarendon Road, Watford, Herts WD17 1JA, UK.Periodicals postage paid at Rahway, NJ. POSTMASTER: sendaddress changes to: Silicon Semiconductor,c/o Mercury International Ltd, 365 Blair Road, Avenel, NJ 07001

Printed by: Pensord Press. © Copyright 2012.ISSN 1096-598X (Print)ISSN 2042-7328 (Online)

Volume 34 Issue 3

CONNECTING THE SILICON SEMICONDUCTOR COMMUNITY

The 450mm juggernaut

As expected the major manufacturers haveinstigated the move to 450mm and onceagain have moved in such a way that OEMand materials manufacturers have nochoice but to join in or fear losing out onthe next increase in wafer size. At leastthis is the case for most of the industryexcept one company whose bold moveshave rewritten the way OEMs deal withmanufacturers. ASML was one of the firstcompanies I did a profile on when Ijoined this industry and a great deal haschanged to enable the company to notonly become the largest OEMsupplier on the planet but to haveenough clout to encourage manufacturers toinvest billions of dollars in ASML to ensure that 450mm targets are made possible.

People should not underestimate the dynamic change that ASMLs dogged insistence that theywould not be stumping up the research costs for 450mm and EUV without financial investmentsfrom the three major industry manufacturers. When the 300mm transition took place a numberof OEM companies attempted to involve the manufacturers in covering the growing researchcosts on tools that may never see an ROI. One OEM even went as far as providing totalsolutions for the move to 300mm and the upcoming move towards 65nm but this was dismissedout of hand by the bigger players at the time. In fact much of the dialogue around 300mm wasabout the unfairness of how costs were distributed along the value chain.

At the time many companies stated they would not allow the manufacturers to dictate the rulesat the next size transition. ASML was definitely not one of the companies back then and was noteven the number one lithography company. The fact they are now able to dictate terms to themanufacturers shows how much of enabler lithography has become. Not just in terms of thetechnology but the sheer cost of new tools means they are taking an ever increasing part of theIC pie. A large enough section to be able to give manufacturers a taste of their own medicine.

This will not be the end of the changing dynamics and ASML’s boldness only serves a warningthat more of this is to come. Maintaining Moore’s Law is no longer the right of the manufacturerto expect the required tools. The value chain is more integrated with fewer players sosometimes the choices are limited for manufacturers providing one lithography company thetechnical expertise required to force a cash up front scenario that would have been unthinkableonly a few years ago.

As 450mm takes hold companies will need to ensure potential customers are truly aware of theirskill and expertise as there will be richer and louder competitors jumping up and down trying toget the same attention.

David RidsdaleEditor

Comment 3FINAL.qxp 25/7/12 14:47 Page 3

Page 4: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

12-104

All Things Vacuum

www.lesker.com

Rectangular Valve Issues?KJLC Rectangular Gate Valves are the solution.No spring actuation means low-particulate generation!

Lesker Valves

Pressure Control and Isolation Systemfor downstream control applications

Combined Butterfly & Gate Valve

www.vatvalve.com

Compact Single piece body design with integrated controller and isolation valve

Reliable Even in contaminating processes

Powerful Outstanding control performance

Swiss Headquarters Tel ++41 81 771 61 61 [email protected]

VAT Benelux Tel ++31 30 6018251 [email protected]

VAT France Tel 01 69 20 69 11 [email protected]

VAT Germany Tel (089) 46 50 15 [email protected]

VAT U.K. Tel 01926 452 753 [email protected]

VAT USA Tel (781) 935 1446 [email protected]

VAT Japan Tel (045) 333 11 44 [email protected]

VAT Korea Tel 031 662 68 56 [email protected]

VAT Taiwan Tel 03 516 90 88 [email protected]

VAT China Tel 021 5854 4300 [email protected]

VAT Singapore Tel 0065 6252 5121 [email protected]

Untitled-3 1 23/07/2012 14:29

Page 5: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 5

Volume 34 Issue 3

CONNECTING THE SILICON SEMICONDUCTOR COMMUNITY contents

14 Platinum thin film optionsThere is a constant search for materials that can provide conductibility without loss of signalin the harsher environment that scaling brings.Comparisons of thermal and plasma ALD are given to move towards manufacturability.

20 Show stopping changesThe annual Semicon West in the USA is overshadowed by the news that one tool provider has encouraged proactive investmentfrom manufacturers in the move to 450mm. A proposal that would have seemed impossible afew years ago.

24 MEMS Focus - ManufacturingThis month we focus on MEMS. No longer the potential industry MEMS is making a mark.Here we have the foundry perspective

28 MEMS Focus - SensorsSensors were the original success story for MEMS but the range of application and opportunity has only continued to grow.

30 MEMS Focus - ApplicationsMobility is the buzz word for microelctronics andMEMS is no different with a growing array of devices moving into the mobile space.

32 No set road for 22nmWith so many challenges facing scaling below 28nm there is still no consensus as to which waymanufacturers will go. Even at the 22nm node there are still a number of options to consider.

34 Stretchable electronicsPrintable and flexible IC devices are beginning to make a mark and will continue to do so as the range of potential applications is realised.

industry & technology

news

14

3432

07

12 13

07

07 Graphene potential closerASML announce results

08 DRAM pricing improvesLarge order for secondary market

10 China PC market to growPanasonic commits to R&D

12 NAND ranking supriseNew SEMI board member

13 Self assembled devicesFuture gate options

37 STATISTICS - MEMSHow far will MEMS go in the mobile market?

Contents Final DR.qxp 25/7/12 12:44 Page 5

Page 6: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

PLASMAPLASMAInstrumental in changeLeading plasma process innovation

Plasma Etch & Deposition

Atomic Layer Deposition

Ion Beam Etch & Deposition

Deep Silicon Etch

Oxford Instruments Plasma Technology is turning smart science into world class products with its flexible systems for precise and repeatable etching, deposition and growth of micro and nano structures

For more information, please contact Oxford Instruments Plasma Technology: Tel: +44 (0)1934 837 000 Email: [email protected]

www.oxford-instruments.com/plasma

Dedicated exclusively to compound semiconductor, silicon semiconductor and solar recruitment

E: [email protected]: www.css-jobs.net

T: +44 (0) 2476 718 970

To find the right professionals with the training and experience tailored to your industry can be difficult

To be the market leader you need the best people working for you

By using CSS-Jobs.net you can reach 100,000 industry professionals globally

Find your new recruit today from the lab to the fab to the boardroom through CSS-Jobs.net

Supported by

Untitled-1 1 24/07/2012 11:05

Page 7: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 7

news � review

Graphene potential closerRESEARCHERS have developed a methodof manufacturing transistors with graphenethat could lead to a consistent and viablemanufacturing process for a material thathas been showing promise for manyyears. Graphene, a one-atom-thick layer ofgraphitic carbon, has attracted a greatdeal of attention for its potential use as atransistor that could make consumerelectronic devices faster and smaller. Butthe material’s unique properties, and theshrinking scale of electronics, also makegraphene difficult to fabricate on a largescale. The production of high-performancegraphene using conventional fabricationtechniques often leads to damage to thegraphene lattice’s shape and performance,resulting in problems that include parasiticcapacitance and serial resistance.

Now, researchers from the CaliforniaNanoSystems Institute at UCLA, the UCLADepartment of Chemistry andBiochemistry, and the department ofmaterials science and engineering at the

UCLA Henry Samueli School ofEngineering and Applied Science havedeveloped a successful, scalable methodfor fabricating self-aligned graphenetransistors with transferred gate stacks.

By performing the conventionallithography, deposition and etching stepson a sacrificial substrate before integratingwith large-area graphene through aphysical transferring process, the newapproach addresses and overcomes thechallenges of conventional fabrication.With a damage-free transfer process and aself-aligned device structure, this methodhas enabled self-aligned graphenetransistors with the highest cutofffrequency to date — greater than 400 GHz.

The research demonstrates a unique,scalable pathway to high-speed, self-aligned graphene transistors and holdssignificant promise for the futureapplication of graphene-based devices inultra–high-frequency circuits. Authors of

the research include UCLA chemistrypostdoctoral scholars Lei Liao and HailongZhou; UCLA chemistry graduate studentsLixin Liu and Shan Jiang; UCLA materialsscience and engineering graduatestudents Rui Cheng, Yu Chen, YungChenLin and Jinwei Bai (now a researchscientist at IBM); UCLA associateprofessor of materials science andengineering Yu Huang; and UCLAassociate professor of chemistry andbiochemistry Xiangfeng Duan.

ASML announce resultsASML announced the investment in450mm by manufacturers signalling achange in industry dynamics and nowconfirms its global strength with positivefiscal results. The company has confirmedsteady sales for the remainder of the yearand is on track for 2012 second half salesbetween EUR 2.2 and 2.4 billion.

“We executed H1 2012 as planned andexpect sales to remain steady in thesecond half,” said Eric Meurice, Presidentand Chief Executive Officer of ASML. “Thesecond half revenue level is expected tobe between EUR 2.2 billion and 2.4 billionand looks sustainable by an increase ofNAND memory critical layer systemsshipments, stability of DRAM memorysystems sales, and slower 28/32 nm Logicin the second half compared with the firsthalf. The exact level of sales achieved inthe second half will depend on thestrength of NAND pick up, itself fueled byultrabook PCs and smartphone ramps.”

For the third quarter 2012, ASML expectsnet sales of about EUR 1.2 billion, grossmargin of about 43 percent, R&D costs atEUR 145 million and SG&A costs at EUR60 million. To date they have shipped 30TWINSCAN NXT:1950i systems. Also in

this quarter A TWINSCAN NXT:1950i hasexceeded the productivity milestone ofmore than 5,100 wafers in a single day,600 wafers more than the previous record.

“On the technology front, we expect toship the first of the NXE:3300,” Meuricesaid. “Our production-capable ExtremeUltraviolet (EUV) system, by the end of thisyear or early next year and the rest of our11 unit order in 2013. These tools will beused for process development. We arefurthermore making progress in preparingEUV lithography for 2014 deviceproduction, evidenced by customercommitment to purchase four additionalproduction systems for delivery in 2014.This commitment is enabled by the datagathered on source power increase andby steady performance of the six unitsalready in the field,”.

The computational lithography unit Briondelivered enhancements to its leadingMask 3D models and applications, whichare required at the 20 nanometer nodeand below. The full accuracy of the BrionMask 3D models can now be realized withvirtually zero incremental computationalcost as well as substantially less accuratethin mask models.

With regards to productivity of the EUVsource, 50 Watt power capability has beenrepeatedly demonstrated at a supplier and105 Watt concept potential has beenconfirmed in lab experiments, supportingthe roadmap to volume productionsystems starting at 70 wafers per hour. Insitu experiments on the NXE:3300 willhowever still be necessary for fullconfirmation.

ASML announced a co-investmentprogram in which customers willpotentially contribute up to EUR 1.38billion over the next 5 years to acceleratethe development of 450mm wafer platformand the next generation of EUV systems,expected to enter volume production inthe second half of this decade.

News Silicon Final DR.qxp 25/7/12 15:12 Page 7

Page 8: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

BSE Tech, a BSE Group company announced it has solddiffusion equipment used in front end semiconductor productionto Microchip Technology. The equipment will be used inMicrochip’s facility for production of its PIC microcontrollers.

“Adding Microchip as a customer is a significant development forBSE Tech,” said Colin Scholefield, executive vice president, BSEGroup, holding company of BSE Tech. “Over the last year, wehave significantly invested in our BSE Tech business, which has

contributed to growing our customer base with high qualitycompanies like Microchip Technology.”

“The secondary equipment market is a part of our overallequipment strategy to optimize our capital equipment budget,”said Ed Lindstrom, manager, Supply Management, MicrochipTechnology. “BSE Tech delivers the high quality tools that areessential to realizing the value proposition in the secondarymarket.”

8 www.siliconsemiconductor.net Issue III 2012

news � review

Inventory fallimproves DRAMpricingPRICING for dynamic random accessmemory (DRAM) is set to increase as akey indicator shows that inventory levelsare falling relative to demand, according toan IHS iSuppli DRAM Dynamics MarketBrief. The steady upturn of the DRAMmarket is reflected in the current Weeks ofDRAM Inventory Index, which dropped to11.6 weeks in the first quarter this year,down 4 percent from 12.1 weeks in thefourth quarter of 2011, as shown in thefigure attached. It was the secondconsecutive quarter of improvement sincethe index hit 12.9 weeks in the thirdquarter last year. The decline alsorepresents a significant turnaround fromthe major increases in the indices thatruled during most of 2011. Decliningstockpiles of DRAM indicate that supply iscoming into better balance with demand,resulting in stabilization of pricing.

“The latest drop in the Inventory Index isdue primarily to an aggressive stockpileburn-off from Japanese supplier Elpida,which declared bankruptcy in February,”said Clifford Leimbach, analyst for memorydemand forecasting at IHS. “The actiontaken by Elpida—and the resulting drop inoverall inventory levels for the industry inthe first quarter—is a one-time eventunlikely to be repeated. Even so, thereduction in stockpiles in early 2012means that pricing should continue tostrengthen in the second half of the year.”

Average pricing for DRAM in the 1 gigabit-equivalent density is preliminarilyestimated to have risen by 1.5 percent inthe second quarter, and is then set toclimb by 7.7 percent and 3.5 percent inthe third and fourth quarters, respectively.

This follows sharp declines of 24 percentand 12.4 percent in the third and fourthquarters of 2011, as well as a 5.9 percentdecrease in the first quarter of 2012.Inventory in the first quarter could havedeclined to even lower levels were it notfor the elevated DRAM stockpiles of two ofthe largest DRAM players. SK HynixSemiconductor Inc. of South Korea andU.S.-based Micron Technology Inc. saw amodest 15 percent and 8 percent rise,respectively, in their inventories during theperiod, putting upward pressure on theindex value that also prevented the dropfrom being larger in the first quarter.

Still, the inhibiting effect of SK Hynix andMicron should not be construed as anegative, IHS believes, because there isstrong feeling throughout the industry thatthe DRAM average selling price (ASP) willstrengthen in the second half of this year.Renewed optimism for PCs spurred byUltrabooks, and the impending release ofWindows 8, will likely translate intostrengthened DRAM demand, bringingsupply and demand into closer balance.

As DRAM prices rise because of thesemarket forces, SK Hynix and Micron couldbe well-positioned to take advantage andsell the inventory that they have built up.Such favourable conditions point to astronger DRAM market during the next fewquarters and mean that the index willcontinue to decline. DRAM firms appear tobe comfortable with their inventory levelsoverall, positioning themselves to reap therewards of an expected increase indemand during the succeeding quarters.Despite the positive step forward for themarket, the DRAM Inventory Index in thefirst quarter remained elevated above the9.0 weeks recorded the same time a yearearlier in the first quarter of 2011.

Furthermore, the index during the last fourquarters has been above the long-termaverage of 9.5 weeks. Anything exceedingthis threshold is considered undesirablebecause it indicates high inventories andweak DRAM demand. And with DRAMprices on the retreat, holding ontoinventory costs money for firms as theyare unable to sell product for revenue.

Large order for BSE Tech

News Silicon Final DR.qxp 25/7/12 12:52 Page 8

Page 9: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

C

M

Y

CM

MY

CY

CMY

K

210X297cm AD-NEW-H.pdf 2012/7/5 4:35:45 PM

Page 10: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

10 www.siliconsemiconductor.net Issue III 2012

news � review

China PC growthCHINA’S domestic PC shipments in 2012are set to rise at three times the rate of theglobal market, driven by strong economicgrowth and demand from the commercialsector, according to an IHS iSuppli ChinaElectronics Research report. PC shipmentsin China for 2012 are projected to reach83.6 million units, up 13.1 percent from73.9 million units last year. In comparison,global shipments are set to increase byonly 4.4 percent this year.

Domestic shipments of both desktop andnotebook PCs to the Chinese market havebeen growing at robust double-digit ratesfor several years, and the healthy pace ofexpansion is set to continue for at leasttwo more years before the marketmoderates slightly to a 9 percent increasein 2015. Shipments will amount to some129.4 million units at the end of 2016, asshown in the figure attached, equivalent toa five-year compound annual growth rateof 12 percent.

“A result of the country’s fast-pacedeconomic expansion, China’s PC shipmentgrowth is exceptional, especially whencompared to the tepid growth anticipatedthis year in PC shipments for the rest ofthe world,” said Elaine Zhi, analyst forChina electronics research at IHS.

China’s domestic PC shipments are alsoimpressive when compared to global orother regional sums. The country’sdomestic PC shipments in 2012 areexpected to be equivalent to 23 percent ofthe world’s total of 368 million units, and

they account for a staggering 63 percentof all PCs shipped in the Asia-Pacificregion. Notebook PCs will lead China PCshipments this year, amounting to 42.5million units, up from 35.8 million units in2011. In comparison, desktop PCs willcome in slightly lower at 41.1 million units,up from 38.1 million units.

The biggest PC maker in the country isBeijing-based Lenovo, projected to ship29.9 million units domestically this year,equivalent to 36 percent of China’sdomestic PC market. Following Lenovo inthe Top 5 are Acer with 11 percent marketshare; Dell with 8 percent; Hewlett-Packardwith 6 percent; and Asus, also with about6 percent.

China’s export PC sector is bigger than itsdomestic counterpart. Full projections forthis year are not yet available, but ChinesePC makers last year exported a total of234.1 million notebooks and 37.2 milliondesktops. Quanta Computer, Hon Hai andCompal Electronics were the country’s Top3 notebook PC exporters in 2011 with acombined market share of 64 percent;while Foxconn, Quanta and Pegatron werethe Top 3 desktop PC exporters during thesame time with a collective 53 % share.

Hon Hai and Foxconn are the sameentities operating under different tradenames, and the company as a whole isalso among the world’s largest providersof outsourced manufacturing services, withclients including Apple, Hewlett-Packard,Sony and Dell.

Panasoniccommits to imecprogrammeIMEC and Panasonic have entered intothe next phase of a collaborationagreement for joint R&D on healthcare,wireless communication, flexibleelectronics and advanced CMOSprocess technologies. The signingceremony at the PanasonicHeadquarters in Osaka was endorsedby the presence of His Royal HighnessPrince Philippe of Belgium.

Panasonic has been a core partner inimec’s research platform on advancedsemiconductor process technologiessince 2004. This collaboration has beenfundamentally broadened in 2008,expanding the collaboration scope fromadvanced semiconductor processtechnology to also include variousapplication areas of semiconductors.

Throughout recent years, Panasonicresidents have been working closelytogether with imec’s research teamsboth in Leuven, Belgium andEindhoven, The Netherlands. Together,they have achieved breakthroughresults in wireless communication,healthcare and next-generation CMOStechnologies. The new agreementextends this collaboration for a periodof 3 years and further expands toresearch on flexible electronics.

Luc Van den hove, President and CEOof imec, “I am very pleased that we willcontinue our strategic collaboration withPanasonic the coming years. Theextension and expansion of ourresearch collaboration is a confirmationof the value of our research offering tothe industry. Through a collaborativeapproach of R&D, sharing resourcesand results, but also strongly protectingthe generated IP, imec supportsPanasonic already for 8 years to be atthe forefront of innovation.”

Yoshiyuki Miyabe, the member of theboard, managing director and CTO ofPanasonic, “Panasonic continued tokeep good relationship with imec forthese 8 years. We hope to strengthenour “win-win” relationship for both imecand Panasonic to prosper.”

News Silicon Final DR.qxp 25/7/12 12:52 Page 10

Page 11: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

ALL THE APPLICATIONS, ALL THE TECHNOLOGIESUSA’s leading event on printed, organicand � exible electronics

Giving you the full picture of end-use application requirements, manufacturing processes and materials for displays, photovoltaics, energy storage, sensors, logic, memory and lighting that are printed, flexible or based on the new organic or inorganic electronic materials. See new products and learn how these new devices can be adopted in your business. Printed and potentially printed electronics is of vital interest to industries as diverse as chemicals, consumer goods, healthcare, military, electronics, advertising, transportation, infrastructure and publishing.

Exhibitors include:

Visit www.PrintedElectronicsUSA.com for full list of exhibitors and excellent speakers.

Printed Electronics USA 2012 December 5–6 | Santa Clara, CA, USA

Visit www.PrintedElectronicsUSA.com

A A NM E R I CE T SL E M E N

TechTechPrinted Electronics

Conference | Exhibition | Masterclasses | Tours

Early Bird 30% Discount

Quote ABC30

Register Now

Page 12: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

12 www.siliconsemiconductor.net Issue III 2012

news � review

DR. TERRY BREWER, founder andPresident of Brewer Science, has beenappointed to the SEMI North AmericanAdvisory Board. With this appointment,Dr. Brewer joins a group of leaders in thenano- and microelectronics fields alongwith whom he will advise on globaltechnology matters. Dr. Brewer’sappointment to the board wasannounced by Karen Savala, president ofSEMI Americas.

“It’s important that the composition of theSEMI North American Advisory Boardrepresents the breadth of SEMImembership, so we balance participationby industry segment served, productarea, and company size. Dr. Brewer’selection brings a Central US perspective,which is not currently represented, andtherefore adds a new dimension to the

Board. We’re delighted that Dr. Brewerwill join this esteemed group of advisorsto SEMI,” said Karen Savala.

“I am so pleased to be able to serve ourindustry as a SEMI North AmericanAdvisory Board member and hope toshare in making a positive difference indifficult times,” said Dr. Terry Brewer.

Toshiba returns to top of NAND rankingsAFTER persevering through a devastatingearthquake and two major revenuedeclines in 2011, Toshiba in the firstquarter of 2012 made a comeback in theNAND flash memory business, achievingdouble digit growth that defied an industrywide contraction in revenue.

Toshiba of Japan posted NAND salesrevenue of $1.71 billion in the first quarter,up 19 % from $1.43 billion in the fourthquarter of 2011.That growth performancegave the company a 34 % share of theworldwide market, up from 28 percent inthe fourth quarter, according to an IHSiSuppli Flash Market Brief report.

In contrast, the overall NAND flash marketsuffered a 1 percent sequential decline inrevenue, and all the other suppliersexperienced sales decreases, most bydouble-digit percentages.

“Toshiba’s improved performance in thefirst quarter came after a troubling 2011,”said Dee Nguyen, memory analyst at IHS.“Last year NAND market share saw twomajor declines. The first drop because ofdisrupted production stemming from theJapan earthquake-tsunami disaster. Thesecond decline arrived later when anuncertain market necessitated a carryover

of inventory into the first quarter this year.Toshiba’s strong results show that thecompany has regained its footing and hasput a tumultuous year behind it.”

The market for NAND flash in the firstquarter was dragged down by weakpricing, which reflected the mismatchbetween an industry-wide growth in supplyand a seasonally slow quarter forconsumer demand. NAND flash is used ina wide range of products, includingsmartphones, tablets and solid state drivesthat form part of the storage systems offaster and thinner laptop computers,including the MacBook Air from Apple Inc.and ultrabooks championed by Intel Corp.But with the exception of Toshiba, NANDsuppliers experienced revenue declinesthat ranged from a soft landing forSamsung to a steep drop-off forPowerchip Technology Corp.

Samsung maintained its lead with a 37 %share despite lower revenue in the firstquarter of $1.86 billion, down sequentiallyfrom $1.94 billion. U.S.-based MicronTechnology Inc., SK Hynix SemiconductorInc. of South Korea and Powerchip ofTaiwan rounded out the rest of the tightlyheld NAND market. Overall first-quarterNAND flash sales amounted to $4.99

billion, down 1 percent from $5.05 billionin the fourth quarter last year.

Samsung posted the highest total revenueamong the decimated group, even thoughits quarterly share of the market incheddown by a percentage point. Samsung’squarterly revenue decline was just 4percent, compared to double-digit dropsranging from 14 % in Micron’s case to asharp 35 percent tumble for Powerchip,with Hynix somewhere in the middle withits 17 % contraction. Samsung’s declinewas due to a 10 % fall in the ASP of itsNAND product, as well as because of thecompany throttling production in one of itsfabs while preparing to transition to thefirm’s System LSI division that makesprocessors and chipsets. The company isoptimistic about a stronger second quarter,as handset and PC manufacturers launchnew products for the upcoming high-demand seasons.

Micron maintained its place at No. 3 withrevenue of $846 million, equivalent to a 17percent market share. The only U.S. makerof memory semiconductors, Micronreported a 23 % retreat in its NAND ASPduring the period. However, the Idaho-based maker should be able to growmarket share in the coming quarter afterpurchasing the remainder of a joint-venture stake it holds with Intel Corp. inmanaging fabs in Virginia and Singapore.

At No. 4 was SK Hynix with revenue of$556 million for an 11 percent share ofmarket. The company recorded tepidshipment growth of 2 percent, amid anASP decline in of 16 % in light of weakseasonal demand. SK Hynix also hasmaintained a cautious outlook for thesecond quarter, guiding ASP declines inthe midteens out of concern for possibleoversupply as a result of industry capacitygrowth. The fifth-ranked player, Powerchip,had NAND revenue of $17 million forapproximately 0.3 percent market share.

Overall, Toshiba continues to narrow thegap with NAND market leader Samsung,with the race for No. 1 expected to furtherheat up during this year. The battle forthird place will also continue betweenMicron, currently comfortable in its lead,against Hynix, which now appears willingto spend its way to the top and tacklecompetitors head on to remain a viableplayer in the space.

Brewer Science founder appointed to SEMI board

News Silicon Final DR.qxp 25/7/12 12:53 Page 12

Page 13: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 13

news � review

Self assembly accelerator for TokyoElectron at imecIMEC and Tokyo Electron (TEL) haveannounced that they will accelerate theirDirected Self-Assembly (DSA) activities atimec’s recent 300 mm fab-compatible DSAprocess line. Over the past two years, bothcompanies have been actively engaged inDSA development. Based on resultsachieved on imec’s 300 mm DSA processline, imec and TEL will expand their focusto explore DSA as viable patterningtechnique for 2x and beyond technologies.

Recent evaluations have demonstrated thefeasibility of DSA to enable frequencymultiplication through the use of blockcopolymers. Line features as small as 12.5 nm and 25 nm contact holes havebeen patterned on 300 mm substrates atimec using pre-patterned lithographyfollowed by DSA. In recent experimentsusing pre-patterned EUV holes interfacedto ASML’s NXE:3100, DSA repaireddefective features, lowered line edgeroughness (LER) and improved criticaldimension (CD) uniformity.

For widespread DSA implementation,lower defect levels are required, and DSAneeds to be integrated into existing flows.Imec and TEL are investigating variousintegration scenarios for line and holepatterning. Comprehensive evaluations tounderstand material and processinteractions on CD uniformity, LER anddefect levels are planned.

To push the capabilities of DSA beyondlab-scale environments, one of the worldfirst 300 mm fab-compatible DSA processlines all-under-one-roof was recentlyimplemented in imec’s 300 mm cleanroom fab. In addition to TEL’s especiallyconfigured DSA coater/developermanaging gallon-sized quantities of blockcopolymers, and TEL’s dedicated etchsystem supporting the DSA patterntransfer, imec has the necessarymetrology, cleaning and pattern transfertoolsets. To complete the DSA process lineand accelerate R&D on DSA at imec, TEL will provide imec with new hardware

within the next few months.

“With specially configured DSAcoater/developer and etch systems atimec, we have the capability to exploreDSA as a potential candidate for next-generation patterning technology”,commented Chung Gishi, Executive VP ofTokyo Electron Ltd. “We hope tounderstand the critical processesnecessary to move early stagedevelopment into volume production tobenefit our customers”.

“DSA continues to show much promise aspart of the toolbox for advanced sub-20nm patterning. Our collaboration withTokyo Electron has enabled us to rapidlyimplement DSA processing knowledgethat has been developed in academia atthe group of Prof. Paul Nealey (Universityof Wisconsin at Madison) into arepresentative manufacturing environment.We are excited to extend this effort todedicated newly developed DSA modules.

imec looks at future gate options

IMEC is successfully testing andevaluating various options for furthertransistor scaling using high-k dielectricsand metal gates in a replacement metalgate (RMG) integration schema. AlthoughRMG technology is inherently morecomplex than gate-first integration, it has anumber of advantages that allowincreasing the device performance andthat widen the choices in terms of high-kand metal gate materials.

One of the current challenges to enablefurther device scaling is the choice of gatedielectric and gate electrode. For the gateelectrode, the key parameters to considerare the work function, resistivity andcompatibility with CMOS technology.Further scaling also requires continuedimprovement of the channel mobility,adding the options for improved stressmanagement and also reliability control asa first-order consideration in the choice ofmaterials and processes.

In the industry, the RMG approach is

rapidly becoming the integration schemeof choice, and an alternative for the gate-first approach. In RMG, the high-k gatedielectric is deposited in the beginning ofthe flow or just prior to gate electrodedeposition and the electrode is depositedafter the formation of the junctions.

A clear advantage is the enhancement ofthe channel stress in shorter devicesbecause of the dummy-gate removal, anintrinsic step in RMG flow. RMG alsoallows metal gate processes with a lowerthermal-budget, which broadens the rangeof material options for work-function tuningand reliability control. Advantages are alower gate resistance compared to gate-first, important for RF CMOS, and moreroom for mobility improvement.

Imec and its partners have had animportant role in the introduction of high-kmetal gate processes, building a strongexpertise and track record. With the eyeon further scaling to sub-20nm technologynodes, they are now evaluating RMG

technology for different application,materials selection and engineering, andcompatibility with advanced modules anddevice architectures, for which wecollaborate with the major tool suppliers.For our partners, we conduct faircomparisons of options, and in-depthunderstanding of the physical mechanismsand techniques involved, and the chanceto explore the limits in performance andreliability.

The research is performed in cooperationwith imec’s key partners in its core CMOSprograms Globalfoundries, INTEL, Micron,Panasonic, Samsung, TSMC, Elpida, SKhynix, Fujitsu and Sony.

News Silicon Final DR.qxp 25/7/12 12:53 Page 13

Page 14: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

14 www.siliconsemiconductor.net Issue III 2012

materials � ALD films

Ultrathin metallic layers such as Platinum(Pt), Ruthenium (Ru), Palladium (Pd) and

Copper (Cu) deposited onto oxide structuralsurfaces have wide applications in microelectronics,catalysis, photonics and chemical sensing [1-4].Platinum films have a variety of potentialapplications in nanotechnology, microelectronicsand energy technologies due to their chemicalstability, catalytic activity, and excellent electronicproperties [5-7]. During the past decade atomiclayer deposition (ALD) has emerged as anoutstanding technique to achieve accuratethickness and self-limiting control and is used tofabricate ultrathin and conformal thin film structures.This is useful for many potential applications inadvanced high dielectric constant (high-k) gateoxides, electrode and connection materials, storagecapacitor dielectrics and copper diffusion barriers in advanced electronic devices, as well as for solarenergy and biological applications [8, 9].

A unique attribute of ALD is that it uses sequentialself-limiting surface reactions to achieve control of

film growth in the monolayer or sub-monolayerthickness regime. Therefore, ALD isreceiving wide attention for the ultrathinlayers grown onto micro- and nano-devices

with three-dimension in ahigh aspect ratio.Furthermore, ALD can alsobe used for any advancedtechnologies that requirecontrol of film structure inthe nanometer or sub-nanometer scale due to itscapacity for self-terminatingconformal layer formation.

Most Pt ALD processesreported used thermal

ALD process usingmethylcyclopentadienyl trimethylplatinum

(MeCpPtMe3) and O2 gas [10-12]. This process isbased on the dissociative chemisorption of O2 onthe Pt surface for oxidative decomposition of theprecursor ligands [13]. However, this oxidativedecomposition becomes extremely difficult in theinitial stage of a thermal ALD process (beforeformation of Pt nano-particles), leading to anucleation delay. Knoops and his co-authorsreported on the Pt and Pt O2 processes by usingboth remote plasma ALD and the thermal ALD of Pt.Their work shows that the remote plasma processleads to immediate growth without substantialnucleation delay, while the thermal ALD processleads to no growth at all unless a Pt starting surfaceor a high O2 pressure is employed [1]. In the O2plasma, O radicals are created, providing atomic Oto the surface directly from the gas phase,enhancing oxygen chemisorption on the surfaceand oxidation of the precursor ligands. [14]

However, despite its successful Pt depositions, theALD process lacks a detailed atomic-scaleunderstanding of the formed interface structure andthe effect of substrate used on the Pt growth, whichis extremely important for microelectronicapplications. In this work, platinum films were grownon Si wafers, SiO2, Al2O3 and high-k dielectric HfO2ALD films on Si substrates by both remote plasmaand thermal atomic layer deposition (ALD), usingmethylcyclopentadienyltrimethyl platinum(MeCpPtMe3) and O2 as precursors. The Pt ALDgrowth behaviours with precursor dose times, O2 orO2 plasma exposures and substrates areinvestigated. Furthermore, the Pt ALD process onvarious oxide substrates, Pt nucleation process,electrical property and chemical impurities of the Ptthin film are also discussed.

ExperimentalThe Pt films were deposited in an ALD system withload-lock delivery (FlexAL, Oxford InstrumentsPlasma Technology). The deposition system was

Platinum ALD filmsAtomic layer deposition (ALD) is gaining traction as a viable manufacturingmethod for future devices and qualification continues on an array of materialsand potential applications. Dr. Qi Fang and Dr. Tom Sharp of Oxford InstrumentsPlasma Technology, discuss results of both remote-plasma and thermal-ALDprocessing for the deposition of ultra thin platinum films.

FlexAL, OxfordInstruments PlasmaTechnology

Oxford Instruments Final DR.qxp 25/7/12 13:03 Page 14

Page 15: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 15

materials � ALD films

connected to an in-situ ellipsometer and aninductively coupled plasma (ICP) source, whichgives the ability to run both thermal and remoteplasma ALD modes within a single system.

The pump unit consisted of a turbo molecular pumpand a dry pump reaching a base pressure of 1 x 10-6 mbar. Trimethyl (methylcyclopentadienyl)platinum(IV) (MeCpPtMe3) (SAFC, Sigma-Aldrich) ina stainless steel bubbler, heated to 70°C, was usedas Pt- precursor and vapor drawn into the chamber.

The deposition of Pt films was carried out by boththermal and remote O2-plasma ALD at 300°C, usingmethylcyclopentadienyltrimethyl platinum(MeCpPtMe3) and O2 as precursors. TheMeCpPtMe3 precursor was vaporized at 70°C usingthe vapour-draw method without bubbling gas andusing 200sccm of Ar gas flow as purge gas.

To maximise precursor usage, the first half-cycleconsisted of MeCpPtMe3 precursor dosing with thebottom valve closed (no pumping) a holding for 5-10 seconds, in the process investigation. Si (100)with native oxide layer was used as the substrate.For the oxide samples, Si (100) substrates were firstcoated prior to the Pt metal ALD with 10-20 nm ofALD Al2O3, HfO2 and SiO2 using alternating ALDprocesses of TMA(trimethylaluminium)/O2-plasma,TEMAH [Tetrakis(ethylmethylamino) hafnium]/O2-plasma and TRDMAS [tris(dimethylamino)silane]/O2-plasma, respectively. Table 1 shows thedetails of the four types of substrates used, namely:Si wafers, SiO2, Al2O3 and high-k dielectric HfO2ALD films on Si substrates. ALD chamber pressurewas varied from 10 to 40 millitorr during the processsteps. Not only the wafer holder stage was heatedbut also the chamber wall and delivery line wereheated to a temperature of 120°C and 80°C,respectively, to prevent the precursor condensationand make the sample surface temperature thesame. The remote O2 plasma was generated by aradio frequency (rf) induction-type plasma generator(ICP). The plasma power was 300 W.

The thickness and the refractive index of the ALDfilms were measured using a J.A. Woollam M2000Vspectroscopic ellipsometer (370nm-1000nm

Table 1, the substrates used for ALD-Pt film deposition

Figure 1, growth rateand resistivity ofplatinum films bythermal-ALD at 300oCvs precursor dose-timefor 600 cycles

Figure 2, growth rate(GR) and resistivity ofplatinum films bythermal-ALD vs cyclenumber and it isfound that a GR of Ptthermal-ALD is around0.45-0.47Å/cycle andthe resistivity range of 14.1 to 12.8 μΩ-cmfrom 500 cycle to 2250 cycle

wavelengths) and also confirmed by cross sectionalSEM (Zeiss, SUPRA-25). Energy dispersive X-ray(EDX) (INCA-7426, Oxford Instruments) and AugerElectron Spectroscopy (AES) were used fordetermining the chemical composition and element profile of the ALD films.

A 4-point probe (Signatone 4 point probe with aKeithley 2410 Source) was applied for testing theelectrical property of the film.

OutcomesFigure 1 shows the growth rate and resistivity ofplatinum films by thermal-ALD against the precursordose-time for 600 cycles at 300°C. The growth rateof 0.45-0.47 Å/cycle and the resistivity of platinumfilms of about 13.5μΩ-cm from 600 cycles wereobtained. To confirm the growth rate and toinvestigate the relationship of resistivity and filmthickness of the platinum films by thermal-ALD,

Oxford Instruments Final DR.qxp 25/7/12 13:03 Page 15

Page 16: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

16 www.siliconsemiconductor.net Issue III 2012

materials � ALD films

Figure 2 gives the growth rate (GR) and resistivity ofplatinum films using ALD cycle numbers up to 2250.It is found that GR of Pt increases slightly for longdeposition, but is still around 0.45-0.475Å/cycle. Theresistivity of Pt films are in a range of 14.1 to12.8μΩ-cm from 500 to 2250 cycles and theresistivity of the Pt layer on Si was found to beslightly reduced with increasing Pt thickness, thelowest resistivity of 12.8 μΩ.cm were measured witha Pt thickness of 100nm on Si substrates. The Ptnucleation and growth in ALD processes wasinvestigated by SEM.

The nucleation delay in thermal ALD of Pt wasfound to be approximately 70 cycles from Figure 3,which has been confirmed by SEM observations.Elam reported the nucleation behaviors of Pd andPt on various substrates. [15, 16] They found thatthe Pt films deposited concurrently on Si (100)substrates showed Pt particles that increase in sizewith the number of Pt ALD cycles performed suchthat the Pt film is nearly continuous after 75-100cycles. [16]

Platinum by plasma-ALDFigure 4 shows the growth rate of platinum films byplasma-ALD against precursor dose-time at 300°C.The growth rates of 0.43-0.45 Å/cycle were

obtained, which is comparable to that of thermalALD.

The thickness and resistivity of platinum films byplasma-ALD with cycle number at 300°C are shownFigure 5. The resistivity of the platinum films isbelow 14.5 μΩ.cm after plasma ALD of 500 cyclesand the nucleation delay of Pt plasma-ALD isaround 20 cycles. Comparing to the nucleationdelay of Pt thermal-ALD of 70 cycles, it shows thatplasma-ALD can reduce the nucleation delay of Pt.

The remote plasma enhanced ALD Pt films showeda short nucleation delay on all the different types ofsubstrates, and an active nucleation behaviourwhich resulted in a very smooth film surfacemorphology. AES profile scanning and EDX testingwere applied for the elemental analysis in the filmand interfaces. AES studies revealed high quality Ptfilms deposited by both thermal and plasma ALDwith carbon impurity less than 1.5% and oxygenfound only in the interface (Fig.7).

Pt islands and nucleation delayOur initial Pt thermal ALD experiments on Sisurfaces revealed nucleation and growth behaviourof forming Pt nanoparticle islands. Figure 8(a) and8(b) show a similar SEM cross-section thickness ofPt-ALD films grown by using plasma and thermalALD for 500 cycles at 300°C. The particle-sizemeasurement was based on SEM and SE.

One example of average Pt-size of 5.5 nm grown onSi by thermal ALD for 150 cycles at 300°C is shownin Figure 8(d). The detailed measurements showedthat the average nano-particle island size of Pt

Figure 3, thickness ofplatinum films bythermal-ALD vs cyclenumber at 300oC andthe nucleation delayof Pt thermal-ALD tobe found is around 70 cycles

Figure 4, growth rateof platinum films byplasma-ALD at 300OCvs precursor dose-time

Figure 5, thickness and resistivity of platinum filmsby plasma-ALD vs cycle number at 300oC andthe nucleation delay of Pt plasma-ALD is around20 cycles. Comparing to the nucleation delayof Pt thermal-ALD of 70 cycles, it shows thatplasma-ALD can reduce nucleation delay of Pt

Signatone 4-pointprobe connected to a Keithley 2410 Sourcemeter

Oxford Instruments Final DR.qxp 25/7/12 13:03 Page 16

Page 17: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 17

materials � ALD films

grown on Si by plasma ALD is bigger than that ofthermal ALD at same cycle numbers.

Table 2 gives a short summary of Pt particle-size at50 and 100 cycles, respectively, and the processdata of Pt films on the surface of Si, SiO2, Al2O3 andHfO2 deposited at 300°C by thermal and remoteplasma ALD for 500 cycles. The growth rate andresistivity of Pt plasma-ALD layers on various oxidesis shown in Figure 9.

HfO2 shows the highest growth rate and the lowestresistivity of them. It is believed that surfacefunctionalisation by plasma-ALD and rich-absorbedoxygen radicals on HfO2 surface are the reasons.

From Fig.3 and Fig.5 we have found that the Pt filmsdeposited on Si (100) substrates showed a Ptnucleation delay of 70 cycles and 20 cycles grownby thermal ALD and plasma-ALD before the Pt ALDprocess goes to a linear growth. Table 2 shows Ptparticles increase in size with the number of Pt ALDcycles performed and it is also clear that the Ptparticles grown by plasma-ALD are bigger thanthose by thermal ALD. It is believed that surfacefunctionalisation by plasma-ALD plays a importantrole to shorten the nucleation delay. In the O2plasma, O radicals are created, leading to threeeffects on the Pt growth by reducing the nucleationdelay: 1) providing active atomic O to the surface;

Figure 6, resistivity of platinum film on variousoxides by plasma-ALD at 300oC vs precursordose-time. It is clear that the order of resistivity ofPt film grown on oxides is Si/SiO2 > Si/Al2O3> Si/HfO2

Figure 7, AES of 30nm Pt film grown by plasma-ALD

Left: Ex-situ M2000 ellipsometer with motorized X-Y mapping stage at Oxford InstrumentsRight: the M2000 ellipsometer mounted in-situ on the FlexAL tool in OIPT’s laboratory

Oxford Instruments Final DR.qxp 25/7/12 13:03 Page 17

Page 18: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

18 www.siliconsemiconductor.net Issue III 2012

materials � ALD films

Figure 9, Growth rate and resistivity of Pt plasma-ALD layers on variousoxides. HfO2 is shown the highest growth rate and the lowest resistivity ofthem. It is believed that surface functionalisation by plasma-ALD and rich-absorbed oxygen radicals on HfO2 surface are the reasons

Figure 8, SEM of Pt-ALD films (cross-section of thicknessand particle-sizemeasurement)

2) increasing oxidation with the ligands of thechemisorbed precursor on the surface; and 3) increasing Pt nucleation by extra plasma energy. Pt particle-size at 50 and 100 cycles on differentmetal oxide surfaces (SiO2, Al2O3 and HfO2)deposited at 300°C by thermal and remote plasmaALD also is shown in Table 2. It was found that thePt particle-size on HfO2 was quite big, 3.7 nm for 50cycles and 5.6 nm for 100 cycles as compared to1.6 nm and 2.1 nm on Si, respectively. Studies

found that high work function metals such as Ptshow instability in oxygen-deficient conditions. [19]As is well known, Pt ALD process relies on thedissociative chemisorption of O2 on the Pt surfacefor oxidative decomposition of the precursorligands. [17, 18] Therefore the absorbed oxygen onsurface of oxides might be a controlling step for theinitial step of the Pt ALD process.

Considering Fermi level pinning in terms of oxygenvacancies, these appear to exist in sizable amountsin HfO2 film, [20] which is named high temperatureoxygen ion conductor and allows oxygen transportacross the HfO2 layer. [21, 22]. The remote plasmaenhanced ALD Pt films showed bigger Pt particle-size and a short nucleation delay on HfO2 films.Both active atomic O species generated fromoxygen plasma and their absorption and diffusionon the HfO2 surface resulted in increasing growthrate of Pt layers on the HfO2 by plasma-ALD.

ConclusionsPlatinum films were deposited by both remoteplasma and thermal atomic layer deposition (ALD)using methylcyclopentadienyl- trimethyl platinum(MeCpPtMe3) and O2 as precursors on oxidematerials. The ALD Pt-films deposited were

Oxford Instruments Final DR.qxp 25/7/12 13:03 Page 18

Page 19: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 19

materials � ALD films

homogeneous and resulted in a low resistivity of12.8 μΩ-cm.

AES studies revealed high quality Pt films depositedby both thermal and plasma ALD with carbonimpurity less than 1.5% and oxygen found only inthe interface. SEM and EDX investigations of Ptnucleation and growth in ALD processes showedthat the plasma ALD can form bigger size Ptparticles in the early stage and reduce thenucleation delay. Pt on HfO2 has shown the highestgrowth rate and the lowest resistivity of the oxides. It

is believed that surface functionalisation by plasma-ALD and rich-absorbed oxygen radicals onHfO2 surface are the reasons. In the O2 plasma, O radicals are created, leading to three effects onthe Pt-growth by reducing the nucleation delay:1) providing active atomic O to the surface; 2) increasing oxidation with the ligands of thechemisorbed precursor on the surface; and 3) increasing Pt nucleation by extra plasma energy.

© 2012 Angel Business Communications. Permission required.

References:1. H. C. M. Knoops, A. J. M. Mackus, M. E. Donders, M. C. M. van de Sanden, P. H. L. Notten and W. M. M. Kessels,Electrochemical and Solid-State Letters, 12 (7) G34-G36 (2009).2. J. J. Senkevich, F. Tang, D. Rogers and T. M. Lu, Chemical Vapour Deposition, 9 (5) 258-264 (2003)3. Seong Keun Kim, Sang Young Lee, Sang Woon Lee, Gyu Weon Hwang, Cheol Seong Hwang, Jin Wook Lee, andJaehack Jeong, Journal of The Electrochemical Society, 154 (2) D95-D101 (2007).4. Zhengwen Li, Roy G. Gordon,a, Damon B. Farmer,Youbo Lin and Joost Vlassak, Electrochemical and Solid-StateLetters, 8(7) G182-G185 (2005).5. M. Armand and J. M. Tarascon, Nature (London), 451, 652 (2008).6. L. Baggetto, R. A. H. Niessen, F. Roozeboom, and P. H. L. Notten, Adv. Funct. Mater., 18, 1057 (2008).7. R. R. Hoover and Y. V. Tolmachev, J. Electrochem. Soc., 156, A37 (2009).8. S.B.S. Heil, J.L. van Hemmen, C.J. Hodson, N. Singh, J.H. Klootwijk, F. Roozeboom, M.C.M. van de Sanden andW.M.M. Kessels, J. Vac. Sci. Technol. A, 1357, (2007).9. Steven M. George, Chem. Rev., 110, 111–131(2010).10. Titta Aaltonen, Mikko Ritala, Timo Sajavaara, Juhani Keinonen, and Markku Leskelä, Chem. Mater., 15 (9), 1924–1928 (2003)11. Y. Zhu, K. A. Dunn, and A. E. Kaloyeros, J. Mater. Res., 22, 1292 (2007).12. X. Jiang and S. F. Bent, J. Electrochem. Soc., 154, D648 (2007).13. T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä, Electrochem. Solid-State Lett., 6, C130 (2003).14. J. F. Weaver, J. J. Chen, and A. L. Gerrard, Surf. Sci., 592, 83 (2005).15. J. W. Elam, A. Zinovev, C.Y. Han, H. H. Wang, U. Welp, H. J. N and P. M. J, Thin Solid Films, 515, 1664, (2006).16. J. W. Elam, A. V. Zinovev, M. J. Pellin, D. J. Comstock, and M. C. Hersam, ECS Transactions, 3 (15) 271-278 (2007)17. T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä, Electrochem. Solid-State Lett., 6, C130 (2003).18. C. T. Campbell, G. Ertl, H. Kuipers, and J. Segner, Surf. Sci., 107, 220 (1981).19. J. K. Schaeffer, L. Fonseca, S. Samavedam, D. C. Gilmer,Y. Liang, S. Kalpat, H. H. Tseng,Y. Shiho, A. Demkov, R.Hegde, W. Taylor, D. Triyoso, D. Roan, B. White, and P. Tobin, Appl. Phys. Lett. 85, 1826 (2004).20. S. Walsh, L. Fang, J. K. Schaeffer, E. Weisbrod, and L. J. Brillson, Appl. Phys. Lett. 90 052901 (2007).21. S. Guha and V. Narayanan, Phys. Rev. Lett. 98 196101 (2007).22. R. P. Pezzi, M. Copel, M. Gordon, E. Cartier, and I. J. R. Baumvol, Appl. Phys. Lett. 88 243509 (2006).

Table 2, the process data of Pt films on the surface of Si, SiO2, Al2O3 and HfO2 deposited at 300oC by thermal and remoteplasma ALD using MeCpPtMe3 and O2 gas or O2 plasma (500 cycles)

Oxford Instruments Final DR.qxp 25/7/12 13:03 Page 19

Page 20: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

20 www.siliconsemiconductor.net Issue III 2012

semicon west � overview

began to work on 450mm projects. That or be left out of the loop.A number of pilot lines began to be mooted and some built andthe momentum was with the industry. Except ASML who chose toonly talk about the evolving Extreme UltraViolet (EUV) lithography and insisted theywere not looking at 450mm stating theycould not work on both projects.

Ten years ago it would have been inconceivablethat any tool company could exert the influenceover its customers that ASML has demonstrated withthis pro-active investment from Intel and now Samsungand TSMC have followed suit. Ten years ago it wouldhave been unlikely that acompany focusing on onearea of technology would bethe biggest toolmanufacturer in the world.In fact ten years agoASML was barely in thetop three lithographers

San Francisco once again hosted the annual SemiconWest conference and exhibition and although organisers

highlighted the increase in booths, they down played thereduction in companies attending despite 51 new players joiningthe show. As the conference is co-located with Intersolar it is alsohard to get a handle on the number attending. SEMI was hopingfor 31,000 but post show figures suggest a couple of thousandshy of this. As registration to one show included the other itbecomes difficult to determine the true level of attendance. Thebenefit for the two shows is that everywhere looks busy whichhelps set a mood at any such event.

Despite an impressive array of speakers and pre-planned eventsthe show was immediately overshadowed by the announcementthat Intel was investing as much as US$ 4.1 Billion into ASML toaccelerate research and development into EUV and 450mm asthe company scales towards its 14nm goals while moving to thelarger 450 mm wafer size. Intel will spend an initial US$ 3.1 Billionfor up to a 15 % stake in the company and add another billion tothe R&D pot at ASML. Whilst most pundits are applauding Intel fortheir shrewd investment I feel it is ASML who has led a gamechanging coup.

The semiconductor industry began the transition to300 mm wafers 14 years ago although some will saydragged to the transition. Some companies haveannounced they are only now seeing a return oninvestment and of course many companies saw noreturn if they survived at all. The transition to 300mmwas the time when manufacturers pushed upstreamprice pressures down to tool and materialssuppliers. It was the main reason that manycompanies began to complain when 450mmwas first raised a few years ago. The initialpublic response from toolmakers was theywould not foot the bill for the 450mm transitionwhilst privately stating that they expected the450mm transition to occur regardless of whatthey thought.

This is exactly what has been happening. Themajor IC manufacturers began to talk of futureplans and road maps and suddenly companies

A changing landscapeThe annual Semicon West show in San Francisco was dominated by newsabout 45Omm and the future of lithography. Despite concerns of thefuture for many in the industry David Ridsdale found that althoughfinancial dynamics have changed, Moore’s Law continues to directindustry goals and aspirations.

Semicon West Final DR.qxp 25/7/12 13:02 Page 20

Page 21: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 21

semicon west � overview

making their achievements all the more impressive. The truth isthat lithography is now the key enabler of scaling and the costsinvolved to develop EUV lithography as well as 450mm platformswill cost billions. ASML has stuck its ground and avoided the450mm issues for some time. No surprise that their 450mm roadmap appeared after the Intel announcement. Other major toolsuppliers were turning envious eyes to the agreement but realisetheir fight is just to be involved in the 450mm pilot lines andqualification processes. Or else miss out on the next technologynode completely. Nikon has been the only true competitor toASML of late and the new arrangements will be seriouslyconcerning the company.

Almost every discussion following the announcement involved450mm and the technology challenges below 28nm. The mainfocus being lithography and 3D IC integration. In other words theenablers of Moore’s Law. There is plenty of discussion concerningthe changing financial nature of the industry with concerns theMoore’s Law supposition has reached its limits but the events ofthe show demonstrate that the desire to maintain the doubling oftransistors at half the price every two years still dictatestechnology goals. With estimated costs for 450 mm in the billions,let alone for lithography and packaging, the real concern is that itwill not be technology that sees the end of Moore’s Law but thefinancial costs of continued scaling. The cost per transistorequations will be where the real story will be told.

Less players, more opportunitiesOf course the show contained much more than the talk of thefuture and SEMI outlined the expected growth for the globalmarket. Korean and Taiwan are the only areas tipped to grow for2012 but that is no surprise considering Samsung and thefoundries are the only comparison for the might of Intel. SEMIprojects semiconductor equipment sales will reach $42.4 billion in 2012 according to the mid-year edition of the SEMI CapitalEquipment Forecast.

Driven by consumer demand for tablet, smartphone, and mobiledevices, chipmakers will continue to purchase manufacturingtechnology equipment. The forecast indicates that, following a 9percent market increase in 2011, the equipment market willcontract by 2.6 percent in 2012. The year 2012 is likely to be the fourth highest spending year in history, with higher spendingonly in 2011 ($43.5 billion), 2007 ($42.8 billion) and 2000 ($47.7 billion). With $33.0 billion for 2012 forecasted for waferprocessing equipment, it will be the second highest spendingyear ever for this segment, surpassed only by the $34.3 billionspent in 2011.

“We expect 2012 to post one of the highest rates of globalinvestment for semiconductor manufacturing equipment.Following a multi-year market expansion, sales will again exceed$42 billion — just one billion short of last year’s spending rate asthe industry absorbs new capacity,” said Denny McGuirk, the newpresident and CEO of SEMI. “We also forecast acceleratedspending to exceed $46 billion in 2013.”

Wafer processing equipment, the largest product segment bydollar value, is expected to decrease 3.8 percent in 2012 to $33.0billion. The forecast predicts that the market for both Test ($3.8billion) and Assembly & Packaging ($3.4 billion) equipment willremain essentially flat (increase of 0.2 percent and 0.9 percent,

respectively).

On the face of it these figuressuggest minimal growth in thesort term. The industry is

currently dominated by only afew players and the diversity

along the value chain is but ashow of its numbers a decade

ago. Even though the concentrationof capex is now in the hands of the

Semicon West Final DR.qxp 25/7/12 13:02 Page 21

Page 22: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

22 www.siliconsemiconductor.net Issue III 2012

semicon west � overview

few there are more emerging opportunities withinthe industry than most give credit to. Nearly all theinnovation that has been introduced into thesemiconductor industry has come from smallventures. Those focused on providing a solution toa particular industry challenge. There are manycompanies out there with potential solutions rightalong the value chain. Many of them are realisingthey need to be able to get to potential customersand educate them on the possibilities. Explain hownew ideas can be introduced with minimal disruptionbut provide improvements in product or cost.

Twelve years ago all companies wanted to ride thewave of success that led to the billion dollarcompanies we have today but the ride has changedand although the gradient not as steep the rollercoaster nature of the industry provides entry pointsfor new comers with new ideas. The companies Imeet that seem to have the solidest approach arethose who are looking at maintaining profitablecompanies and are not over extending themselvesat the whim of share holders. A number of largerOEMs are losing their shine as the focus is onshareholder quarterly returns with no sense oflonger term technology goals.

The technical and financial challenges of continuedscaling are changing the industry landscape to suchan extent that companies can no longer rest on theirlaurels. Companies who believe they will maintain orimprove their large market share in the nexttechnology node may be in for a shock. I wouldexpect changes in the top companies over the next18 months that may not be obvious now.

Moving forward collaborativelyThe rising cost of the technological challenges inthe industry have forced a collaborative approach totechnology that was unexpected a few years ago.Shekhar Y. Borkar, Intel Fellow and director ofextreme-scale technologies at Intel Corporationgrace the first key note speech and focused onenergy demands of computing today and the rapidincrease expected in energy needs. Borkar statedthat the industry requires a new approach to energyconsumption pointing out that once again theindustry will need to work together to ensure thisdoes not become a brick wall to innovation.

While manufacturers are looking at differenttransistor architecture to tackle the growing problemof energy usage such as Intel’s tri gate approachbut the improvements are available throughout thevalue chain. It could be a materials solution or anew IC design. Form factor continues to be a majorissue as interconnections and packaging arerequired for ever smaller devices. System on a chipand an array of other options all have contributionsto make to the future technology and theopportunities exist along the entire manufacturingchain from design to final text.

The industry will also witness the continuedconsolidation of companies and technologies thathas been occurring the last ten years. The LAMNovellus merger/takeover is the highest profileconsolidation of late and despite the excellentsynergies of technologies one can only hope thatLAM executes the merger better than the recentSEZ acquisition. The early indications are that thecompany learnt from the speed of the Austriantakeover and are taking their time to meetintegration targets this time. If done well, the newventure will be a serious contender for AppliedMaterial’s market share in the next technologynode.

The semiconductor industry is its most fascinatingwhen facing technical challenges. I don’t mean theones they face daily but those moments whenpeople feel they are facing a brick wall. Sometimeseven perceived physical limitations. Each times theyfind a way forward and I would expect the same ofthis innovative industry despite the overwhelmingchallenges facing them. I have covered the industrylong enough to know that the solutions will comefrom unexpected quarters and the eventual winningcompanies may not appear to be in such a position.This industry proves that number one today meansvery little tomorrow.

© 2012 Angel Business Communications. Permission required.

Semicon West Final DR.qxp 25/7/12 13:02 Page 22

Page 23: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Your Gateway to Understanding & Selling Printed Electronics in Asia

Printed Electronics Asia 2012 builds on the extensive research IDTechEx has conducted in Asia over the last 10 years. It puts you at the heart of the activity. The return on investment for attending is superb; you will gain understanding of the activity in the territory, exclusive insight & competitiveness in the market. Attendees have access to the latest research on printed electronics in Asia by hearing from and meeting leading developers across the region. No other show brings these heavyweights together for you.

Speakers include:

Exhibitors include:

Printed Electronics Asia 2012 October 2-3 | Tokyo, Japan

PrintedElectronicsASIA2012Visit www.PrintedElectronicsAsia.com

Conference | Exhibition | Masterclasses | Tours

Register today and get

IDTechEx report worth over $3500 for free

Early Bird 30% Discount

Quote ABC30

Visit www.PrintedElectronicsAsia.com for full list of exhibitors and speakers.

Page 24: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

24 www.siliconsemiconductor.net Issue III 2012

MEMS � OEM

Making a success of MEMSMEMS has being regarded by many as a future opportunity but there were thosewho recognised the diverse potential thatwas on offer. Tony McKie, General Manager of memsstar provides an OEM perspectivehow an approach that supports MEMSdevelopment, process integration and manufacturing has led to success.

Data from ABI Research indicates thatstrong growth in the MEMS market over

the next five years will result in nearly five billionMEMS being shipped during 2016.1 MEMS growthwill likely come from consumer applications drivenby mobile computing and gaming.

Today, MEMS are found in smartphones, netbooks,media tablets, eReaders, games consoles,handheld gaming platforms and cars. Noveltyapplications for MEMS devices, bioMEMS are anexample, are also becoming increasingly popular.Devices are getting even more feature rich, addingsecondary capabilities to functions already in place.More often than not, more than one MEMS willreside in any given consumer device. For example,instead of just one silicon microphone, you nowhave a minimum of two, one for voice recognitionand one for background noise reduction.

As MEMS become vital components in anincreasing number of devices, the market isentering a transition phase from R&D to volumemanufacturing where high yield and cost-effectivemanufacturing become increasingly critical.Successful development of full-scale manufacturinglines remains a work-in-progress that will involveidentification of best practices, standardization ofmanufacturing technologies and best-in-breedequipment and process technology that can makeproduction more cost-effective, even as productsbecome increasingly complex.

Key Considerations for MEMS Manufacturing

Process integration is an issue of primaryimportance in MEMS processing, largely due to thelack of standards employed in MEMSmanufacturing. The MEMS manufacturing industryappears to be following a similar path to that of thesemiconductor industry, which migrated from a lackof standards to a state whereby all devices aremanufactured using standard process techniquesthat are well-defined and characterized. The MEMSindustry’s ability to standardize, however, isconsiderably more complex due to the abundanceof processing techniques and early stage IPprotection.

In MEMS manufacturing, process integration is evenmore important than in semiconductormanufacturing due to the nature of the MEMSstructures. The structure determines the suitability ofthe material and the processing technique. RFMEMS with metal, for example, need subsequentlow temperature processes and processingtechniques compatible with metals to avoidcorrosion, etc. The complexity of a MEMS structuretherefore leads to many variables. Performance interms of uniformity, repeatability, etch/depositionrate, control, suitability to the structure being madeand ease of manufacture are all key considerationsfor etch and surface preparation when developing aMEMS manufacturing process.

Memstar Final DR.qxp 25/7/12 12:49 Page 24

Page 25: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 25

MEMS � OEM

Processing technique remains a critical elementwithin MEMS manufacturing. Successful processdevelopment requires extensive process andintegration experience and equipment that isdesigned or optimized to maximize manufacturingperformance. The suitability of various processtechniques for the end structure must be identifiedduring the device design phase, so as tosignificantly reduce the early-stage development ofthe structure. Process development is still required,but addressing manufacturing issues up-frontensures a more rapid and effective integrationprocess.

Optimizing Equipment Processes With MEMS manufacturers developing increasinglyfunctional and complex devices, they stand tobenefit significantly from process tools that canprovide solutions for commercial MEMS R&Dthrough to volume manufacturing utilizing the sameprocess technology and hardware. This ability toachieve a seamless process transfer from R&D toproduction represents a challenge frequentlyoverlooked in the MEMS market.

memsstar has designed and sold unique,patented processing systems for vapourphase isotropic etch and surfacemodification used in MEMS manufacturing

since 2008. Its single-wafer platforms arefundamentally designed similar to semiconductorplatforms, utilizing the same best of breedcomponents. Also delivering process performanceon par with standard semiconductor processes,memsstar provides a complete hardware andprocess solution for seamless integration into amanufacturing line.

With this approach, memsstar minimizes therequirement for specialist support within thecustomers manufacturing team. With zeroconsumables and extremely high uptime, memsstarequipment is available 24/7 for manufacturing.

Memstar Final DR.qxp 25/7/12 12:50 Page 25

Page 26: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

The Power of [Europe]

Connect to the trends, technologies and people driving the European Semiconductor Industry forward.

SEMICON Europa is the place to see the leading companies,

technologies, and people driving the future of micro- and

nanoelectronics design and manufacturing.

SEMICON Europa exhibitors are the suppliers to and partners

of Europe’s leading microelectronics companies. From silicon

to system − and everything in between and beyond − SEMICON

Europa showcases the biggest and brightest names in micro-

electronics manufacturing.

SEMICON Europa Programs:• 14th European Manufacturing Test Conference (EMTC)

• Advanced Packaging Conference

• 16th Fab Managers Forum

• International MEMS / MST Industry Forum

• 6th Executive Summit

• SEMI Market Briefing

• Metrology, Process Control, Automation and Software Session

• 3D IC Session

• Power Electronics Session

• Secondary Equipment and Technology Session

• LED / SSL Session

• Workshop on Equipment Assessment & Performance Improvements

• Standards Meetings

9–11 October Messe Dresden, Germany

www.plastic-electronics.org

Co-located with:

Plan now to be part of SEMICON Europa 2012

www.semiconeuropa.org

EUVLED/SSL450mm

More than Moore

3D ICMEMS

Fab AutomationEquipment

The Power of [x]

Page 27: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 27

MEMS � OEM

Refurbished and Repurposed The refurbished equipment market has seen stronggrowth over the past few years and is expected toremain a generally strong market, with increasingadoption of refurbished equipment for mature lines.Europe will likely lead the market in purchasingrefurbished equipment, as it remains primarily aniche or specialty market that does not requireleading-edge technologies.

memsstar’s remanufacturing division is focused onrefurbished and repurposed etch and depositionequipment for MEMS fabrication and R&D as wellas semiconductor manufacturing in Europe. It offersan OEM-like solution to all its customers, with allsystems built and/or reconfigured to the latestspecifications and tested in accordance with thelatest CE directives.

The systems are offered with process support, a fullperformance warranty and post sales support.memsstar is unique in offering repurposed systemswith fully qualified and characterized processes forbespoke applications, as well as with standardsemiconductor ones.

When looking to purchase refurbished equipment,the primary consideration is the processingtechnique of the equipment and the vendor’sexperience with the system and process. Value-addproviders of refurbished equipment can impartiallyadvise on suitable equipment from a range ofsuppliers that can be matched to an individualcustomer application.

For the MEMS market, process applications and avendor’s ability to develop and support these forMEMS manufacturing is a critical differentiator. Forthe generation of toolsets being adopted in MEMSmanufacturing, most OEMs never developed MEMSprocesses at these technology nodes. In this case,the added value from a supplier like memsstar is theability to develop processes for films better suited toMEMS devices, targeted primarily at mechanicalproperties. Another recent area of development hasbeen for low temperature amorphous Si deposition,which requires a unique hardware and process set.

In terms of semiconductor manufacturing,capabilities such as upgrading chambers andreconfiguring platforms allow the company tosupply systems that match current fab equipmentsets, or facilitate migration to the next technologynode. Many of the products manufactured onrefurbished equipment sets are extremely pricesensitive, so the market has the same drivers asleading-edge manufacturers, including moreadvanced technologies, more chips on the waferand improved device or structure performance.

BusinessModelOutlookThe memsstarbusiness modelis working. Simplyput, it’s one basedon providing alevel of serviceand support thatits customers want.

The company brings tomarket tremendous experience in thesemiconductor industry, with well over 90 percent ofpersonnel coming to memsstar with prior industryexperience and a majority of those with over 15years of experience in the capital equipment sector.

In total, memsstar has in excess of 100 man-yearsprocessing experience and over 400 man-years ofexperience with manufacturing hardware, which isfairly unique for a company of memsstar’s size. Italso demonstrates the company’s commitment toadvancing processing technology for MEMSmanufacturers. This commitment to industry andprocess capability allows the company to provide alevel of support that, at times, surpasses that of theOEM.

This expertise has provided memsstar an enviableposition within its European market, retaining repeatbusiness and expanding its customer base as aresult of its quality. At the same time, MEMSmanufacturers are increasingly adoptingrepurposed semiconductor equipment, markinganother area in which memsstar has seensubstantial growth. This demand led to thecompany’s recent expansion of its Livingston,Scotland facility, doubling its cleanroommanufacturing space in order to reduce lead-timefor refurbished OEM platforms.

memsstar sees a number of areas for potentialgrowth in both its MEMS and refurbished marketsover the next few years. The value-add available tomanufacturers who utilize refurbished platforms willcontinue to be core business for memsstar. On theMEMS side, new product development andincreased MEMS manufacturing capacity will drivesales. China also offers tremendous opportunity toMEMS suppliers generally, as it is widely expectedthat the country will increasingly move intotechnology development, which has the potential tocreate significant business there in the comingyears.

© 2012 Angel Business Communications. Permission required.

1. MEMS inSmartphones andConsumer Electronics,ABI Research, Q12011.

Memstar Final DR.qxp 25/7/12 12:50 Page 27

Page 28: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

28 www.siliconsemiconductor.net Issue III 2012

MEMS � sensors

Making sense of MEMSMEMS technologies are the rising star in the sensors market. However, there are anumber of misconceptions surrounding their capabilities, and conventional sensorscontinue to meet a much wider range of applications. Jesse Bonfeld of SherborneSensors examines the evolution of MEMS fabrication, microsystems, and MEMSdevices, and their impact on the sensors market.

Micro Electro Mechanical Systems (MEMS)describes both a type of device or sensor, and a

manufacturing process. MEMS sensors incorporate tiny deviceswith miniaturised mechanical structures typically ranging from 1-100 μm (about the thickness of a human hair), whilst MEMSmanufacturing processes provide an alternative to conventionalmacro-scale machining and assembly techniques.

Also known as ‘microsystems’ in Europe, and ‘micromachines’ inJapan, MEMS devices have come to the fore in recent years withthe wide-scale adoption of MEMS sensors by the automotiveindustry, and the growing use of accelerometers and gyroscopesin consumer electronics. Perhaps the most well known consumerelectronics incorporating MEMS motion sensors include a numberof the leading smart phones, and gaming consoles/controllers.

Rise of the micromachinesMEMS development stems from the microelectronics industry, andcombines and extends the conventional techniques developed forintegrated circuit (IC) processing with MEMS-specific processes,to produce small mechanical structures measuring in themicrometer scale (one millionth of a meter).

As with IC fabrication, the majority of MEMS sensors aremanufactured using a Silicon (Si) wafer, whereby thin layers ofmaterials are deposited onto a Si base, and then selectivelyetched away to leave microscopic 3D structures such as beams,diaphragms, gears, levers, or springs. This process, known as‘bulk micromachining’, was commercialised during the late 1970sand early 1980s, but a number of other etching andmicromachining concepts and techniques have since beendeveloped.

Advances in IC technology and MEMS fabrication processes haveenabled commercial MEMS devices that integrate microsensors,microactuators and microelectronic ICs, to deliver perception andcontrol of the physical environment. These devices, also known as‘microsystems’ or ‘smart sensors’, are able to gather information

from the environment by measuring mechanical, thermal,biological, chemical, optical, or magnetic phenomena. The ICthen processes this information and directs the actuator(s) torespond by moving, positioning, regulating, pumping, or filtering.Any device or system can be deemed a MEMS device if itincorporates some form of MEMS-manufactured component.

Demand for MEMS devices was initially driven by the governmentand military/defence sectors. More recently, a maturing of thesemiconductor manufacturing processes associated with themicrochips used within personal computers, and the intersectionwith the huge requirement in the automotive and consumerelectronics sectors, has propelled MEMS sensors into themainstream. The key MEMS sensors today are accelerometers,gyroscopes, and pressure sensors.

Innovation & limitationAll too often, MEMS technologies are perceived as being all-encompassing solutions made using standardized processes,when in actual fact, they remain a largely one product, oneprocess business. A number of companies develop and produceMEMS devices themselves, and are defined as ‘IDMs’ (integrateddevice manufacturers), whereas some outsource production(fabless), and others operate both models. Much of the confusionin the market can be attributed to this diversity, and the way inwhich the various verticals subsequently interface make theMEMS market notoriously difficult to define.

At the point of fabrication, there are very few companies operatingin the sensors market that offer MEMS together with anothertechnology because of the high cost of market entry and the costof packaging MEMS devices. Likewise, once a company hascommitted to manufacturing MEMS devices, it is difficult for thatcompany to change focus, due to low margins, higherdevelopment costs, and greater complexity. That said, MEMSdoes enable high-volume production, due to the batch fabricationtechniques employed, typically resulting in very low costs for eachsingle device.

Sherborne Final DR.qxp 25/7/12 12:55 Page 28

Page 29: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 29

MEMS � sensors

The shape of sensors to comeThe advances in MEMS technologies and techniques means thatmanufacturers are now able to produce very capable MEMSsensors and devices, but many cannot be installed directly into anend application because they cannot survive the rigours of finalassembly. Conversely, conventional sensors can survive justabout any assembly process and any application, but are oftenperceived as being too big and too expensive. The challenge forthe manufacturers of MEMS sensors that are to be used incommercial products is to take the MEMS price and form factor,and package it into something able to withstand harsh environments.

Indeed, it is this second level of packaging that must beenvisioned and understood by specialist manufacturers movingforward to realise growth potential. Today, the majority of industryinnovation and commercial opportunity is centred on theapplication of existing MEMS devices, in addition to new ways topackage and integrate MEMS devices within a system that can beused directly by end users.

With the MEMS market returning to growth during 2010, the agileOEMs will be those that determine how to integrate conventionalsensor fabrication technologies and performance capabilities withthe emerging MEMS trends to overcome the limitations in materialneeds and processes. If the latter are addressed, then it is possiblethat MEMS will capture a larger portion of the overall sensor market.

The rise of chem-bio One area of intense industry focus over the past five years is thatof chemical-biological (chem-bio) sensors. Governmentsworldwide have been investing heavily in R&D, driven primarily bythe heightened threat posed by a chemical or biological attack.Chem-bio sensors respond to changes in theirchemical/biological environment and convert thisresponse into a signal that can be read.

Suitable for national security applications, chem-bio sensors are able to quickly and effectivelydetect dangerous agents in their immediatevicinity – including chemical, biological,nuclear and explosive materials. SanFrancisco officials recently proposed toregulate the sensors on its buildings in order todetect such agents and, last year, the US Armydemonstrated the feasibility of a sensor networkto improve situational awareness and reaction timein the field during chemical or biological incidents.

The US Army demonstration used military standardformatted Nuclear, Biological and Chemical (NBC)messages from a sensor located on the soldier, to passinformation via machine-to-machine data exchange up to theoperations centre to be validated. If a sensor was triggered oran incident occurred, the soldier received an automatic audioalert based on the NBC message type, and an icon appeared ontheir ‘heads-up’ display. The system displayed the areas thatneeded to be contained or avoided, and helped to plan egress

routes and notify soldiers when the area was clear. Further R&Dwill most likely see chem-bio sensors integrated into the smallestand most subtle of places, from an individual’s clothing, to mobilephones. This will provide an instantaneous and automatic methodof detection that can offer notifications of a chemical incident tothe authorities, and may even combine GPS (global positioningsystem) to enable rapid location capabilities.

According to Frost & Sullivan, the biosensors market is expectedto grow from $6.72 billion in 2009, to $14.42 billion in 2016 –driven largely by the biodefence and home diagnostic markets.However, it should be noted that in keeping with the diversity ofthe sensors market, a chemical sensor may only be deemed a‘biosensor’ if it employs a biological element that detectschemicals (e.g. blood glucose testing, or screening for disease). Chem-bio sensors add a new dimension to MEMS, in that theycall for development of somewhat exotic microstructures, such ascylinders within cylinders or those that are semi-permeable.Moreover, the challenge of how to ensure they become pervasiveis one the industry has still to address.

© 2012 Angel Business Communications. Permission required.

Sherborne Final DR.qxp 25/7/12 12:55 Page 29

Page 30: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

30 www.siliconsemiconductor.net Issue III 2012

MEMS� analysis

RF MEMS tipped for mobile growthIHS iSuppli teardown analysis identifies first use of RF MEMS part

IHS has identified a radio frequencymicroelectromechanical system (RF MEMS)

device in a new Samsung mobile phone, markingthe first known use of such a part in a volume-shipping product and sounding the starting gun foran RF MEMS market that is set to grow by a factorof 200 by the year 2015.

Samsung’s Focus Flash Windows smartphoneincludes an RF MEMS device from WiSpry Inc.,according to the IHS iSuppli Teardown Service atinformation and analysis provider IHS .

RF MEMS devices like the WiSpry part can providea range of benefits in mobile phones, including thereduction of signal interruptions and dropped calls,faster data transmission rates and improved designand power efficiency. This will pave the way forother mobile phones to adopt RF MEMS, causing

global sales of such devices to rise to $150 millionin 2015, up from just $720,000 in 2011.

“RF MEMS have been promoted by suppliers as thenext big thing in mobile phones for nearly adecade,” noted Jérémie Bouchaud, senior principalanalyst. MEMS and sensors for IHS. “However,although they have been shipping since 2005 in lowvolume for instrumentation applications, interestamong mobile phone makers in the use of RFMEMS didn’t pick up until mid-2010, when usersbegan to report problems with signal reception withthe iPhone 4 after they held the device in certainways. This so-called “death grip” problem can bealleviated through the use RF MEMS. Whencombined with the other benefits delivered by RFMEMS, the market for these parts is set for rapidgrowth in the coming years.”

The IHS teardown of the Focus Flash revealed aMEMS-based antenna tuning module labeled A2101in a die-on-LGA package near the antennaconnectors. The tunable impedance match (TIM)device, as WiSpry calls it, consists of a network ofinductors combined with WiSpry’s CMOS-integrated, digitally tunable and low-loss MEMScapacitors. The WiSpry single-chip designintegrates logic circuits/serial interface for control,on-board high-voltage charge pump and high-voltage MEMS drivers, together with fully encapsulateddigital MEMS capacitors on a single chip.

Tuning inThere are multiple direct benefits of using RF MEMSto tune and match the antenna for the networkoperators, mobile phone makers and users. Beyondmitigating the signal dropout issue because of thedeath grip, RF MEMS can improve the antennaefficiency in mobile phones, which can increasetransmission data rates. For example, in the U.S.long -term evolution (LTE) 4G standard, antennatuning can boost data rates by as much as 40 percent.

Furthermore, RF MEMS enables mobile phones toemploy smaller antennas that have the same orgreater efficiency than larger ones. This can allowthe design of thinner phones. The improvedantenna efficiency also can allow network operators

IHS Final DR.qxp 25/7/12 12:46 Page 30

Page 31: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 31

MEMS� analysis

to achieve major savings on the deployment of thenew wireless infrastructure, amounting to hundredsof millions of dollars.

Beyond reception issues, a major reason whymobile phone makers are adopting RF MEMS istheir capability to efficiently implement theproliferating number of standards and rising datausage of mobile phones.

In conventional mobile phone RF architecturesutilized today, multiple standards and functionscoexist with multiple RF paths, which are set inparallel. This architecture is not adapted to theevolution of mobile handsets, since it raises thenumber of components, size and cost, as well asthe power consumption of mobile handsets. New,reconfigurable architectures are required to increasethe functionality of phones while keeping size, costand power consumption low.

Several options are in development, includingantenna tuning and antenna matching, as well asimpedance-matching networks for the poweramplifier and tunable filters. Antenna tuning andmatching, which can be achieved with RF MEMS, isthe most popular approach today, as it can providesthe most significant improvement in terms ofsensitivity.

MEMS at workWith its Samsung design win, WiSpry is leading theRF MEMS pack. However, other companies now aretargeting this market, including TDK-EPC, Sony,Omron, RFMD, and the start-ups Cavendish-Kineticsand DelfMEMS.

Beyond RF MEMS, other technologies are beingoffered for mobile phone antenna-tuningapplications with varying applications noted at thisstage but with the possibility of more applicaitons inthe future. Current applications that are seen aspromising in the industry include the following:

� Paratek Microwave Inc.’s barium strontium titanate (BST) tunable integrated circuits, which have been employed in a handful of phones starting in June 2011.

� Peregrine Semiconductor Corp.’s DuNE antenna tuning devices, based on its silicon-on-sapphire switch technology. These devices have been shipping in one mobile phone since December 2011.

� Gallium arsenide-based switches and tuners that are being sampled by other vendors.

© 2012 Angel Business Communications. Permission required.

IHS Final DR.qxp 25/7/12 12:46 Page 31

Page 32: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

32 www.siliconsemiconductor.net Issue III 2012

technology � viewpoint

Many flavours on the menu for22nm and beyondIC manufacturing has always had to look forward a number of nodesahead to have any chance of instigating change in line with Moore’s Lawexpectations. Beyond 22nm is where the industry currently sees challengesand Dr. An Steegen, Senior Vice President of process technologydevelopment at global research centre imec provides some insight intothe manufacturing possibilities for these challenges.

In the majority of today’s consumer electronicsand handheld devices 65nm and 40nm

technology is used while 28nm is being qualified forleading-edge products. To be able to provideconsumers and businesses with ever fastercomputing, lower power consuming devices withsmaller form factors, the technology developmentcontinues to follow Moore’s law. To enable smallerdimensions, new device architectures, materials andlitho techniques are needed.

To improve performance at lower operating voltage,fully-depleted device architectures are beingintroduced as early as the 22nm technology nodeand will likely be fully adopted by foundry for the14nm technology node. Imec has a long historydeveloping FinFET devices, but also ultra-thin SOIand implant-free quantum well devices are beingbenchmarked. By screening different technologyoptions, imec helps its partners to make educated

choices depending on their specific applications,the technology readiness, cost etc

To improve the transistor performance further, high-mobility channels for NMOS and PMOS will be co-integrated on a Si-substrate. Imec is acceleratingthe co-integration of germanium for pFETs (14nm)and III-V materials such as InGaAs for nFETs(11nm). Different integration routes are beinginvestigated while the performance of these devicesis being checked at 11nm dimensions.

Thanks to our partnership with ASML, we haveaccess to the most advanced litho tools. We studyimmersion and EUV lithography in combination withadvanced patterning solutions. High on our agendais an intense exercise comparing EUV andimmersion lithography for the 14nm node. Thisstudy will be help our partners to make choices onthe introduction of EUV for 14nm or beyond.

IMEC Final DR.qxp 25/7/12 12:48 Page 32

Page 33: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 33

technology � viewpoint

Another challenge for further scaling is the changinglandscape in which design and manufacturing ofICs is decoupled in fabless/fablite companies andfoundries. At imec, we have set up the INSITEprogram, short for ‘integrated solutions fortechnology exploration’. It is a framework of designexploration modules that allows fabless and fablitecompanies, foundries and EDA vendors to developdesign and product information using emerging ICprocess technologies 1 to 3 generations ahead ofIC manufaturing.

To conclude, I strongly believe that to maintain thetremendous time-to-market and innovation inconsumer products, all parties benefit from workingtogether in research communities such as imec.Designers and application developers get earlyinsight in future technologies via initiatives such asimec’s INSITE; chip manufacturers get an overviewand can download selections of all technologyoptions for future nodes. In this way, each companycan make the right choices and is able to ensure itsfuture in the tough but flourishing world ofconsumer electronics.

© 2012 Angel Business Communications. Permission required.

An SteegenSenior Vice President processtechnology Biography: Dr. An Steegen joined imecas senior vice president processtechnology development in December2010.

In this role, she has the responsibility forthe technical leadership and execution ofimec’s CORE program activities in theareas of devices, process, lithographyand design and CMORE activities suchas MEMS, Power, Sensors andPhotonics.

These leadership technologies serve asthe foundation of imec’s successfulgrowth and R&D leadership position in a wide variety of market segments.

Dr. An Steegen holds a Ph.D. in Material Science and ElectricalEngineering from the Catholic University of Leuven, K.U.Leuven, incollaboration with the Interuniversity Microelectronics Center, imec, inBelgium.

Throughout the years, Dr. Steegen has published more than 30 technicalpapers and she holds many patents in the field of semiconductordevelopment. She joined IBM Semiconductor R&D in Fishkill, NY, in 2001,where she was the director of the bulk CMOS technology developmentdivision until 2010.

In that position, she served as the host executive in charge of IBM’s logicInternational Semiconductor Development Alliance and was responsiblefor establishing strong collaborative partnerships in innovation andmanufacturing as measured by power/performance, defect density andcost/complexity.

IMEC Final DR.qxp 25/7/12 12:48 Page 33

Page 34: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

34 www.siliconsemiconductor.net Issue III 2012

technology � innovation

Stretchable electronicsready for market

Microelectronics is dominated by silicon based IC devices but there aremany more options available. One area of growing interest is flexibleelectronics. Dr Peter Harrop, Chairman of IDTechEx discusses how stretchable electronics will soon make a mark.

Stretch Electronics Final DR.qxp 25/7/12 15:13 Page 34

Page 35: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 35

technology � innovation

Stretchable electronics concerns electricaland electronic circuits and combinations of

these that are elastically or inelastically stretchableby more than a few percent while retaining function.Usually the elastic versions must withstand repeatedflexing without loss of function as with a patchattached to a living heart for diagnostics, energyharvesting to power implants and/or control, forthat, they tend to be laminar and usually thin. Nodefinitions of electronics and electrical sectors arefully watertight but it is convenient to considerstretchable electronics as a part of printedelectronics, a term taken to include printed andpotentially printed (eg thin film) electronics andelectrics. This is because the cost, space andweight reduction sought in most cases is bestachieved by printing and printing-like technologies.

Stretchable electronics has been one of the leastexploited but most researched sectors in electronicsover the past decade. Commercialisation has beenelusive and a number of manufacturers have left the scene, though the participants see hugepotential. A good example of this was seen this yearwhen the University of Gent researching the subjectwrote, “Mechanically stretchable electronics arevirtually non-existing today.”

Actually they are slightly underselling their industrybecause Artificial Muscle has commercialisedelectroactive devices employing elastic electrodessome years ago in haptic touch switches (you feelwhat you are doing) and promoted them for suchthings as energy harvesting and steerableserpentine camera lenses. Indeed the German giantBayer AG has now snapped up this promisingcompany. Nonetheless, it would be fair to say thatthe commercialisation of stretchable electronics hasbeen disappointingly rare so far.

mc10 Inc in the USA is a rare example of a pureplay stretchable electronics company. It works withpartners in a joint development model to prototypeand manufacture novel applications for consumer,military, medical and industrial applications, givingus a glimpse of where this nascent industry sees itsproducts being used.

The value chain for printed electronics isunbalanced, with too little effort to commercialisethe technologies, such as by designing innovative,amusing or useful new products, never beforepossible, created using the new toolkit. Forexample, the easiest commercialisation of

stretchable electronics may lie in consumer goods,jewellery, fashion, toys and novelties but almost allparticipants are focussed on the slow-movinghealthcare sector that is understandably moredemanding in terms of safety and qualityrequirements and approvals. Certainly many veryinteresting things are being done to modernisesportswear, for example.

That said, it is particularly in healthcare thatstretchability, bringing portability, disposability, errorprevention, wearability and so on reads on to manyof the big trends and needs today. These includehow to cope with an ageing population that wish tostay mobile and how to respond to the fact thatthere will not be enough physicians, hospitals andcarers to cope using old procedures andequipment. Stretchable implanted and skinmounted electronic and electrical patches willdiagnose and respond earlier, delivering drugs with

Perm

issio

n g

ran

ted

.Im

ag

e b

y Jo

hn

Ro

ge

rs,

Un

ive

rsity

of

Illin

ois

at

Urb

an

a-C

ha

mp

aig

n.

Stretch Electronics Final DR.qxp 25/7/12 12:59 Page 35

Page 36: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

36 www.siliconsemiconductor.net Issue III 2012

technology � innovation

less error than when the patient had to remembertimes and doses. It facilitates the bionic man andwoman and more. Indeed the longer termobjectives are truly awesome, with talk ofunintrusive electronics in the folds of the brain.

Future functionalityTaking the broader view that stretchable electronicsmakes a host of new functions possible we seeinvestors sensing that this nascent industry isindeed at a tipping point. Too often the objectiveshave been engineering-led and unambitious. Add100 creative designers and commercialisation willleap forward at a blistering pace.

Mc10 focuses on healthcare applications,commercialising the outstanding advances in thesubject provided by the University of Illinois atUrbana Champaign. It completed a Series bfundraising bringing the round’s total to $14.75million in September 2011. mc10 takes electronics‘out of the box’ to create thin, conformal systemsthat are able to move with the natural world. Thecompany combines breakthrough technology withinnovative engineering to develop exciting newconsumer, medical, and industrial products. mc10 isheadquartered in Cambridge, MA.

“Mc10 represents a game-changing technologyfor medical devices and health care

electronics,” said Adam Fine, ManagingDirector of investor Windham VenturePartners, experts in healthcare. “We are

pleased to provide both capital and expertiseto accelerate their products and partnerships in

life science applications.”

Mc10’s ability to create bendable, stretchablesystems out of otherwise rigid high performanceelectronics has immediate benefits for health andwellness products. For example, mc10 is workingon “electronic skin”, which can measure everything

from heart rate to activity level to hydration, all in athin, sticker-like package. This has enormouspotential in the health, wellness, and health caremarkets.

The company’s active partnerships, collaborations,and funding sources, including MassachusettsGeneral Hospital, the US Navy, and Reebok,demonstrate the broad impact of mc10’s platform.For mc10, Windham’s support and involvementrepresents an ideal addition to the existing team.

“Windham complements the skills and interests ofour other venture investors,” said mc10’s CEODavid Icke. “They bring a driven, entrepreneurialapproach along with a deep knowledge and far-reaching experience that will help us build our lifescience electronics business.”

Now investors are alert for the mc10 of othersectors for stretchable electronics given that somuch of the engineering is ready to move into pre-production. There is already origami electronics andcar electronic and electrical parts that can mouldinto position as the vehicle is constructed.

However, tackling this calls for a completely differentapproach and value chain from traditionalelectronics and electrics with its focus oncompanies making different components and othercompanies that put them all together in a box andmake them work.

Traditional electronics and electrics does not involvethe paper and packaging, publishing or printingindustry to any significant extent. It has input fromthe chemical and plastics industry but the newelectronics turns all this on its head with totally newforms of collaboration becoming essential andmuch of the added value going to the chemicalindustry in particular.

Those that try to use the old approach of makingand selling individual components by just printingthem tend to go out of business because what themarket and the economics demand is completesmart labels etc that perform a function at lowestcost. Even ink making comes centre stage as doesthe replacement of print and manual proceduresbefore the replacement of electronics.

Excitingly, some of those inks will even include suchexotica as carbon nanotube and graphene springsand transparent, not just stretchable and foldableelectronics becomes widely possible.

© 2012 Angel Business Communications. Permission required.

Perm

ission

gra

nte

d.Im

ag

e b

y Joh

n R

og

ers,

Un

iversity o

f Illino

is at U

rba

na

-Ch

am

pa

ign

.

Stretch Electronics Final DR.qxp 25/7/12 12:59 Page 36

Page 37: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue III 2012 www.siliconsemiconductor.net 37

statistics � MEMS

Mobile MEMS marketYole Développement tips 20% annual growth to reach $5.4B in 2017.

New MEMS devices will benefitfrom mobile device growth

over the coming years. Phones and tabletswill represent a 2.9B units in 2017 andmost of them will integrate 5 to 10 MEMSdevices, claimed Laurent Robin, ActivityLeader, Inertial MEMS Devices &Technologies, Yole Développement. Theanalyst group believes 10 new MEMSapplications in mobile applications willbecome $100M markets in 2017. MEMSdevices are extremely popular in mobileapplications. Despite this interest, only 3categories of MEMS devices are in highvolume production today.

Motion sensors including accelerometers,magnetometers and more recentlygyroscopes is the hottest market segment.It is still growing quickly and manybusiness and technical evolutions areexpected. One of them is the launch ofcombo sensors which provide a higherlevel of integration and possibly embedsensor fusion algorithms.

MEMS microphones which are promised abright future, driven by ECM replacementand by new functionalities that requiremultiple microphones

BAW filters and duplexers have beenpopular for years, especially in Band 2 andnew opportunities will appear with some ofthe bands used in 4G standards

Yole is tipping novel MEMS opportunitiesthat need to be watched:� While limited to weather forecast

applications today, pressure sensors are going to be used in combination with inertial sensors to provide location-based services

� RF MEMS switches had a successful start in 2011 and will benefit from the current hype for antenna tuning

� Oscillators is another hot area where silicon MEMS has a high potential, both for replacing TCXO quartz oscillators and for integration of resonators

� Another hot market is going to be MEMS auto-focus which provides significant added value compared to the existing VCM technology, but will face competition with other technologies as well

� Other types of emerging MEMS are microdisplays, microspeakers, touchscreens and joysticks

New playersOpportunities are thus huge for MEMSdevice makers, as this market tripled from2009 to 2011. The ranking of the topplayers has also evolved the past 2 years:ST Microelectronics was number 3 in cellphone applications in 2009 and is now thenumber one supplier by far with $477Mcellphone and tablet revenue in 2011. STMicroelectronics still dominates the MEMSaccelerometer market, had an impressivestart with MEMS gyroscopes, onlychallenged by InvenSense, and continuesto expand to many other MEMS devices tobecome a one-stop supplier.

ST Microelectronics major clients areApple, Samsung, Nokia, RIM and HP.Other large players are very focused ontheir core markets: AKM is the number 2with $260M sales of magnetometers forelectronics compass solution, Avago isleading the BAW filters and duplexersmarket with $244M sales, and Knowles isnumber 4 with $233M revenues fromMEMS microphones.

Yole sees a number of trends that areshaping the competitive landscape oftomorrow including;� Many start-ups introducingdisruptive technologies:

both for emerging markets(RF MEMS switches andvariable capacitors,scanning mirrors forpicoprojectors, silicontiming devices,speakers, auto-

focus…)

� Attracted by this growing market space,large semiconductor companies are now eyeing MEMS: Fairchild and Maxim have made the move through acquisitions, and others should follow

� New business models are beingdeveloped and it appears that some players specialize on a specific part of the value chain (MEMS manufacturing, signal processing…) while others are offering complete solutions (e.g. combo sensors which integrate MCU and software)

“The market for MEMS in cell phones andtablets will grow 19.8% to reach a $5.4Bvalue in 2017”, says Laurent Robin, ActivityLeader Inertial MEMS Devices &Technologies, Yole Développement. TheMEMS industry will be largely impacted byglobal trends in mobile devices:connected devices, video and musicconsumption, social networking, diversityof users and usages, mobile advertising. Inthe reverse way, Yole Développement alsonotes that the booming demand forsmartphones and media tablets can bepartly explained by the integration ofMEMS sensors which provide newfunctionalities.

© 2012 AngelBusinessCommunications. Permission required.

Statistics Final DR.qxp 25/7/12 12:56 Page 37

Page 38: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

38 www.siliconsemiconductor.net Issue 3 2012

corporate partners � directory

Equipment

Gas Handling & MFC

Gas (High Purity) Process Control

Chemical Pumps Fan Filter Units

Furnaces

Furnaces

Automation & Wafer Handling Connection Solutions Furnaces

Cleanrooms

Furnaces

39 Corporate Partners Final DR.qxp 24/7/12 10:51 Page 38

Page 39: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Issue 3 2012 www.siliconsemiconductor.net 39

corporate partners � directory

Wafer Level Packaging

Wet BenchesWet Benches

Material Processing

To promote your Products and Services cost effectively to

all our buyers and specifiers, take advantage of the new

Corporate Partners section.

A Corporate Partners entry is effective, and an easy way of

promoting your products and services for the full year.

Additionally your entry will be seen at major exhibitions and

events throughout the year.

For further information, please contact: Shehzad Munshi

T: +44 (0)1923 690 215 E: [email protected]

Entries in Corporate Partners @ £1,500 per heading per year.

Liquid Nitrogen Piping

RF-/DC-/MF-Power Supplies

Semiconductor Equipment

Solder Rework

Vacuum Equipment

Equipment

39 Corporate Partners Final DR.qxp 24/7/12 10:51 Page 39

Page 40: Platinum films with ALD · Shehzad Munshi shehzad.munshi@angelbc.com +44 (0)1923 690215 USA Representatives Brun Media Tom Brun E: tbrun@brunmedia.com Tel: 724 539-2404 Janice Jenkins

Field-proven, ultra-thin wafer handling solution ˛ up to 300 mm

Integrity-assured bonding and de-bonding of high-topography wafers

Adhesive stability at high temperatures and defect-free removal ˛ no additional cleaning tool required

Solutions for 3D Integration and TSV

Flexible options ˛ glass or silicon carriers, immediate carrier re-use


Recommended