+ All Categories
Home > Documents > Presented by: David Divins at

Presented by: David Divins at

Date post: 07-Feb-2022
Category:
Upload: others
View: 1 times
Download: 0 times
Share this document with a friend
27
October 2007 1 Presented by: David Divins at
Transcript

October 2007 1

Presented by:David Divins

at

October 2007 2

Using Simulation to Estimate MOSFET Junction Temperature in

a Circuit Application

Presented by:David Divins

Senior Staff Field Applications EngineerInternational Rectifier

[email protected]

October 2007 3

Agenda

Definition of Electro-Thermal SimulationSimulation Tools and MethodsMethods of Estimating Die TemperatureCreating Quasi-Dynamic MOSFET ModelModel GenerationExample ApplicationConclusion

October 2007 4

Electro-Thermal Simulation

Purpose of Electro-Thermal Simulation is to predict MOSFET junction for a given application.

DiePackage

FR4 Board Solder joint

Heatsink

October 2007 5

Electro-Thermal Simulation

ApplicationsSolenoid driversMotor driveLighting ballastDC/DC convertersSwitch model power suppliesClass D amplifiers

October 2007 6

Simulation Tools and Methods

ToolsSimplorer (Ansoft) - Circuit/System simulator with VHDL-AMS hardware description languageSaber (Synopsis) - Circuit/System simulator with VHDL-AMS and MAST hardware description languagesSpector (Cadence) - Circuit/System simulator with Verilog-A hardware description languagePSPICE (Cadence) – Defacto standard in circuit simulation.

October 2007 7

Simulation Tools and MethodsMethod

Implementing model in the hardware description languageImplementing model using equations and macro modeling

library ieee; use ieee.std_logic_1164.all;use ieee.electrical_systems.all;

entity comparator isport ( terminal a : electrical;

signal d : out std_ulogic );end entity comparator;

----------------------------------------------------------------

architecture ideal of comparator isconstant ref_voltage : real := 5.0;quantity vin across a;

begin

comparator_behavior : process isbeginif vin > ref_voltage / 2.0 thend <= '1' after 5 ns;

elsed <= '0' after 5 ns;

end if;wait on vin'above(ref_voltage / 2.0);

end process comparator_behavior;

end architecture ideal;

Source Drain

Gate

ΘH RTH1

117.73m K/W

CTH1

211.98u Ws/KCTH2

1.38m Ws/KRTH2

601.26m K/W

CTH3

32.33m Ws/K

RTH32.01 K/W

T1 Ta aCH1

+ V

Vds

A

Iddr

Abs

FCT_ABS1

PWRProbe

PWR

EQU

Equations

10

Ta

10

Tj

+ V

Vdst

10

Rdson

10

dr

10

PWR

irfr9024

+ V

Vgs

October 2007 8

Simulation Tools and Methods

Quasi-Dynamic MOSFET model implementationMacro modeling with use of linking equationsUsing a multi domain simulator that allows for Electro-Thermal simulation.• Volts and Amps• Heat flow (Watts) and temperature

Thermometer

H

Heat

+ V

Voltmeter

A

Ammeter

October 2007 9

Methods of Estimating Die Temperature

Methods of estimating MOSFET die junction temperatureEquation based + Thermal Impedance curve

DVIP ∗∗=

Where:I = average current during the conduction cycleV = equivalent voltage across the device during the conduction cycleD = duty cycle

October 2007 10

Methods of Estimating Die Temperature

Use Power calculated with P=I*V*DUse pulse width and duty cycle to determine Zth (thermal impedance) from device thermal impedance curve Temperature rise (ΔTjunction)= Zth * P

October 2007 11

Methods of Estimating Die Temperature

Limitations of the equation based junction temperature estimate

Only temperature rise from junction to case is taken into account. Neglects case to ambient temperature rise.Assumes the power pulse is an ideal square edged pulse train.It does not allow for transient thermal response.

Junction

Case

Ambient

TemperatureRise Calculated

Case to Ambient Temperature Rise Not calculated

October 2007 12

Methods of Estimating Die Temperature

Simulator based MOSFET junction temperature estimateUses circuit simulation to calculate junction temperature in an applicationThe circuit can be arbitraryTransient thermal response is calculatedComponent parameters change with temperature

IRF2804S_7P_Therm

IRF2804S_7P_Therm

IRF2804S_7P_ThermIRF2804S_7P_Therm1

I1

Rdrv

Bus

C1

R2R1

Vbus V

STATE1

TRANS1

STATE2

C

25.00

150.00

50.00

100.00

0 72.28m25.00m 50.00m

FET Junction Temperature

IRF28...

1.50u

27.80

10.00

0 200.00m

Load Voltage

N0009.V

A

-20.00n

182.47

100.00

0 4.35m2.00m

Load Current

Bus.I [A]

+ V

Vgs

-50.00m

10.05

5.00

0 200.00m

Gate Voltage

Vgs.V ..

A

AM1

D1

ICA:

Parameters

Parameters

Name Valuetrig 1.00mIpk 200.00Iss 40.00

Vbus 28.00R1 175.00mR2 700.00mIg 100.00u

W

0

1.50k

500.00

1.00k

0 4.00m2.00m

FET Power

IRF28...

+ V Vsw

TRProbe

Tj

TRProbe

Load_current

Measured Values

Name ValueBus.I [A] 42.76

Load_current.MAX 186.82Temp_Rise 148.25

EQU

Equations

C

60.00

366.25

200.00

300.00

10.00u 1.00m30.00u 100.00u200.00uA

FET Junction Temp Rise vs. Gate Current

Tj.MAX

C

25.00

368.00

100.00

200.00

300.00

0 200.00m100.00m

Tj

A

-20.00n

192.00

100.00

0 200.00m100.00m

Load Current

A

167.65

191.80

180.00

8.00u 1.00m500.00uA

Peak Load Current vs Gate Drive Current

Load_...

Experiment Table

RunNo Ig Load_current.MAX Tj.MAX1.002.003.004.005.006.007.008.009.00

10.00

10.00u16.68u27.83u46.42u77.43u129.16u215.44u359.38u599.48u1.00m

167.68174.47179.20182.85185.65187.68189.41190.50191.25191.71

366.02314.35271.71207.12156.68116.8983.1163.1760.7560.25

Driver

(C)

0

150.00

0 200.00m

Peak Tj for IRF2804_7P

Tj.MAX -39.20m

10.05

5.00

0 200.00m100.00m

Gate Voltage vs. Ig

Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V .. Vgs.V ..

+ V Vds1

+ V

Vds2

(V)

0

30.6020.00

0 200.00m

Vds1

Vds2....(V

)

-2.44

1.400

0 200.00m

Vds2

Vds1....

October 2007 13

Methods of Estimating Die Temperature

Assumptions made for junction temperature estimates using simulation

No other source of heat considered (Temperature rise due to self heating only)Only MOSFET RDS(on) and threshold voltage changes with temperatureSince simulation solves Ordinary Differential the junction is assumed to be a point source of heat.

October 2007 14

Creating Quasi-Dynamic Thermal MOSFET Model

Gathering information:25C Spice Model of MOSFETDatasheet information• RDS(on) vs. Temperature curve• Thermal Impedance Curve

with thermal RC ladder network

.SUBCKT irf1404 1 2 3 * SPICE3 MODEL WITH THERMAL RC NETWORK ************************************** * Model Generated by MODPEX * *Copyright(c) Symmetry Design Systems* * All Rights Reserved * * UNPUBLISHED LICENSED SOFTWARE * * Contains Proprietary Information * * Which is The Property of * * SYMMETRY OR ITS LICENSORS * *Commercial Use or Resale Restricted * * by Symmetry License Agreement * ************************************** * Model generated on April 2, 01 * MODEL FORMAT: SPICE3 * Symmetry POWER MOS Model (Version 1.0) * External Node Designations * Node 1 -> Drain * Node 2 -> Gate * Node 3 -> Source M1 9 7 8 8 MM L=100u W=100u .MODEL MM NMOS LEVEL=1 IS=1e-32 +VTO=3.74133 LAMBDA=0.00250986 KP=514.947 +CGSO=7.17952e-05 CGDO=1.60578e-08 RS 8 3 0.00282867 D1 3 1 MD .MODEL MD D IS=1.89845e-10 RS=0.00218742 N=1.20398 BV=40 +IBV=0.00025 EG=1.2 XTI=1.85712 TT=2.00014e-05 +CJO=5.42237e-09 VJ=2.67939 M=0.566441 FC=0.1 RDS 3 1 1e+06 RD 9 1 0.000681391 RG 2 7 3.16781 D2 4 5 MD1 * Default values used in MD1: * RS=0 EG=1.11 XTI=3.0 TT=0 * BV=infinite IBV=1mA .MODEL MD1 D IS=1e-32 N=50 +CJO=3.13813e-09 VJ=0.970446 M=0.823421 FC=1e-08 D3 0 5 MD2 * Default values used in MD2: * EG=1.11 XTI=3.0 TT=0 CJO=0 * BV=infinite IBV=1mA .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06 RL 5 10 1 FI2 7 9 VFI2 -1 VFI2 4 0 0 EV16 10 0 9 7 1 CAP 11 10 7.84089e-09 FI1 7 9 VFI1 -1 VFI1 11 6 0 RCAP 6 10 1 D4 0 6 MD3 * Default values used in MD3: * EG=1.11 XTI=3.0 TT=0 CJO=0 * RS=0 BV=infinite IBV=1mA .MODEL MD3 D

IS=1e-10 N=0.4 .ENDS irf1404

October 2007 15

Creating Quasi-Dynamic Thermal MOSFET Model

25C Spice ModelCharacterized to the datasheetDoes not change performance characteristics as power is calculatedUsed as base model for Quasi-Dynamic MOSFET model

October 2007 16

Creating Quasi-Dynamic Thermal MOSFET Model

MOSFETModel in

Simulation

ThermalNetwork

Power Calculation

Temperature (Tj)

Rdson=F(Tj)

Vth=F(Tj)

October 2007 17

Model Generation

Ladder NetworkA thermal RC network used to model the dynamic thermal behavior of the package + mounting system.

Package + MountingEquivalent RC Ladder Network

ΘH RTH1

117.73m K/W

CTH1

211.98u Ws/KCTH2

1.38m Ws/KRTH2

CTH3

32.33m Ws/K

RTH3

T1 Ta aCH1

AbsPWRProbe

The ladder network can be synthesized from the thermal impedance curveor is given by the MOSFET manufacturer

October 2007 18

Model GenerationTying the thermal model to the 25C Spice model

Create the equation that represents RDS(on) vs. temperature

)**2(*)25()( bTjaCRdsondTj

TjdRdson+=

)25(*)**2(*)25( −+= TjbTjaCRdsondRdson This expression gets implemented in the model

Note: a, b and c are calculated via a curve fitting routine. The Rdson vs Temperature curve is assumed to be quadratic.

October 2007 19

Model Generation

Create the voltage source that represents the temperature dependence of Vth (threshold voltage)

)25(*007.0)( −−= TjTjVth Expression used in model.

The voltage source is in series with the MOSFETs gate.

October 2007 20

Model Generation

Calculating the power in the MOSFET for use in the thermal network.

VdsIdP *=

This calculated power is the source for the thermal network.

October 2007 21

Model Generation

Putting it togetherdr

EQU

Equations

+ V

Vds

PWRProbe

PWR

Abs

PWR_abs

RTH1

0.195105 K/W

CTH13.808m Ws/K

CTH226.941m Ws/K

RTH2

305.073m K/W

ΘT1

Ta aC

HH1

Drain

Gate

Source

10Tj

10Ta

10PWR

+ V

Vdstirf2804s7p

irf2804s7p1Vth

dt:=Tj.T-TaTj:=Tj.T-273.15if(Vds.V<0.1) {Rdson25:=abs(Vds.V/dr.I)} else {dr:=1m}if(Vds.V<0.1) {dr:=(7.41u*Tj+3.519m)*dt*Rdson25} else {dr:=1u}PWR:=PWR_abs.VALVth:=-7m*(Tj-25)

Tj

RTH3

October 2007 22

Model GenerationFinal model

25C Spice modelAdded voltage source Vth in gate implements Vth(Tj)dr implements Vds and the current in dr are used to calculate RDS(on) 25CVdst and the current in dr is used to calculate the total powerPWR_abs is used to insure that the thermal network is driven with positive power.

October 2007 23

Example Application

High side switchMOSFET being driven by a opto isolated drive

Very low drive current capability

Load is capacitive Issue: How does driving this load effect the junction temperature of the MOSFET

October 2007 24

Example Application

Simulation Schematic

C2A2 Vop2

Von2

Von1

Vop1A1

C1

PV

I105

0N

PVI1050N

R1Vcc24 V

S1

STATE1

TRANS1

STATE2

C1400u F

Vbus

108 V

R210 Ohm

1k Ohm

W +

PWR_FET

+ V

Vgs

A

IgCase

IRFP4232_Therm

IRFP4232

N0052

Heatsink

October 2007 25

Example Application

AssumptionsTambient=25CHeak sink is modeled as just a thermal resistorC1 & R2 represent a load system i.e. power supplyIg, Vgs, PWR_FET, States 1 & 2, Trans1 and S1 are measurements, input stimulus and ideal switch

October 2007 26

Example Application

Results

Gate Voltage, Junction Temperature, Power and Current

MOSFET Current(A)

15

0

5

10

MOSFET Power(W)]

1k

0

500

Gate Voltage(V)

15

0

5

10

Junction Temperature(C)

150

0

50

100

t [s] 0 157.50m20.00m 40.00m 60.00m 80.00m 100.00m 120.00m

October 2007 27

ConclusionElectro-Thermal simulation allows for analysis in both electrical and thermal domainsQuasi-Dynamic Thermal MOSFET model allows for self-heating to alter RDS(on) and Vth during simulation as a function of temperatureQuasi-Dynamic Thermal MOSFET Model generation is a data gathering taskThe example shows why it is difficult to switch a capacitive load with an opto-driver and a MOSFET due to the excessive junction temperature spike during turn-on.


Recommended