+ All Categories
Home > Documents > Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications

Date post: 02-Jan-2016
Category:
Upload: hmsedighi459
View: 10 times
Download: 2 times
Share this document with a friend
Description:
Reduced-Order Models for MEMS Applications
Popular Tags:
26
Nonlinear Dynamics (2005) 41: 211–236 c Springer 2005 Reduced-Order Models for MEMS Applications ALI H. NAYFEH 1,, MOHAMMAD I. YOUNIS 2 , and EIHAB M. ABDEL-RAHMAN 1 1 Department of Engineering Science and Mechanics, MC 0219, Virginia Polytechnic Institute and State University, Blacksburg, VA 24061, U.S.A.; 2 Department of Mechanical Engineering, State University of New York at Binghamton, Binghamton, NY 13902, U.S.A.; Author for correspondence (e-mail: [email protected]; fax: +1-540-231-2290) (Received: 19 August 2004; accepted: 6 October 2004) Abstract. We review the development of reduced-order models for MEMS devices. Based on their implementation procedures, we classify these reduced-order models into two broad categories: node and domain methods. Node methods use lower-order approximations of the system matrices found by evaluating the system equations at each node in the discretization mesh. Domain- based methods rely on modal analysis and the Galerkin method to rewrite the system equations in terms of domain-wide modes (eigenfunctions). We summarize the major contributions in the field and discuss the advantages and disadvantages of each imple- mentation. We then present reduced-order models for microbeams and rectangular and circular microplates. Finally, we present reduced-order approaches to model squeeze-film and thermoelastic damping in MEMS and present analytical expressions for the damping coefficients. We validate these models by comparing their results with available theoretical and experimental results. Key words: MEMS, microbeams, microplates, reduced-order models, squeeze-film damping, thermoelastic damping 1. State-of-the-Art The dynamics of MEMS are represented by partial-differential equations (PDEs) and associated bound- ary conditions. The most widely used method to treat these distributed-parameter problems is to reduce them to ordinary-differential equations (ODEs) in time and then solve the reduced equations either numerically or analytically. Three approaches are used in the reduction. Idealization of the device flexible structural elements as rigid bodies. Discretization using finite-element methods (FEM), boundary-element methods (BEM), or finite- difference methods (FDM). Construction of reduced-order models (ROM). The first and second approaches, while lying at opposite extremes of complexity, are currently the most widely used. The pressure for better designs, less trial-and-error in the design process, and better device performance demands better models than idealized rigid bodies. Numerous researchers compared the pull-in voltage of electrostatically actuated cantilever [1] and clamped–clamped [2] microbeams obtained by solving the distributed-parameter system to those obtained using a spring-mass model and found that the spring-mass model underpredicts the pull-in voltage. Although FEM/BEM and FDM simulations are adequate for the analysis of the static deflections (equilibrium positions) of MEMS devices, they are inadequate for dynamic simulations because they require the time integration of thousands of second-order ODEs (one for each degree of freedom in the model). This is a very expensive process, making system-level simulation, device optimization, interactive design, and evolutionary design almost impossible. As a result, reduced-order modeling of MEMS is gaining attention as a way to balance the need for enough fidelity in the model against the numerical efficiency necessary to make the model of practical use in MEMS design.
Transcript
Page 1: Reduced-Order Models for MEMS Applications

Nonlinear Dynamics (2005) 41: 211–236 c© Springer 2005

Reduced-Order Models for MEMS Applications

ALI H. NAYFEH1,∗, MOHAMMAD I. YOUNIS2, and EIHAB M. ABDEL-RAHMAN1

1Department of Engineering Science and Mechanics, MC 0219, Virginia Polytechnic Institute and State University, Blacksburg,VA 24061, U.S.A.; 2Department of Mechanical Engineering, State University of New York at Binghamton, Binghamton, NY13902, U.S.A.; ∗Author for correspondence (e-mail: [email protected]; fax: +1-540-231-2290)

(Received: 19 August 2004; accepted: 6 October 2004)

Abstract. We review the development of reduced-order models for MEMS devices. Based on their implementation procedures,we classify these reduced-order models into two broad categories: node and domain methods. Node methods use lower-orderapproximations of the system matrices found by evaluating the system equations at each node in the discretization mesh. Domain-based methods rely on modal analysis and the Galerkin method to rewrite the system equations in terms of domain-wide modes(eigenfunctions). We summarize the major contributions in the field and discuss the advantages and disadvantages of each imple-mentation. We then present reduced-order models for microbeams and rectangular and circular microplates. Finally, we presentreduced-order approaches to model squeeze-film and thermoelastic damping in MEMS and present analytical expressions for thedamping coefficients. We validate these models by comparing their results with available theoretical and experimental results.

Key words: MEMS, microbeams, microplates, reduced-order models, squeeze-film damping, thermoelastic damping

1. State-of-the-Art

The dynamics of MEMS are represented by partial-differential equations (PDEs) and associated bound-ary conditions. The most widely used method to treat these distributed-parameter problems is to reducethem to ordinary-differential equations (ODEs) in time and then solve the reduced equations eithernumerically or analytically. Three approaches are used in the reduction.• Idealization of the device flexible structural elements as rigid bodies.• Discretization using finite-element methods (FEM), boundary-element methods (BEM), or finite-

difference methods (FDM).• Construction of reduced-order models (ROM).

The first and second approaches, while lying at opposite extremes of complexity, are currently themost widely used. The pressure for better designs, less trial-and-error in the design process, and betterdevice performance demands better models than idealized rigid bodies. Numerous researchers comparedthe pull-in voltage of electrostatically actuated cantilever [1] and clamped–clamped [2] microbeamsobtained by solving the distributed-parameter system to those obtained using a spring-mass model andfound that the spring-mass model underpredicts the pull-in voltage.

Although FEM/BEM and FDM simulations are adequate for the analysis of the static deflections(equilibrium positions) of MEMS devices, they are inadequate for dynamic simulations because theyrequire the time integration of thousands of second-order ODEs (one for each degree of freedom inthe model). This is a very expensive process, making system-level simulation, device optimization,interactive design, and evolutionary design almost impossible. As a result, reduced-order modeling ofMEMS is gaining attention as a way to balance the need for enough fidelity in the model against thenumerical efficiency necessary to make the model of practical use in MEMS design.

Page 2: Reduced-Order Models for MEMS Applications

212 A. H. Nayfeh et al.

There are two main classes of methods used to create ROMs: node and domain methods. All ofthese methods aim to create a transformation from the physical coordinates of the device to a set of qgeneralized coordinates associated with the eigenfunctions corresponding to the q lowest eigenvalues.The differences among these methods lie in the techniques used to obtain this transformation.

1.1. NODE METHODS

This class of methods eliminates the spatial dependence in the PDEs by evaluating them at the nodesof a discretization of the device structures (and cavities). The variation over time of the displacement(and coupled parameters) at these nodes constitutes the state vector {x(t)}n of the discretized system ofODEs; that is,

{x}n = { f (x)}n + [B]nm{u}m (1)

where { f (x)} is a nonlinear function of the state vector and {u(t)} is the input to the system. Linearalgebra techniques are then used to create a transformation matrix [T ] to reduce the size of the statevector from n to q , according to

{x}n = [T ]nq{y}q

This transformation is then used to reduce system (1) to

{y}q = { f (y)}q + [B]qm{u}m (2)

To this end, the Guyan method [3] uses the following procedure.• In the mesh, designate q states as master states {xq}, representing the motions of the dominant modes

in the system, and designate the rest of the states {xn−q} as slave states.• Set the time derivatives of the slave states in Equation (1) equal to zero.• Linearizes { f (x)} around an equilibrium point {xo} in state space, set the input equal to zero, and

retain only the last n − q equations of (1) to get

[K(n−q)q K(n−q)(n−q)

]{

xq

xn−q

}= 0

• Use this equation to write the slave states {xn−q} in terms of the master states {xq} and obtain thetransformation

{x} =[

Iqq

−K −1(n−q)(n−q) K(n−q)q

]{xq}

• Insert this transformation into the linearized form of system (1) to obtain the reduced system

{y}q = [A]qq{y}q + [B]qm{u}m (3)

where {y} = {xq}.The reduced-order model (3) can predict small motions around an equilibrium position in the neighbor-hood of the point {xo} in state space. However, the model performs poorly in predicting transients, largemotions, and motions around equilibrium positions away from {xo} [4]. The “substructuring technique”in ANSYS 5.6 and 5.7 employs the Guyan method [5] to create reduced-order models of MEMS.

Page 3: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 213

Krylov subspace methods use the Arnoldi or Lanczos procedures to create an orthonormal basis forthe subspace Kq{[ ∂ f

∂x ], [B]} of system (1), which is then used to construct [T ]. Both procedures areequivalent to a moment-matching procedure in the s-space between a Taylor series expansion arounds = so (usually taken equal to zero) of the Laplace transform of the original model, linearized at a point{xo} in state space, and the Laplace transform of the ROM. The accuracy of the ROM depends on theorder of the moment matching (number of vectors q in the basis set). The resulting ROM can predicttransient and steady-state responses in the neighborhood of {xo} as long as their frequency content is inthe neighborhood of so. The truncated balanced realization (TBR) method uses the controllability andobservability Grammians of system (1), linearized around a point {xo}, to construct a transformationmatrix [T ] out of the eigenfunctions corresponding to the highest q Hankel singular values of thelinearized model.

Bechtold et al. [6] used each of the Arnoldi procedure and the TBR to produce a ROM describingthe thermo-electric behavior of a micro-ignition unit. The original PDE was linear, as a result theywere able to apply directly both the TBR and a classical Krylov subspace method. They found that,while both reduction methods reproduce the full model behavior accurately, the transient response of aKrylov-based model was less accurate than that of a TBR-based model of the same order q. Also, thesteady-state response of a Krylov-based model diverged from that of the full-scale model at a lowerexcitation frequency than a TBR-based model of the same order q. On the other hand, the computationalcost of a Krylov-based model is of order O(n2), while that of a TBR-based model is of order O(n3).As a result, it is not practical to use the TBR for systems where n is more than a few hundred. Bechtoldet al. [4] compared the use of the Guyan and Krylov subspace methods to produce reduced-ordermodels for the device of Bechtold et al. [6]. They found that the performance of the Guyan methodis inferior to that of a Krylov subspace method of the same order q in predicting the device transientresponse.

Wang and White [7] used the Arnoldi procedure to produce a ROM from a linearized full model of themicro-switch of Hung et al. [8]. They found that the reduced model predictions are accurate for smallmotions but deviate significantly from the original model results for large motions. Bai et al. [9] used theLanczos algorithm to implement a reduced-order modeling capability in the MEMS analysis softwareSUGAR 2.0 and 3.0. The routine also starts with a linearized full model except for the electrostatic force,which was represented using the closed-form parallel-plate formula in both the original and reducedmodels. They used the routine to generate ROMs for a gap-closing actuator [10] and a torsional micro-mirror [11]. In both devices, the nonlinear mechanical restoring forces were minimal. The transient andsteady-state predictions of the ROMs were in good agreement with the full model predictions. Theyalso found that the ROMs, where q is on the order of O(10) [11], are able to produce accurate results forexcitation frequencies up to the order of a few tens of KHz. Srinivasan et al. [12] also used the Lanczosalgorithm to generate a ROM of a comb-drive microresonator based on a linear FEM model. They foundthe system responses predicted by the ROM around the first natural frequency of the resonator in goodagreement with those produced using the FEM model, analytical formulae, and the software packageNODAS.

Chen and White [13] extended the Krylov subspace methods to quadratic systems by applying a mod-ified Arnoldi procedure to a second-order Taylor series expansion of the original model, Equation (1),around a point in state space {xo}. They used this procedure to generate a ROM for a nonlinear model ofa capacitor – resistor circuit. On the other hand, Ramaswamy and White [14] and Chen and Kang [15–17] extended the Krylov subspace methods to weakly nonlinear systems where the electrostatic force,rather than the full system model, is expanded in a higher-order Taylor series. They used the Arnoldiprocedure to produce ROMs linear on the mechanical side and quadratic or cubic on the electric force

Page 4: Reduced-Order Models for MEMS Applications

214 A. H. Nayfeh et al.

side. Ramaswamy and White [14] generated ROMs for a capacitively driven cantilever beam and arectangular micro-mirror, whereas Chen and Kang [15–17] generated progressively refined ROMs forthe micro-switch of Hung et al. [8]. They found that (a) the quadratic ROM predictions match those ofthe original model over a larger range of motion than a linear ROM of the same order q and (b) the cubicROM is able to match the original model predictions over an even larger range than a quadratic ROMof the same order q . They used the same procedure [18] to extract a quadratic ROM of a micro-mirror.They found that the model is able to match both of the transient and steady-state responses predictedby the original model away from pull-in (the snap-down angle).

While Krylov subspace methods have been used to create linear, quadratic, and cubic ROMs, thememory and computational costs of the process grow exponentially [18–20] with the order of the ROM.As a result, it is limited in practice to cubic models beyond which it becomes too expensive. This is asignificant drawback since the electrostatic forcing represents a strong (high-order) nonlinearity. Thetraditional approach used to treat it is to expand the forcing term in a Taylor series. Truncation of thehigher-order terms introduces significant errors for large device motions and leads to an overestimationof the pull-in voltage.

To overcome this limitation, Rewienski and White [19] proposed an alternate implementation ofKrylov subspace methods: a trajectory piecewise-linear (TPWL) approach. First a training signal isused in a full (or approximate) model to generate the trajectory of the device response to the signal,then linear expansions of the model are obtained around a series of equidistant states {xi } along thetrajectory. The matrix [T ] is constituted from the union of the Krylov basis at the initial state {x0}and the vector {x0} orthonormalized with respect to the basis set. The transformation matrix is thenused to reduce the size of the TPWL model from n to q. A ROM constructed using this method forthe micro-switch of Hung et al. [8] was able to predict responses to various input signals as longas the response trajectory was close to the training trajectory. They found that the ROM predictionswere more accurate than the linear model of Wang and White [7] and the quadratic model of Chinand White [13] of the same order q . The model, however, failed to predict the pull-in behavior whenthe training signal used to generate the ROM did not trigger pull-in. As a result, the application ofTPWL is limited to responses qualitatively similar to those lying on the trajectory used to produce themodel.

Rewienski and White [20, 21] extended the basis set used to generate [T ] by incorporating the Krylovbasis sets and the vectors {xi } at each of the linearization states {xi } along the training trajectory. Theyalso used the reduced-order model produced at point {xi−1} as an approximation of the full model tostep in time to point {xi } where another linearized model is produced. At each linearization point, theyperformed singular value decomposition (SVD) on [T ] to eliminate redundant vectors and vectors withsingular values less than a set accuracy limit. They found that the accuracy of a ROM based on theextended basis set was better than a ROM produced using the simple set of Rewienski and White [19]even where the extended set order q was smaller than the simple set order.

Vasilyev et al. [22] compared the implementation of the Krylov subspace method of Rewienski andWhite [19] to the use of TBR and the use of a Krylov subspace method to perform an intermediateorder reduction followed by TBR, all applied at the initial state {x0}, to create a TPWL reduced-ordermodel. They found that the ROMs produced using TBR and Krylov-TBR have better accuracy thanthe ROM based on a pure Krylov reduction for the same model order q . The Krylov-TBR approachhas the added advantage of numerical efficiency, allowing it to be applied to systems larger than thosewhere a pure TBR is typically useful. On the other hand, they found that the TBR and Krylov-TBRbased ROMs are only stable and accurate where the model order q is even; models with odd q areunstable.

Page 5: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 215

1.2. DOMAIN METHODS

Methods in this class eliminate the spatial dependence in the PDEs using the Galerkin method. Thedisplacement (and coupled parameters) are expressed as a linear combination of a complete set oflinearly independent basis functions φi (x, y, z) in the form

w(x, y, z, t) =∞∑

i=1

ui (t)φi (x, y, z)

where ui (t) is the generalized coordinate associated with basis function φi (x, y, z). Truncating thesummation series to a finite number n,

w(x, y, z, t) =n∑

i=1

ui (t)φi (x, y, z) (4)

substituting Equation (4) into the PDEs, and requiring the residue to be orthogonal to every basisfunction, we obtain n second-order ODEs in time in terms of the generalized coordinates ui (t).

The basis set can be chosen arbitrarily, as long as its element satisfy all of the boundary conditionsand are sufficiently differentiable. To enhance convergence, the basis set has to be chosen to resemblethe behavior of the device. Two ways have been used to generate the basis set.• Conducting experiments or solving the PDEs using FEM or FDM to generate snapshots describing

the variation of the states over time (motion of the device) under a training signal, then applyinga modal analysis method, one of the variations of the proper orthogonal decomposition method[23] (singular value decomposition, SVD; Karhunen–Loeve decomposition, KLD; and principalcomponent analysis, PCA) to the time series to extract the mode shapes of the device structuralelements (and corresponding eigenfunction of the coupled domains).

• Solving the linear undamped eigenvalue problem (EVP) of the device to obtain the mode shapes ofthe structural elements (and corresponding eigenfunctions of the coupled domains).

1.2.1. Basis Set from Time SeriesHung et al. [8] and Hung and Senturia [24] simulated the dynamics of a capacitive micro-switch made ofa clamped–clamped microbeam and represented by two coupled PDEs accounting for the microbeammotions and the pressure of the air trapped underneath it. They generated a basis set for the beamdeflection and another for the air pressure by applying SVD to a time series produced from a fewruns of a fully meshed finite-difference solution of the PDEs. They indicated that both basis sets aresimilar to the linear mode shapes and eigenfunctions of the undeflected microbeam. Chen and Kang[15] used KLD to generate basis sets for the same model. The results of the ROMs generated using bothapproaches converged to those of the original model as the order q of the ROM was increased. Therewas no clear difference in the convergence speed between SVD and KLD.

Chen and Kang [18] used KLD to generate a basis set for the air pressure under a rigid micro-mirrorand extract a ROM for the device. They found that the model was able to match both of the transient andsteady-state responses predicted by the full model even for large motions close to the snap-down angle.They also used KLD [25], modified to use snapshots taken over constant distances along the Jacobianof the system rather than time, to generate two basis sets to represent the diaphragm deflection and theair pressure of a capacitive pressure sensor. They found that, for the same ROM order q , the standard

Page 6: Reduced-Order Models for MEMS Applications

216 A. H. Nayfeh et al.

KLD smoothed out fast variations in the original model response, while the modified KLD was able toreproduce those variations.

Liang et al. [23, 26] simulated the dynamics of a clamped–clamped microbeam using a generalizedHebbian algorithm to perform PCA on a noise-free [26] and a noisy [23] time series from a finite-difference solution of the PDEs describing the microbeam motion and its interaction with the airunderneath it. The snapshots were obtained from the device response to two step voltages larger thanthe pull-in voltage and a basis set was generated for each of the beam deflection and air pressure. Theyfound that the basis sets obtained using PCA and KLD were similar for noise-free data. When noisewas injected into the data, KLD produced distorted basis sets, while a robust PCA algorithm smoothedout the noise from the basis sets.

Lin et al. [27] produced the first model of a complex device made of more than one primitive structuralelement (beam, plate, or desk). They used finite differences to generate a time series for a micro-mirrormade of a plate suspended from two beams over an air gap and actuated by a step voltage beyond thepull-in voltage. Applying KLD to the time series, they found the local basis sets for each of the structuralelements and the trapped air, then they used component mode synthesis to create a ROM for the overallstructure. They compared predictions of this ROM and those of another ROM generated using a globalbasis set for all three structures to the finite-difference results and found that the ROM generated usinglocal basis sets had an accuracy superior to that of a ROM generated using a global basis set.

Qiao and Aluru [28] modeled electroosmotic transport in straight micro-channels using thePoisson–Boltzman and Navier–Stokes PDEs. They used the finite-cloud method to solve the PDEs andobtained time series representing the velocity profile of the flow for a given training signal, then theyused SVD to extract a basis set for the flow velocity. The resulting ROM was valid only for actuatingvoltages in the neighborhood of the training signal. To obtain a model valid over a significant range ofvoltages, they used a time series composed of snapshots taken over the whole voltage range. The newmodel was valid over the whole range and had an extra mode not present in the original basis set.

De and Aluru [29] modeled a capacitive micro-switch made of a clamped–clamped microbeam usinga PDE describing the plate deflections and an integral equation describing the electric potential acrossthe capacitor. They used the finite-cloud and boundary-cloud methods to solve the equations and obtaintime series for the deflection and electric charge distribution for a given training signal, then they usedSVD to extract a basis set for each of them. They employed the basis sets in conjunction with thecollocation method, rather than the Galerkin method, to produce a ROM for the device. The model wasvalid only for small motions.

We conclude that ROMs generated using this approach can only predict motions qualitatively similarto those of the original time series. For example, a ROM cannot predict the pull-in dynamics using atime series representing the response to actuation voltages below the pull-in voltage. Also, the accuracyof the model degrades as the magnitude of the applied voltage deviates from that used as a trainingsignal(s). In other words, these models represent local approximations of the original PDEs.

1.2.2. Basis Set from EVPAnathasuresh et al. [30] and Grtetillat et al. [31] used the linear undamped mode shapes of a straightbeam as a basis set to produce a ROM describing the dynamics of a micro-switch made of an asymmetricclamped–clamped microbeam. They found a discrepancy between the results obtained using the modeland experimental data. The model underestimated the pull-in time (switching time) because it neglectedmid-plane stretching and residual stresses in the beam.

Gabbay et al. [32] developed an automated procedure to generate a ROM from FEM/BEM simulationsof a device response to a few training signals spanning the operation domain. The procedure is limited to

Page 7: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 217

conservative systems and small motions around a statically deflected position of the structural element.They used the linear mode shapes of the deflected structural element as a basis set to represent the inertiaand linear stiffness terms in the ODEs. The simulation results were used to express the electrostaticenergy as a fitted rational polynomial in terms of the generalized coordinates. The electrostatic forcewas then introduced into the ODEs as the derivative of the electrostatic energy with respect to thegeneralized coordinates. They reported that this approach overestimates the structural stiffness and failsto correctly predict the dynamics of a clamped–clamped microbeam at a DC voltage beyond 30% ofthe pull-in voltage. Varghese et al. [33] used this approach to produce a ROM of the beam response toa Lorentz force generated by a magnetic field.

Mehner et al. [34] modified this procedure to address problems involving mid-plane stretching andlarge displacements. They modified the constraints on the nodes of the FEM code to stretch and contractas they bend to avoid stiffness overestimation and used the modified code to extract the mode shapes ofthe deflected structural element, which were adopted as a basis set for the system. Simulations of thedevice under various training signals were used to write the strain energy and the electrostatic energyas fitted rational polynomials in terms of the generalized coordinates associated with the mode shapes.They found that the modified mode shapes were close to, but different from, the linear mode shapes ofGabbay et al. [32].

Bennini et al. [35] modified the procedure of Gabbay et al. [32] to express both of the strain energy andelectrostatic energy as fitted regular polynomials in terms of the generalized coordinates and to allowfor constant modal damping. Mehner et al. [36] extended this procedure to account for the squeeze-filmeffects using a few FEM runs to create polynomials, in terms of the generalized coordinates, representinglinear stiffness and damping coefficients corresponding to each basis function. The ROM140 of ANSYS7.0 element uses this process to represent the effect of squeeze-film damping, while the ROM144 elementof ANSYS 7.0 uses the procedure of Bennini et al. [35] to produce ROMs for MEMS devices.

Westby and Fjeldly [37] and Xie et al. [38] used the linear mode shapes of the structural elementas a basis set to express the motion of undamped MEMS exhibiting quadratic and cubic nonlinearitiesin terms of a few ODEs. They used the center manifold method to reduce the number of these ODEsfurther to those describing the temporal variation of one [38] or two [37] dominant nonlinear normalmodes. The ODEs were then solved analytically for the device response.

In the following sections, we present reduced-order models for electrically actuated microbeamsand rectangular and circular microplates using the linear undamped mode shapes of the unactuatedstructure as a basis set in the Galerkin procedure. We present results showing the efficiency andaccuracy of these models.

2. Microbeams

We consider a clamped–clamped microbeam (Figure 1), subject to viscous damping with a coefficient cper unit length and actuated by an electric load v(t) = VDC + VAC cos(�t), where VDC is the DC polar-ization voltage and VAC and � are the amplitude and frequency of the AC voltage. The nondimensionalequation of motion and boundary conditions that govern the transverse deflection of the microbeam arewritten as [39, 40]

∂4w

∂x4+ ∂2w

∂t2+ c

∂w

∂t= [α1�(w, w) + N ]

∂2w

∂x2+ α2 v(t)2

(1 − w)2 (5)

w(0, t) = w(1, t) = 0,∂w

∂x(0, t) = ∂w

∂x(1, t) = 0 (6)

Page 8: Reduced-Order Models for MEMS Applications

218 A. H. Nayfeh et al.

Figure 1. A schematic of an electrically actuated microbeam.

where x , t , and w, are the nondimensional position, time, and transverse deflection, respectively. Theyare related to the dimensional variables (denoted by hats) by

w = w

d, x = x

�, t = t

T(7)

where d is the capacitor gap width, � the length of the beam, and T =√

ρ A�4/E I . The parametersappearing in Equation (5) are

α1 = 6

(d

h

)2

, α2 = 6ε�4

Eh3d3, c = c�4

E I T, N = N�2

E I(8)

where A and I are the area and moment of inertia of the cross section, ρ the material density, E Young’smodulus, h the microbeam thickness, ε the dielectric constant of the gap medium, and N an appliedtensile axial force. The functional � is given by

�( f1(x, t), f2(x, t)) =∫ 1

0

∂ f1

∂x

∂ f2

∂xdx (9)

We generate a ROM [41, 42] by discretizing Equations (5) and (6) into a finite-degree-of-freedomsystem consisting of ordinary-differential equations in time. We use the linear undamped mode shapesof the straight microbeam (VDC = 0) as basis functions in the Galerkin procedure. To this end, weexpress the deflection as

w(x, t) =M∑

i=1

ui (t)φi (x) (10)

We multiply Equation (5) by (1 − w)2, substitute Equation (10) into the resulting equation, usethe linear undamped mode shape equation [41, 42] to eliminate φiv

i , multiply by φn(x), integrate theoutcome from x = 0 to 1, and obtain

un − 2M∑

i, j=1

i jnu j ui +M∑

i, j,k=1

i jknu j uk ui − cun − ω2nun = α2nv(t)2

+ 2M∑

i, j=1

ω2i i jnui u j −

M∑

i, j,k,=1

ω2i i jknui u j uk + 2c

M∑

i, j=1

i jnu j ui − cM∑

i, j,k=1

i jknu j uk ui

+ α1

M∑

i, j,k=1

ui u j uk�(φi , φ j )∫ 1

0φnφ

′′k dx − 2α1

M∑

i, j,k,l=1

ui u j ukul�(φi , φ j )∫ 1

0φkφ

′′l φn dx

+ α1

M∑

i, j,k,l,m=1

ui u j ukulum�(φi , φ j )∫ 1

0φlφmφnφ

′′k dx for n = 1, 2, . . . , M (11)

Page 9: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 219

Figure 2. Variation of Wmax calculated using the ROM for two cases: three symmetric modes (dashed line) and five symmetricmodes (solid line). The discrete points are results obtained by solving the static boundary-value problem using a shooting method[39, 40].

where the prime denotes differentiation with respect to space x , the overdot denotes differentiation withrespect to the time t , ωi is the i th natural frequency of the microbeam, and the functional is definedby

n =∫ 1

0φn dx, in =

∫ 1

0φiφn dx, i jn =

∫ 1

0φiφ jφn dx, . . .

Using three or more modes in Equation (11) was shown [41, 42] to give good convergence for the stableequilibria.

In Figure 2, we compare the microbeam mid-point deflection Wmax calculated using the ROM andemploying the first three and five symmetric modes with results obtained by solving the static boundary-value problem using a shooting method [39, 40]. The five-mode solution is in excellent agreement withthe results of the shooting method for both of the upper and lower branches.

We use the ROM to calculate the natural frequencies of a resonant microsensor. For a given voltagev, we substitute the static solution corresponding to the lower branch into the Jacobian matrix ofEquation (11) and find the corresponding eigenvalues. Then by taking the square root of the magnitudesof the individual eigenvalues, we obtain the natural frequencies of the device. In Figure 3, we comparethe normalized fundamental natural frequency calculated using the ROM and employing five symmetricmodes in the discretization (solid line) with results obtained by solving the eigenvalue problem of thedistributed-parameter system (triangles) using a shooting method [39, 40] and the experimental results(circles) obtained by Tilmans and Legtenberg [43] for a resonator with the specifications l = 210 µm,h = 1.5 µm, b = 100 µm, d = 1.18 µm, E = 166 GPa, and N = 0.0009 N. There is an excellent

Page 10: Reduced-Order Models for MEMS Applications

220 A. H. Nayfeh et al.

Figure 3. A comparison of the normalized fundamental natural frequency calculated using the ROM and employing five symmetricmodes in the discretization (solid line) with results obtained by Abdel-Rahman et al. [39] and Younis et al. [40] (triangles) andthe experimental results (circles) obtained by Tilmans and Legtenberg [43].

agreement among the results. The ROM shows robustness in predicting the natural frequency overthe whole range even as the microbeam approaches its stability limit where the frequency approacheszero.

To demonstrate the ROM ability to predict the dynamic behavior of microbeam-based MEMS, wecalculate the pull-in time of a pressure sensor. We plug the φi and ωi corresponding to the first Msymmetric modes into Equation (11) and integrate them in time for the ui (t). To obtain the deflectionvariation with time, we use Equation (10) with the calculated φi and ui (t). We find the pull-in timeby monitoring the beam response over time for a sudden rise in the displacement, at that point wereport the time as the pull-in time. Figure 4 shows the evolution of u1, the dominant coefficient,with the nondimensional time obtained by integrating Equation (11) using the first five symmetricmodes. The nondimensional pull-in time is approximately t = 3.4, where a sudden rise in u1

occurs.

3. Rectangular Microplates

We model a capacitively actuated microplate by using the dynamic analog of the von Karman equationsto account for moderately large deflections [44, 45]; that is,

1

2(1 − ν)

(∂2u

∂ y2+ ∂2v

∂ x∂ y

)+ 1

2(1 − ν)

(∂w

∂ x

∂w2

∂ y2+ ∂w

∂ y

∂2w

∂ x∂ y

)

+ ∂2u

∂ x2+ ν

∂v

∂ x∂ y+ ∂w

∂ x

∂2w

∂ x2+ ν

∂w

∂ y

∂2w

∂ x∂ y= 0 (12)

Page 11: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 221

Figure 4. Evolution of u1 with the nondimensional time demonstrating the onset of pull-in.

1

2(1 − ν)

(∂2u

∂ x∂ y+ ∂2v

∂ x2

)+ 1

2(1 − ν)

(∂w

∂ x

∂2w

∂ x∂ y+ ∂w

∂ y

∂2w

∂ x2

)

+∂2v

∂ y2+ ν

∂2u

∂ x∂ y+ ∂w

∂ y

∂2w

∂ x∂ y+ ν

∂w

∂ x

∂2w

∂ x∂ y= 0 (13)

1

12h2

(∂4w

∂ x4+ 2

∂4w

∂ x2∂ y2+ ∂4w

∂ y4

)+ ρ(1 − ν2)

E

∂2w

∂ t2− ε(1 − ν2)v(t)2

2Eh(d − w)2

= N xx

Eh

∂2w

∂ x2+ 2

N xy

Eh

∂2w

∂ x∂ y+ N yy

Eh

∂2w

∂ y2+ ∂ u

∂ x

∂2w

∂ x2+ ∂v

∂ y

∂2w

∂ y2+ ν

∂v

∂ y

∂2w

∂ x2

+ ν∂ u

∂ x

∂2w

∂ y2+ 1

2

(∂w

∂ x

)2∂2w

∂ x2+ 1

2

(∂w

∂ y

)2∂2w

∂ y2+ 1

(∂w

∂ y

)2∂2w

∂ x2

+ 1

(∂w

∂ x

)2∂2w

∂ y2+ (1 − ν)

(∂ u

∂ y+ ∂v

∂ x+ ∂w

∂ x

∂w

∂ y

)∂2w

∂ x∂ y(14)

where u(x, y, t), v(x, y, t), and w(x, y, t) are the displacements in the x , y, and z-directions, N i j is theapplied force on the i-edge in the j-direction, and ν is Poisson’s ratio. For convenience, we introducethe following nondimensional variables [46]:

u = au

2d2, v = av

2d2, w = w

d, Ni j = N i j

Eh,

x = 2x

a− 1, y = 2y

b− 1, t = 2ht

√3(1 − ν2)ρa4/E

Page 12: Reduced-Order Models for MEMS Applications

222 A. H. Nayfeh et al.

This choice of x and y shifts the center of the plate to the point (x = 0, y = 0). The nondimensionalcounterparts of Equations (12)–(14) are

1

2(1 − ν)

(∂2u

∂y2+ α

∂2v

∂x∂y

)+ 1

2(1 − ν)

(∂w

∂x

∂2w

∂y2+ ∂w

∂y

∂2w

∂x∂y

)

+ α2 ∂2u

∂x2+ να

∂2v

∂x∂y+ α2 ∂w

∂x

∂2w

∂x2+ ν

∂w

∂y

∂2w

∂x∂y= 0 (15)

1

2(1 − ν)

∂2u

∂x∂y+ α2 ∂2v

∂x2

)+ 1

2(1 − ν)

∂w

∂x

∂2w

∂x∂y+ α

∂w

∂y

∂2w

∂x2

)

+ ∂2v

∂y2+ να

∂2u

∂x∂y+ 1

α

∂w

∂y

∂2w

∂y2+ να

∂w

∂x

∂2w

∂x∂y= 0 (16)

∂4w

∂x4+ 2

α2

∂4w

∂x2∂y2+ 1

α4

∂4w

∂y4+ ∂2w

∂t2− α2

v(t)2

(1 − w)2

= 3α20

(Nxx

∂2w

∂x2+ 2

αNxy

∂2w

∂x∂y+ 1

α2Nyy

∂2w

∂y2

)

+ 12α21

(∂u

∂x+ ν

α

∂v

∂y

)∂2w

∂x2+ 12

α21

α2

(ν∂u

∂x+ 1

α

∂v

∂y

)∂2w

∂y2

+ 12α21(1 − ν)

(1

α2

∂u

∂y+ 1

α

∂v

∂x

)∂2w

∂x∂y+ 12

α21

α2(1 − ν)

∂w

∂x

∂w

∂y

∂2w

∂x∂y

+ 6α21

((∂w

∂x

)2

+ ν

α2

(∂w

∂y

)2)∂2w

∂x2+ 6

α21

α2

(1

α2

(∂w

∂y

)2

+ ν

(∂w

∂x

)2)∂2w

∂y2(17)

The parameters appearing in Equations (15)–(17) are

α = b

a, α0 = a

h, α1 = d

h, α2 = 3

8

1 − ν2

Eh3d3εa4 (18)

Zhao et al. [46] solved the linear undamped eigenvalue problem using the hierarchical finite-elementmethod (HFEM) to obtain the microplate eigenfunctions φi (x, y) and write the transverse displacementfield as

w(x, y, t) =N∑

i=1

qi (t)φi (x, y) (19)

Substituting Equation (19) into Equations (15) and (16) and considering the associated in-plane boundaryconditions yields a set of boundary-value problems for u and v. Using the HFEM, Zhao et al. [46] solvedfor u and v in terms of qi (t); that is,

u = u(x, y, qi (t)) and v = v(x, y, qi (t)) (20)

Multiplying both sides of Equation (17) by (1 − w)2, substituting Equations (19) and (20) into theoutcome, and applying the Galerkin procedure, then obtained a set of nonlinearly coupled ODEs, whichis the ROM for the microplate.

Francais and Dufour [47] measured the center deflection of a fully clamped square microplate undervarious electrostatic actuations. In Figure 5, we compare the deflection wmax at the center of the plate

Page 13: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 223

Figure 5. Comparison of wmax calculated using the ROM (solid and dotted curves) with the experimental results (+) of Francaisand Dufour [47].

Figure 6. Variation of the normalized fundamental natural frequency ω1 with the electrostatic load α2V 2p for various values

of α1.

calculated using the ROM with the experimental results of Francais and Dufour. The ROM shows goodagreement and robustness, being able to predict deflections up to pull-in. The dots correspond to unstableequilibrium solutions and the solid line corresponds to stable equilibrium solutions calculated using theROM.

When the microplate is deflected, the linear mode shapes and natural frequencies change cor-respondingly. Figure 6 shows variation of the fundamental natural frequency ω1 of the deflectedplate, normalized with respect to the natural frequency ω0

1 of the flat plate, with the electrostatic loadα2V 2

p . For low values of α1 = d/h, the fundamental natural frequency decreases as the electrostaticforce increases and approaches zero as pull-in develops. As α1 increases, the fundamental naturalfrequency increases for the same level of electrostatic forcing. At high values of α1, the fundamentalnatural frequency first increases with the electrostatic force, then decreases, and eventually approacheszero.

4. Circular Microplates

We consider a circular plate with radius R fully clamped above a parallel electrode. The plate is subjectto viscous damping with a coefficient c per unit length. The nondimensional equations governing the

Page 14: Reduced-Order Models for MEMS Applications

224 A. H. Nayfeh et al.

axisymmetric transverse deflection of the plate w can be written as [48]

∂2w

∂t2+ ∇4w = β

[1

r

∂r

(∂w

∂r

∂r

)+ σ

r

∂r

(r∂w

∂r

)− 2c

∂w

∂t+ F(r, t)

]+ v(t)2

(wmax − w)2 (21)

∇4 = −1

r

∂2w

∂r2

∂w

∂r(22)

where ∇4 is the polar biharmonic operator, σ is the residual stress, F(r, t) is an additional axisymmetricpressure, and (r, t) is the stress function. The nondimensional variables and parameters appearing inEquations (21) and (22) are related to the dimensional quantities (denoted by hats) according to thefollowing relations:

r = Rr, t = R2

(ρh

D

)1/2

t, w = h2

Rw, c = 24(1 − ν2)

R4(ρh5 D)1/2c,

F = 12(1 − ν2)Dh4

R7F, v2(t) = 2Dh6

εR7v2(t), σ = Eh4

R4σ, = Eh5

R2 , (23)

wmax = d R

h2, and β = 12(1 − ν2)h2

R2

where D = Eh3

12(1−ν2) is the plate flexural rigidity. The boundary conditions are

w(1, t) = 0,∂w(1, t)

∂r= 0, and w(0, t) is bounded (24)

To generate the ROM, Vogl and Nayfeh [48] let

w(r, t) =N∑

m=1

ηm(t)φm(r ) (25)

(r, t) =N∑

m,n=1

ηm(t)ηn(t)ψmn(r ) (26)

where φm(r ) is the mth axisymmetric linear undamped mode shape of the flat plate and the ψmn(r )are unknown axisymmetric functions to be determined in the course of the analysis. SubstitutingEquations (25) and (26) into Equations (21), (22), and (24) and following the Galerkin procedure,they obtained

w2max

(ηq + 2cηq + ω2

qηq) − 2wmax

N∑

i, j=1

i jqηi(η j + 2cη j + ω2

jη j)

+N∑

i, j,k=1

i jkqηiη j(ηk + 2cηk + ω2

kηk) = qv(t)2 + β

[

−ω2max

N∑

i, j,k=1

ηiη jηk

∫ 1

0φ′

iφ′qψ

′jkdr

+ 2ωmax

N∑

i, j,k,l=1

ηiη jηkηl

∫ 1

0(φiφq )′φ′

jψ′kldr −

N∑

i, j,k,l,m=1

ηiη jηkηlηm

∫ 1

0(φiφ jφq )′φ′

kψ′lmdr

− σω2max

N∑

i=1

ηi

∫ 1

0rφ′

iφ′qdr + 2σωmax

N∑

i, j=1

ηiη j

∫ 1

0rφ′

j (φiφq )′dr

Page 15: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 225

− σ

N∑

i, j,k=1

ηiη jηk

∫ 1

0rφ′

k(φiφ jφq )′dr + ω2max

∫ 1

0Frφqdr − 2ωmax

N∑

i=1

ηi

∫ 1

0Frφiφqdr

+N∑

i, j=1

ηiη j

∫ 1

0Frφiφ jφqdr

]

q = 1, 2, . . . , N (27)

ψ ′i j (r ) = −r

4

∫ r

0

φ′iφ

′j

ξdξ + 1

4r

∫ r

0ξφ′

iφ′j dξ + r

4

∫ 1

0

φ′iφ

′j

ξdξ + r

4

1 + ν

1 − ν

∫ 1

0ξφ′

iφ′j dξ

i, j = 1, 2, . . . , N (28)

where the functional is defined by

q =∫ 1

0rφq dx, iq =

∫ 1

0rφiφq dx, i jq =

∫ 1

0rφiφ jφq dx, . . .

Vogl and Nayfeh [48] validated the ROM with experimental data. Osterberg [49] measured the pull-involtage vpi for multiple radii R of clamped circular microplates made of silicon with the specificationsh ≈ 3 µm and d ≈ 1 µm. Osterberg developed a statistics-based model to approximate vpi and solvedfor the optimal statistical coefficients by fitting his model to the experimental data. Vogl and Nayfeh[48] fit the physics-based model, Equations (27) and (28), to the experimental data by solving for thevalues of E, σ, ν, d, and h that minimize the objective function

W =14∑

i=1

(vmodel

i (E, σ, ν, d, h) − vexpi

δi

)2

(29)

where the δi , vmodeli , and v

expi are, respectively, the experimental standard deviations, the model pull-in

values, and the experimental pull-in values for the 14 different experimental radii. The objective functionW is a weighted sum of the square of the deviations between the ROM and experimental values. Theyfound out a local minimum of W for d = 1.014 µm, h = 3.01 µm, E = 150.6 GPa, ν = 0.0436, andσ = 7.82 MPa, which seems to be the global minimum. The pull-in voltages from this optimum modelare displayed in Figure 7 along with the experimental data. Standard deviation bars for the experimentaldata are also shown in the figure.

Figure 7. Pull-in voltage versus plate radius.

Page 16: Reduced-Order Models for MEMS Applications

226 A. H. Nayfeh et al.

5. Reduced-Order Approaches to Model Damping in MEMS

In this section, we show how to reduce the computational cost in the simulation of MEMS devices in non-conservative systems. The reduction can be achieved by solving analytically the equation governing theenergy dissipation. For examples, in the case of squeeze-film damping, the Reynolds equation is solvedto obtain a relation between the pressure distribution and the microplate mode shape. In thermoelasticdamping, the heat equation is solved for the temperature variation in terms of the elastic strains. These an-alytical solutions decouple the coupled physical domains (fluidic, thermal, and structural) and reduce theglobal number of variables to be solved. Moreover, they transform the design problem from a 3D prob-lem, where for instance the gas in the capacitor gap has to be meshed or the temperature variation acrossthe thickness of a structure has to be determined, to a 2D problem on the plate domain only. Another com-putational reduction can be achieved by extracting explicit analytical expressions for the damping co-efficients. These coefficients can be implemented in reduced-order models to account for energy losses.

There are several mechanisms of energy dissipation in MEMS devices [50]. The most commoninclude losses into the surrounding fluid due to acoustic radiation and viscous damping, losses into thestructure mounts, and intrinsic damping caused by losses inside the material of the mechanical structure.Among all of the damping sources, viscous damping is the most significant source of energy loss inMEMS. For typical MEMS devices employing a parallel-plate capacitor, viscous damping correspondsto squeeze-film damping.

The majority of models for squeeze-film damping are derived for rigid plates. Thus, these modelsare inaccurate for flexible structures. Starr [51] modeled the behavior of a capacitive parallel-plateaccelerometer using the linearized Reynolds equation, assuming small deflection and pressure variationand incompressible fluid. He derived an exact expressions for the damping force of a circular diskand an approximate expression for that of a rectangular plate. To account for large displacements andcompressibility effect, he provided correction factors for the analytical expressions.

Blech [52] solved analytically the linearized compressible Reynolds equation for the pressure inthe case of oscillating rigid plates of rectangular and circular shapes with trivial pressure boundaryconditions and derived analytical expressions for the spring and damping coefficients due to squeeze-film damping. Darling [53] extended the Blech model [52] to arbitrary venting conditions in the caseof rigid plates. Their solution scheme is based on the Green’s function.

A group of researchers used statistical thermodynamics [54]. Kadar et al. [55] and Li et al. [56]modified the Christian model [57], which determines the effect of a moving rigid body on changing thelinear momentum of the gas molecules, by improving the distribution function of the velocity moleculesto reflect more the physics of the problem. They compared their theoretical results to the theory andexperimental data of Zook et al. [58] and found that their theory reduced the discrepancy; however thediscrepancy was still significant.

Bao et al. [59] used an energy-transfer model to study the effect of a moving structure on changingthe kinetic energy of the gas molecules. They derived an expression for the quality factor, similar tothat of Christian [57], but modified by a correction factor, which is proportional to the gap width andthe inverse of the plate length. They compared their theoretical results to the theoretical results andexperimental data of Zook et al. [58] and found out that their theory improved the agreement with theexperimental data; however there was still significant discrepancy.

Extrinsic damping mechanisms can be minimized by a proper design of devices and their operatingconditions. For example, squeeze-film damping can be minimized by increasing the distance betweenthe capacitor electrodes and encapsulating the device at a very low pressure. Intrinsic mechanisms onthe other hand are more difficult to control because they depend primarily on the material and geometric

Page 17: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 227

Figure 8. Schematic of a MEMS device.

properties of the structures. There are many mechanisms that contribute to intrinsic damping. Recentstudies [60] have shown that thermoelastic damping can be a dominant source of intrinsic damping inMEMS. Thermoelastic damping results from the irreversible heat flow generated by the compressionand decompression of an oscillating structure.

The first to analyze thermoelastic damping rigorously is Zener [61], who gave an analytical approxi-mation for the quality factor of metallic beams due to thermoelastic damping. In a recent work, Lifshitzand Roukes [62] solved the problem of thermoelastic damping of beams and derived an analyticalexpression for the quality factors. They calculated the quality factors of various microbeams and foundthat their model yields results close to that of the Zener [61] model. Nayfeh and Younis [63, 64] analyzedthermoelastic and squeeze-film damping in microplates. They extracted analytical expressions for thetemperature, pressure, and quality factor of a microplate due to these dissipation mechanisms. Next, webriefly give an account of these expression.

We consider a microplate (Figure 8) actuated by an electrostatic load of magnitude Vp and subjectto a net pressure force P(x, y, t) per unit area due to the gas in the gap. Assuming small displacements(i.e. small electric loading), we obtain the following linear equation of motion governing the transversedeflection of the microplate including the effect of thermoelastic damping [65–67]:

D∇4w − N 1∂2w

∂ x2+ ρh

∂2w

∂ t2= εV 2

p

d3w − P − Eαt

1 − ν∇2w

∫ h/2

−h/2(T − T0) dz

− Eαt

1 − ν

∫ h/2

−h/2z∇2(T − T0) dz (30)

where N 1 is the axial force per unit length in the x direction, T (x, y, z, t) is the temperature distribu-tion, T0 is the stress-free temperature, and αt is the coefficient of thermal expansion. The temperaturedistribution is governed by the linearized heat conduction equation [63]

k∇2T = ρCp∂ T

∂ t− EαtT0

1 − ν

∂ t(z∇2w) (31)

where Cp is the heat capacity coefficient at constant pressure. The pressure is governed by the Reynoldsequation. Assuming small variations around the static pressure Pa in the air gap, we obtain the followinglinearized equation governing the pressure distribution underneath the microplate

∂2 P

∂ x2+ ∂2 P

∂ y2= 12

ηeff

Pad3

(d

∂ P

∂ t− Pa

∂w

∂ t

)(32)

where ηeff is the effective viscosity of the fluid in the gap [68].

Page 18: Reduced-Order Models for MEMS Applications

228 A. H. Nayfeh et al.

Equations (30)–(32), along with appropriate sets of boundary conditions, is a distributed-parametersystem. Numerical solution of the free damped vibration of the device is computationally cumbersome.Instead, we follow Nayfeh and Younis [63, 64] and show how to reduce the computational cost of thesimulation by using perturbation techniques.

5.1. THERMOELASTIC DAMPING

We start by driving an analytical expression for thermoelastic damping in microplates. We assume thatthere is no squeeze-film damping (P = 0), in which case thermoelastic damping is an important sourceof dissipation. By noting that the temperature variation across the plate thickness is much larger than itsvariation across the plane of the plate, Nayfeh and Younis [63] reduced the linear damped eigenvalueproblem to

∇4φn − N 1∂2φn

∂ x2+ Eαt

1 − ν∇2φn

∫ h/2

−h/2θnd z + Eαt

1 − ν

∫ h/2

−h/2z∇2θnd z − εV 2

p

d3φn = ω2

nφn (33)

k∂2θn

∂ z2= iωnρCpθn − iωn

EαtT0

1 − νz∇2φn (34)

where φn(x, y) and θn(x, y, z) are the nth complex mode shapes of the plate and the associated tempera-ture variation, respectively, and ωn is the nth complex eigenvalue. The temperature boundary conditionsare assumed to be zero-heat flux from the plate to the ambient environment; that is,

∂θn

∂ z= 0 at z = 1

2h and −1

2h (35)

The solution of Equations (34) and (35) can be expressed as

θn = EαtT0

(1 − ν)ρCp∇2φn

(z − sin(Kp z)

Kp cos(

12 Kph

))

(36)

where

Kp = (1 − i)

√ωnρCp

2k

Substituting Equation (36) into Equation (33), carrying out the integrations, and retaining the linearterms, we obtain

DT ∇4φn − N 1∂2φn

∂ x2− εV 2

p

d3φn = ω2

nφn (37)

where DT = D + Dt and Dt is given by

Dt = E2α2t T0

(1 − ν)2ρCp

(h3

12+ h

K 2p

− 2 tan(Kph/2)

K 3p

)(38)

Equations (37) and (38) can be used, along with any appropriate set of structural boundary conditions, tosimulate the behavior of an electrostatically actuated microplate accounting for thermoelastic damping.

Page 19: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 229

Next, we derive an analytical expression for the quality factors of microplates due to thermoelasticdamping. Because Dt � D, we apply the method of strained parameters [69, 70] to Equation (37). Theanalysis is applicable to any boundary conditions. To this end, we seek a first-order solution to Equation(37) and associated boundary conditions in the form

φn ≈ φn0 + ε1φn1 (39)

ωn ≈ ωn0 + ε1ωn1 (40)

DT ≈ D + ε1 Dt (41)

where ε1 is a small nondimensional bookkeeping parameter. Substituting Equations (39)–(41) intoEquation (37) and using the method of strained parameters, we obtain

ωn1 = Dt

2ωn0 D

[

N 1

∫ 1

0

∫ b/�

0φn0

∂2φn0

∂ x2dxd y + εV 2

p

d3+ ω2

n0

]

(42)

where φn0 is normalized such that∫ 1

0

∫ b/�

0 φ2n0

dxdy = 1. This result is applicable to plates with generalshapes and boundary conditions and low levels of DC voltages.

For the special case of N 1 = 0 and Vp = 0, the analytical expression for the quality factor reducesto the following simple expression:

Q = h3ρCp(1 − ν)

12(1 + ν)Eα2t T0Imag

(h3

12 + hK 2

p− 2 tan(Kph/2)

K 3p

) (43)

where Imag denotes the imaginary part.Nayfeh and Younis [63] set ν = 0 in Equation (43), calculated Q for a microbeam (Figure 1) with

� = 10b and b = 10h for various T0, and obtained results in full agreement with those obtained usingthe model of Lifshitz and Roukes [62] as shown in Figure 9. They also calculated the variation of Q fora fully clamped plate oscillating in the first mode at T0 = 300 K with the plate thickness h as shown inFigure 10. The plate specifications are � = 200 µm, b = 100 µm, and ν = 0.25.

5.2. SQUEEZE-FILM DAMPING

To determine an approximation to squeeze-film damping, Nayfeh and Younis [64] neglected the effectof thermoelastic damping in Equations (30)–(32). Because the analysis depends on the structural andacoustical boundary conditions, we confine the analysis to the case of Figure 8 and then give an overviewof the procedure for other cases. The pressure boundary conditions for this case are zero flux at theclamped edges of the plate and trivial pressure at the open edges. Following Nayfeh and Younis [64],we introduce the nondimensional variables

x = x

�, y = y

�, t = t

T, w = w

d, P =

ˆP

Pa(44)

Page 20: Reduced-Order Models for MEMS Applications

230 A. H. Nayfeh et al.

Figure 9. Comparison of the quality factors calculated using the model of Nayfeh and Younis [63] (solid line) to that calculatedusing the model of Lifshitz and Roukes [62] (stars).

Figure 10. Variation of Q of the first mode of a fully clamped plate with h [63].

where T =√

ρh�4

D . Substituting Equations (44) into Equations (30) and (32), we obtain the followingsystem of equations:

∂4w

∂x4+ 2

∂4w

∂x2∂y2+ ∂4w

∂y4− N

∂2w

∂x2+ ∂2w

∂t2= −Pnon P + αV 2

p w + αVpve (45)

∂2 P

∂x2+ ∂2 P

∂y2= σ

(∂ P

∂t− ∂w

∂t

)(46)

Page 21: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 231

where the nondimensional parameters appearing in Equations (45) and (46) are

N1 = N 1�2

D, Pnon = Pa�

4

d D, σ = 12ηeff�

2

d2 PaT, α = ε�4

d3 D(47)

The nondimensional boundary conditions for the case of Figure 8 are

At y = 0 and y = b/�

∂2w

∂y2+ ν

∂2w

∂x2= 0 (48)

∂3w

∂x3+ (2 − ν)

∂3w

∂x2∂y= 0 (49)

P = 0 (50)

At x = 0 and x = 1w = 0 (51)

∂w

∂x= 0 (52)

∂ P

∂x= 0 (53)

The linear damped eigenvalue problem is governed by [64]

∂4φn

∂x4+ 2

∂4φn

∂x2∂y2+ ∂4φn

∂y4− N

∂2φn

∂x2+ Pnonψn − αV 2

p φn = ω2nφn (54)

∂2ψn

∂x2+ ∂2ψn

∂y2= iωnσ (ψn − φn) (55)

At y = 0 and y = b/�

∂2φn

∂y2+ ν

∂2φn

∂x2= 0 (56)

∂3φn

∂x3+ (2 − ν)

∂3φn

∂x2∂y= 0 (57)

ψn = 0 (58)

At x = 0 and x = 1

φn = 0 (59)∂φn

∂x= 0 (60)

∂ψn

∂x= 0 (61)

where φn(x, y) and ψn(x, y) are the nth complex mode shapes of the plate and pressure, respectively,and ωn is the nth complex nondimensional eigenvalue. Equations (54)–(61) can be solved numericallyfor the complex eigenvalues and mode shapes.

Page 22: Reduced-Order Models for MEMS Applications

232 A. H. Nayfeh et al.

Because typically |ωn| σ � 1, the boundary-value problem represented by Equations (55), (58),and (61) is a singular-perturbation problem [70]. Such a case occurs when the gradient of a dependentvariable undergoes rapid changes over a very narrow region. In this problem, the pressure changessharply near the free edges. Applying the method of matched asymptotic expansion to Equations (55),(58), and (61) yields [64]

ψn(x, y) = φn(x, y) − φn(x, b/�)e− 1+i√2

b/�−y√ε2 − φn(x, 0)e− 1+i√

2y√ε2 + · · · (62)

where ε2 = 1σ |ωn0 | . Equation (62) gives an approximate analytical expression for the nth complex

pressure mode shape in terms of the nth complex plate mode shape and eigenvalue.We substitute Equation (62) into Equation (54) and obtain an equation, which along with the boundary

condition (57), (58), (60), and (61), represent a linear distributed-parameter system for the dynamicbehavior of the microplate under the coupled effect of squeeze-film damping, structural forces, andlinear electrostatic forces. This system is solved for the nth complex mode shape and eigenvalue. Thereal part of the complex eigenvalue yields the frequency of the microplate, whereas the ratio betweenits real part and twice its imaginary part yields the quality factor.

Nayfeh and Younis [64] calculated the quality factors of an electrically actuated microplate of length310 µm [71], employed as a transducer in a resonant sensor, under various gas pressures. Figure 11shows a comparison of the calculated quality factor Q (stars) to the experimental data (triangles) ofLegtenberg and Tilmans [71]. The agreement is excellent, thereby validating the model.

The perturbation approach depends primarily on the fact that (ε2 = 1σ |ωn0 | � 1). Fully clamped

plates also have very high natural frequencies. The pressure boundary conditions for a fully clampedplate demand zero flux at all edges; hence there are no boundary layers to first order in this case becausethe pressure boundary conditions are similar to the structural boundary conditions. For this case, thepressure distribution is the same as the plate mode shape (the last two terms in Equation (62) are zero).Hence, to the first approximation, the pressure has a pure spring-force effect. To derive an expression for

Figure 11. Comparison of the calculated quality factors (stars) to the experimental data (triangles) of Legtenberg and Tilmans[71].

Page 23: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 233

the quality factor, Nayfeh and Younis [64] applied the method of strained parameters [70] and soughta first-order solution to Equations (54) and (55) and their boundary conditions [64] in the form

φn ≈ φn0 + ε2φn1 (63)

ψn ≈ ψn0 + ε2ψn1 (64)

ωn ≈ ωn0 + ε2ωn1 (65)

Their final result gives the following imaginary part of the complex natural frequency:

ωn1 =−i Pnon

∫ 10

∫ b/�

0 φn0

(∂2φn0∂x2 + ∂2φn0

∂y2

)dxdy

2ωn0

∫ 10

∫ b/�

0 φ2n0

dxdy(66)

which yields the damping of the system.For the case of a clamped annular microplate of outer radius R1 and inner radius R2 exhibiting

axisymmetric bending, following a procedure similar to that used for fully clamped rectangular plates,Nayfeh and Younis [64] obtained the damping coefficient

ωn1 =−i Pnon

∫ R1

R2/R1φn0

[∂∂r

(r

∂φn0∂r

)]dr

2ωn0

∫ R1

R2/R1rφ2

n0dr

(67)

The pressure distribution is also the same as the structural mode shape. For clamped circular plates, R2

is set equal to zero in Equation (67). Also, in calculating ωn0 and φn0 , the boundary conditions at R2 arereplaced with conditions that require finite values of w and P at r = 0.

Equations (66) and (67) can be used in reduced-order models of microplates to account for squeeze-film damping (without the need to include the Reynolds equation or the Navier–Stokes equations in thesimulation).

6. Summary and Conclusions

We presented a review of ROMs for MEMS devices. We classified the ROMs into node and domainmethods. We concluded that node methods perform poorly in predicting transients, large motions, andnonlinear behavior compared to domain methods. We distinguished between two categories of domainmethods. The first category requires the use of the time series resulting from a finite-element or finite-difference simulation of the full nonlinear model or experiments to extract a basis set to be used inthe Galerkin procedure. We concluded that such methods are limited to operation conditions near thatused to generate the time series. More importantly, these models cannot predict any qualitative devicebehavior, which is not present in the time series. The second category employs the mode shapes of thedevice. This approach can capture qualitative and quantitative changes in the device behavior and hasbetter performance in the presence of nonlinearities provided that enough modes are retained in theapproximation.

We used the second category and developed ROMs for microbeams and rectangular and circularmicroplates and validated them with experimental and full simulation results. We presented ROMsof squeeze-film damping and thermoelastic damping in MEMS and validated them with available

Page 24: Reduced-Order Models for MEMS Applications

234 A. H. Nayfeh et al.

theoretical and experimental results. We conclude that these ROMs need to be extended to a broaderclass of devices, employing structural components of different geometries and boundary conditions.

References

1. Zavracky, P. M., Majumder, S., and McGruer, N. E., ‘Micromechanical switches fabricated using nickel surface microma-chining’, Journal of Microelectromechanical Systems 6, 1997, 3–9.

2. Choi, B. and Lovell, E. G., ‘Improved analysis of microbeams under mechanical and electrostatic loads’, Journal of Micro-electromechanical Systems 7, 1997, 24–29.

3. Guyan, R. J., ‘Reduction of stiffness and mass matrices’, AIAA Journal 3, 1965, 380.4. Bechtold, T., Rudnyi, E. B., and Korvink, J. G., ‘Automatic order reduction of thermo-electric models for MEMS: Arnoldi

versus Guyan’, in Proceedings of the Fourth International Conference on Advanced Semiconductor Devices and Microsys-tems, Smolenice, Slovakia, 2002, pp. 333–336.

5. Ostergaard, D. F. and Gyimesi, M., ‘Finite element based reduced order modeling of Micro Electro Mechanical Systems(MEMS)’, in Proceedings of the International Conference on Modeling and Simulation of Microsystems, San Diego, CA,2000, pp. 684–687.

6. Bechtold, T., Rudnyi, E. B., and Korvink, J. G., ‘Automatic order reduction of thermo-electric model for micro-ignition unit’,in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices, Kobe, Japan, 2002,pp. 131–134.

7. Wang, F. and White, J., ‘Automatic model order reduction of a microdevice using the Arnoldi approach’, in Proceedings ofthe International Mechanical Engineering Congress and Exposition, Anaheim, CA, 1998, pp. 527–530.

8. Hung, E. S., Yang, Y.-J., and Senturia, S. D., ‘Low-order models for fast dynamical simulation of MEMS microstructures’,in Proceedings of the International Conference on Solid-State Sensors and Actuators: Transducers 1997, Vol. 2, Chicago,IL, 1997, pp. 1101–1104.

9. Bai, Z., Bindel, D., Clark, J. V., Demmel, J., Pister, K. S. J., and Zhou, N., ‘New numerical techniques and tools in Sugarfor 3D MEMS simulation’, in Proceedings of the International Conference on Modeling and Simulation of Microsystems,Hilton Head Island, SC, 2001, pp. 31–34.

10. Clark, J. V., Bindel, D., Zhou, N., Bhave, S., Bai, Z., Demmel, J., and Pister, K. S. J., ‘Sugar: Advancements in a 3Dmulti-domain simulation package for MEMS’, in Proceedings of the Microscale Systems: Mechanics and MeasurementsSymposium, Portland, OR, 2001.

11. Clark, J. V., Bindel, D., Kao, W., Zhu, E., Kuo, A., Zhou, N., Nie, J., Demmel, J., Bai, Z., Govindjee, S., Pister, K. S. J., Gu,M., and Agogino, A., ‘Addressing the needs of complex MEMS design’, in Proceedings of the International Conference onMicro Electro Mechanical Systems, Las Vegas, NV, 2002, pp. 204–209.

12. Srinivasan, V., Jog, A., and Fair, R. B., ‘Scalable macromodels for microelectromechanical systems’, in Proceedings of theInternational Conference on Modeling and Simulation of Microsystems, Hilton Head Island, SC, 2001, pp. 72–75.

13. Chen, Y. and White, J., ‘A quadratic method for nonlinear model order reduction’, in Proceedings of the InternationalConference on Modeling and Simulation of Microsystems, San Diego, CA, 2000, pp. 477–480.

14. Ramaswamy, D. and White, J., ‘Automatic generation of small-signal dynamic macromodels from 3-D simulation’, inProceedings of the International Conference on Modeling and Simulation of Microsystems, Hilton Head Island, SC, 2001,pp. 27–30.

15. Chen, J. and Kang, S.-M., ‘Techniques for coupled circuit and micromechanical simulation’, in Proceedings of the Interna-tional Conference on Modeling and Simulation of Microsystems, San Diego, CA, 2000, pp. 213–216.

16. Chen, J. and Kang, S.-M., ‘An algorithm for automatic model-order reduction of nonlinear MEMS devices’, in Proceedingsof the International Symposium on Circuits and Systems, Vol. 2, Geneva, Switzerland, 2000, pp. 445–448.

17. Chen, J. and Kang, S.-M., ‘Model-order reduction of weakly nonlinear MEMS devices with Taylor series expansion andArnoldi process’, in Proceedings of the IEEE Midwest Symposium on Circuits and Systems, Lansing, MI, 2000, pp. 248–251.

18. Chen, J. and Kang, S.-M., ‘Dynamic macromodeling of MEMS mirror devices’, in Technical Digest of the InternationalElectron Devices Meeting, Washington, DC, 2001, pp. 925–928.

19. Rewienski, M. and White, J., ‘A trajectory piecewise-linear approach to model order reduction and fast simulation of nonlinearcircuits and micromachined devices’, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design,Vol. 1, San Jose, CA, 2001, pp. 252–257.

20. Rewienski, M. and White, J., ‘A trajectory piecewise-linear approach to model order reduction and fast simulation of nonlinearcircuits and micromachined devices’, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22,2003, 155–170.

21. Rewienski, M. and White, J., ‘Improving trajectory piecewise-linear approach to nonlinear model order reduction for micro-machined devices using an aggregated projection basis’, in Proceedings of the International Conference on Modeling andSimulation of Microsystems, Vol. 1, San Juan, PR, 2002, pp. 128–131.

Page 25: Reduced-Order Models for MEMS Applications

Reduced-Order Models for MEMS Applications 235

22. Vasilyev, D., Rewienski, M., and White, J., ‘A TBR-based trajectory piecewise-linear algorithm for generating accurate low-order models for nonlinear analog circuits and MEMS’, in Proceedings of the Conference on Design Automation, Anaheim,CA, 2003, pp. 490–495.

23. Liang, Y. C., Lin, W. Z., Lee, H. P., Lim, S. P., Lee, K. H., and Sun, H., ‘Proper orthogonal decomposition and its applications.Part II. Model reduction for MEMS dynamical analysis’, Journal of Sound and Vibration 256(3), 2002, 515–532.

24. Hung, E. S. and Senturia, S. D., ‘Generating efficient dynamical models for microelectromechanical systems from a fewfinite-element simulations runs’, Journal of Microelectromechanical Systems 8, 1999, 280–289.

25. Chen, J. and Kang, S.-M., ‘Model-order reduction of nonlinear MEMS devices through arclength-based Karhunen–Loevedecomposition’, in Proceedings of the International Symposium on Circuits and Systems, Vol. 3, Sydney, Australia, 2001,pp. 457–460.

26. Liang, Y. C., Lin, W. Z., Lee, H. P., Lim, S. P., Lee, K. H., and Feng, D. P., ‘A neural-network-based method of modelreduction for the dynamic simulation of MEMS’, Journal of Micromechanics and Microengineering 11, 2001, 226–233.

27. Lin, W. Z., Lee, K. H., Lim, S. P., and Liang, Y. C., ‘Proper orthogonal decomposition and component mode synthesis inmacromodel generation for the dynamic simulation of a complex MEMS device’, Journal of Micromechanics and Micro-engineering 13, 2003, 646–654.

28. Qiao, R. and Aluru, N. R., ‘Mixed-domain and reduced-order modeling of electroosmotic transport in Bio-MEMS’, inProceedings of the International Workshop on Behavioral Modeling and Simulation, Orlando, FL, 2000, pp. 51–56.

29. De, S. K. and Aluru, N. R., ‘Physical and reduced-order dynamic analysis of MEMS’, in Proceedings of the InternationalConference on Computer Aided Design, San Jose, CA, 2003, pp. 270–273.

30. Anathasuresh, G. K., Gupta, R. K., and Senturia, S. D., ‘An approach to macromodelling of MEMS for nonlinear dynamicsimulation’, in Proceedings of the Symposium on Mechanics in Microelectromechanical Systems (MEMS), ASME-DSC,Atlanta, GA, 1996, pp. 401–407.

31. Grtetillat, M. A., Yang, Y. J., Hung, E. S., Rabinovich, V., Ananthasuesh, G. K., Rooij, N. F., and Senturia, S. D., ‘Nonlinearelectromechanical behavior of an electrostatic microrelay’, in Proceedings of the Iternational Conference on Solid StateSensors and Actuators: Transducers 1997, Vol. 2, Chicago, IL, 1997, pp. 1141–1144.

32. Gabbay, L. D., Mehner, J. E., and Senturia, S. D., ‘Computer-aided generation of nonlinear reduced-order dynamic macro-models. Part I. Non-stress-stiffened case’, Journal of Microelectromechanical Systems 9, 2000, 262–269.

33. Varghese, M., Rabinovich, V. L., and Senturia, S. D., ‘Reduced-order modeling of Lorentz force actuation with modal basisfunctions’, in Proceedings of the International Conference on Modeling and Simulation of Microsystems, San Juan, PR,1999, pp. 155–158.

34. Mehner, J. E., Gabbay, L. D., and Senturia, S. D., ‘Computer-aided generation of nonlinear reduced-order dynamic macro-models. Part II. Stress-stiffened case’, Journal of Microelectromechanical Systems 9, 2000, 270–278.

35. Bennini, F., Mehner, J., and Dotzel, W., ‘Computational methods for reduced order modeling of coupled domain simulations’,in Proceedings of the International Conference on Solid-State Sensors and Actuators: Transducers 2001, Munich, Germany,2001, pp. 260–263.

36. Mehner, J. E., Doetzel, W., Schauwecker, B., and Ostergaard, D., ‘Reduced order modeling of fluid structural interactionsin MEMS based on modal projection techniques’, in Proceedings of the International Conference on Solid-State Sensors,Actuators and Microsystems: Transducers 2003, Vol. 2, Boston, MA, 2003, pp. 1840–1843.

37. Westby, E. R. and Fjeldly, T. A., ‘Nonlinear analytical reduced-order models for MEMS’, in Proceedings of the InternationalConference on Modeling and Simulation of Microsystems, Vol. 1, San Juan, PR, 2002, pp. 150–153.

38. Xie, W. C., Lee, H. P., and Lim, S. P., ‘Nonlinear dynamic analysis of MEMS switches by nonlinear modal analysis’,Nonlinear Dynamics 31, 2003, 243–256.

39. Abdel-Rahman, E. M., Younis, M. I., and Nayfeh, A. H., ‘Characterization of the mechanical behavior of an electrostaticallyactuated microbeam’, Journal of Micromechanics and Microengineering 12, 2002, 759–766.

40. Younis, M. I., Abdel-Rahman, E. M., and Nayfeh, A. H., ‘Static and dynamic behavior of an electrically excited resonantmicrobeam,’ in Proceedings of the AIAA Structures, Structural Dynamics, and Materials Conference, AIAA Paper 2002-1305,Denver, CO, 2002.

41. Abdel-Rahman, E. M., Younis, M. I., and Nayfeh, A. H., ‘A nonlinear reduced-order model for electrostatic MEMS,’ inProceedings of the Biennial ASME Conference on Mechanical Vibration and Noise, DETC2003/VIB-48517, Chicago, IL,2003.

42. Younis, M. I., Abdel-Rahman, E. M., and Nayfeh, A. H., ‘A reduced-order model for electrically actuated microbeam-basedMEMS,’ Journal of Microelectromechanical Systems 12, 2003, 672–680.

43. Tilmans, H. A. and Legtenberg, R., ‘Electrostatically driven vacuum-encapsulated polysilicon resonators. Part II. Theory andperformance’, Sensors and Actuators A 45, 1994, 67–84.

44. Nayfeh, A. H. and Mook, D. T., Nonlinear Oscillations, Wiley, New York, 1979.45. Nayfeh, A. H., Nonlinear Interactions, Wiley, New York, 2000.46. Zhao, X., Abdel-Rahman, E. M., and Nayfeh, A. H., ‘Mechanical behavior of an electrically actuated microplate’, in

Proceedings of the Biennial ASME Conference on Mechanical Vibration and Noise, DETC2003/VIB-48531, Chicago, IL,2003.

Page 26: Reduced-Order Models for MEMS Applications

236 A. H. Nayfeh et al.

47. Francais, O. and Dufour, I., ‘Normalized abacus for the global behavior of diaphragms: Pneumatic, electrostatic, piezoelectricor electromagnetic actuation’, Journal of Modeling and Simulation of Microsystems 2, 1999, 149–160.

48. Vogl, G. W. and Nayfeh, A. H., ‘A reduced-order model for electrically actuated clamped circular plates’, in Proceedings ofthe Biennial ASME Conference on Mechanical Vibration and Noise, DETC2003/VIB-48530, Chicago, IL, 2003.

49. Osterberg, P. M., ‘Electrostatically Actuated Microelectromechanical Test Structures for Material Property Measurement’,PhD dissertation, Massachusetts Institute Technology, Cambridge, MA, 1995.

50. Tilmans, H. A., Elwespoek, M., and Fluitman, J. H., ‘Micro resonant force gauges’, Sensors and Actuators A 30, 1992,35–53.

51. Starr, J. B., ‘Squeeze-film damping in solid-state accelerometers’, in Proceedings of the IEEE Solid-State Sensor and ActuatorWorkshop, Hilton Head Island, SC, 1990, pp. 44–47.

52. Blech, J. J., ‘On isothermal squeeze films’, Journal of Lubrication Technology A 105, 1983, 615–620.53. Darling, R. B., Hivick, C., and Xu, J., ‘Compact analytical modeling of squeeze film damping with arbitrary venting conditions

using a Green’s function approach’, Sensors and Actuators A 70, 1998, 32–41.54. Gupta, M. C., Statistical Thermodynamics, Wiley, New Delhi, 1990.55. Kadar, Z., Kindt, W., Bossche, A., and Mollinger, J., ‘Quality factor of torsional resonators in the low-pressure region’,

Sensors and Actuators A 53, 1996, 299–303.56. Li, B., Wu, H., Zhu, C., and Liu, J., ‘The theoretical analysis on damping characteristics of resonant microbeam in vacuum’,

Sensors and Actuators A 77, 1999, 191–194.57. Christian, R. G., ‘The theory of oscillating-vane vacuum gauges’, Vacuum 16, 1966, 175–178.58. Zook, J. D., Burns, D. W., Guckel, H., Sniegowski, J. J., Engelstad, R. L., and Feng, Z., ‘Characteristics of polysilicon

resonant microbeams’, Sensors and Actuators A 35, 1992, 290–294.59. Bao, M., Yang, H., Yin, H., and Sun, Y., ‘Energy transfer model for squeeze-film air damping in low vacuum’, Journal of

Micromechanics and Microengineering 12, 2002, 341–346.60. Roszhart, T. V., ‘The effect of thermoelastic internal friction on the Q of micromachined silicon resonators’, in Technical

Digest of Solid-State Sensors and Actuators Workshop, Hilton Head Island, SC, 1990, pp. 13–16.61. Zener, C., ‘Internal friction in solids. Part I. Theory of internal friction in reeds’, Physical Review 52, 1937, 230–235.62. Lifshitz, R. and Roukes, M. L., ‘Thermoelastic damping in micro- and nanomechanical systems’, Physical Review B 61,

2000, 5600–5609.63. Nayfeh, A. H. and Younis, M. I., ‘Modeling and simulations of thermoelastic damping in microplates’, Journal of Microme-

chanics and Microengineering 14, 2004, 1711–1717.64. Nayfeh, A. H. and Younis, M. I., ‘A new approach to the modeling and simulation of flexible microstructures under the effect

of squeeze-film damping’, Journal of Micromechanics and Microengineering 14, 2004, 170–181.65. Boley, B. A. and Weiner, J. H., Theory of Thermal Stresses, Wiley, New York, 1960.66. Leissa, A. W., Vibration of Plates, NASA, Washington, DC, 1969.67. Nayfeh, A. H. and Pai, F. P., Linear and Nonlinear Structural Mechanics, Wiley, New York, 2004.68. Veijola, T., Kuisma, H., Lahdenpera, J., and Ryhanen, T., ‘Equivalent-circuit model of the squeezed gas film in a silicon

accelerometer’, Sensors and Actuators A 48, 1995, 239–248.69. Nayfeh, A. H., Perturbation Methods, Wiley, New York, 1973.70. Nayfeh, A. H., Introduction to Perturbation Techniques, Wiley, New York, 1981.71. Legtenberg, R. and Tilmans, H. A., ‘Electrostatically driven vacuum-encapsulated polysilicon resonators. Part I. Design and

fabrication’, Sensors and Actuators A 45, 1994, 57–66.


Recommended