+ All Categories
Home > Documents > Research Article Improved Quantization Error Compensation...

Research Article Improved Quantization Error Compensation...

Date post: 16-Jul-2020
Category:
Upload: others
View: 9 times
Download: 0 times
Share this document with a friend
10
Research Article Improved Quantization Error Compensation Method for Fixed-Width Booth Multipliers Xiaolong Ma, Jiangtao Xu, and Guican Chen School of Electronics and Information Engineering, Xi’an Jiaotong University, Xi’an 710049, China Correspondence should be addressed to Jiangtao Xu; [email protected] Received 13 September 2013; Accepted 22 December 2013; Published 6 February 2014 Academic Editor: M. Renovell Copyright © 2014 Xiaolong Ma et al. is is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited. A novel quantization error (QE) compensation method is proposed in design of high accuracy fixed-width radix-4 Booth multipliers, which will effectively reduce the QE and save the area of multipliers when they are employed in cognitive radio (CR) detector and digital signal processor (DSP). e truncated partial-products of the proposed multipliers are finely divided into three sections: reserved section, adaptive compensation section, and constant compensation section. e QE compensation carries of the multipliers are generated by applying probability estimation based on a shrunken minor truncated section which is a combination of the constant compensation and adaptive compensation. e proposed compensation method not only reduces the QE of the fixed- width Booth multipliers, but also avoids the exhaustive computing resources (time and memory) during getting the compensation carries by statistical simulation. e proposed method can achieve higher accuracy than the existing works under the same area and power budgets. Simulation and experiment results show that the improved compensation method has the minimum power-delay products compared with the existing methods under the same area and can save up to 30% area for realization of full-width radix-4 Booth multipliers. 1. Introduction e fixed-width multipliers have been widely used in the design of digital signal processor (DSP) due to their smaller area and lower power dissipation [13]. In order to reduce the chip area of channel detector for cognitive radio, many fixed-width Booth multipliers have been used. However, they reduce the detection accuracy because of truncated partial-products. erefore, a quantization error compensa- tion (QEC) technique is required in the design of fixed-width Booth multipliers. e traditional methods of QEC for fixed-width multipli- ers can be divided into three categories. e first category is constant compensation [4]. In this method, the value of QEC is a constant, and it has the advantage of simplicity, but leads to large quantization error (QE). e second category is adap- tive QEC [5]. is method can reduce the truncated error by using variable compensation value. e third category is hybrid error compensation, which uses both constant and adaptive QEC techniques together to reduce the truncated error. Compared with the first two categories, this category is more accurate [6]. In [7, 8], two new QEC methods have been presented, respectively. However, these two methods are usually used in the design of Baugh-Wooley multiplier and are not applicable for the design of Booth multipliers. Another QEC method using binary threshold algorithm has been presented in [9], but its accuracy is lower compared with the method presented in [1]. In [2], the statistical analysis and linear regression analysis are adopted to generate QEC value, and the adaptive QEC method is introduced into the design of fixed-width radix-4 Booth multipliers for the first time. e adaptive QEC method has higher accuracy compared with [1] but is very complex. In order to overcome the disadvantages of [2], [10] has presented a method of dividing the truncated partial-products into the major truncated section and the minor truncated section. e major truncated section is adjacent to the reserved section, while the QEC of the minor truncated section is realized by adaptive method. In [11], a new QEC method based on [10] is proposed. Its truncation error is more symmetric, but its quantization accuracy is not improved distinctly. Refrence [12] has proposed an adaptive QEC method with conditional-probability estimator instead Hindawi Publishing Corporation VLSI Design Volume 2014, Article ID 451310, 9 pages http://dx.doi.org/10.1155/2014/451310
Transcript
Page 1: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

Research ArticleImproved Quantization Error Compensation Method forFixed-Width Booth Multipliers

Xiaolong Ma Jiangtao Xu and Guican Chen

School of Electronics and Information Engineering Xirsquoan Jiaotong University Xirsquoan 710049 China

Correspondence should be addressed to Jiangtao Xu jtxumailxjtueducn

Received 13 September 2013 Accepted 22 December 2013 Published 6 February 2014

Academic Editor M Renovell

Copyright copy 2014 Xiaolong Ma et al This is an open access article distributed under the Creative Commons Attribution Licensewhich permits unrestricted use distribution and reproduction in any medium provided the original work is properly cited

A novel quantization error (QE) compensation method is proposed in design of high accuracy fixed-width radix-4 Boothmultipliers which will effectively reduce the QE and save the area of multipliers when they are employed in cognitive radio (CR)detector and digital signal processor (DSP)The truncated partial-products of the proposedmultipliers are finely divided into threesections reserved section adaptive compensation section and constant compensation sectionTheQE compensation carries of themultipliers are generated by applying probability estimation based on a shrunkenminor truncated sectionwhich is a combination ofthe constant compensation and adaptive compensationThe proposed compensation method not only reduces the QE of the fixed-width Booth multipliers but also avoids the exhaustive computing resources (time and memory) during getting the compensationcarries by statistical simulationThe proposedmethod can achieve higher accuracy than the existing works under the same area andpower budgets Simulation and experiment results show that the improved compensation method has the minimum power-delayproducts compared with the existing methods under the same area and can save up to 30 area for realization of full-width radix-4Booth multipliers

1 Introduction

The fixed-width multipliers have been widely used in thedesign of digital signal processor (DSP) due to their smallerarea and lower power dissipation [1ndash3] In order to reducethe chip area of channel detector for cognitive radio manyfixed-width Booth multipliers have been used Howeverthey reduce the detection accuracy because of truncatedpartial-products Therefore a quantization error compensa-tion (QEC) technique is required in the design of fixed-widthBooth multipliers

The traditional methods of QEC for fixed-widthmultipli-ers can be divided into three categories The first category isconstant compensation [4] In this method the value of QECis a constant and it has the advantage of simplicity but leadsto large quantization error (QE)The second category is adap-tive QEC [5] This method can reduce the truncated errorby using variable compensation value The third category ishybrid error compensation which uses both constant andadaptive QEC techniques together to reduce the truncatederror Compared with the first two categories this category

is more accurate [6] In [7 8] two new QEC methods havebeen presented respectively However these two methodsare usually used in the design of Baugh-Wooley multiplierand are not applicable for the design of Booth multipliersAnother QEC method using binary threshold algorithm hasbeen presented in [9] but its accuracy is lower comparedwiththemethod presented in [1] In [2] the statistical analysis andlinear regression analysis are adopted to generate QEC valueand the adaptiveQECmethod is introduced into the design offixed-width radix-4 Booth multipliers for the first time TheadaptiveQECmethod has higher accuracy comparedwith [1]but is very complex In order to overcome the disadvantagesof [2] [10] has presented a method of dividing the truncatedpartial-products into the major truncated section and theminor truncated section The major truncated section isadjacent to the reserved section while the QEC of the minortruncated section is realized by adaptive method In [11] anew QEC method based on [10] is proposed Its truncationerror is more symmetric but its quantization accuracy is notimproved distinctly Refrence [12] has proposed an adaptiveQEC method with conditional-probability estimator instead

Hindawi Publishing CorporationVLSI DesignVolume 2014 Article ID 451310 9 pageshttpdxdoiorg1011552014451310

2 VLSI Design

of the time-consuming exhaustive simulation of the previousworks especially for large bit-width Booth multipliers Oneclosed form formula was derived in [13] with the traditionalmethod of probabilistic estimation to estimate the QECHowever the closed form formula is only an approximationof the mean of the minor truncated section which decreasedthe compensation accuracy

The compensation carries of the minor truncated sectionin [10ndash13] are generated by exploiting adaptive QECmethodsbased on all the truncated partial-products In [10 11] thecompensation carries are generated by all the truncatedpartial-products and hence the simulation statistics willconsume large amount of computer resources (time andmemory requirements) The demanded resources are almostan exponential function of the bit-width Therefore for themultipliers with wider bits an ordinary computer cannotcompete for the simulations Although the resources aredecreased in [12 13] their QEC accuracy cannot be effectivelyimproved

Based on the trade-off between the accuracy and com-puter resources the minor truncated section is divided intotwo parts in this paper the lower partial-products and theupper partial-products In fact the compensation carriesare less affected by the lower partial-products of the minortruncated sectionTherefore we propose that a compensationconstant of the lower partial-products can be generatedby statistical analysis and then the compensation constantis incorporated into the upper partial-products to form ashrunken minor truncated section Finally the quantitativecompensation carries are created by applying probabilityestimation based on the shrunken minor truncated sectionhereafter this multiplier is called shrunken partial-productscompensation (SPPC) Booth multiplier The proposed QECmethod not only reduces the QE of the multipliers butalso avoids the exhaustive simulation resource requirementsSimulations and experiments show that comparing withthe previous works [9ndash13] the proposed QEC method caneffectively improve the QE and performances of the fixed-width Booth multipliers In order to verify the proposedQEC method we have also designed different width SPPCmultiplier circuits and have compared them with other samewidth multipliers based on TSMC 018 120583m process Theexperiments show that the proposed SPPC Booth multipliershave smaller die area as the width of multipliers increases

The rest of the paper is organized as follows Section 2introduces the principle of the modified radix-4 Booth mul-tiplier Proposed QECmethod is discussed in Section 3 alongwith circuit realization Simulation results comparisonsand an application experiment are presented in Section 4Section 5 concludes the paper

2 Modified Radix-4 Booth Multiplier

The modified radix-4 Booth recoding method was proposedin [14] which is a common method used in Booth multiplierdesigns

Table 1 The modified Booth recoding

1199102119894+1

11991021198941199102119894minus1

1199101015840

119894119883119894sel2 119883

119894sel1 119878119894sel 119910

10158401015840

119894

000 0 0 0 0 0001 times1 0 1 0 1010 times1 0 1 0 1011 times2 1 0 0 1100 times(minus2) 1 0 1 1101 times(minus1) 0 1 1 1110 times(minus1) 0 1 1 1111 0 0 0 1 0

The N-bit multiplicand 119883 and M-bit multiplicator 119884 ofthe 2-complementsrsquo multiplier are expressed as follows

119883 = minus119909119873minus1

+

119873minus1

sum

119894=1

119909119873minus1minus119894

2minus119894 (1)

119884 = minus119910119872minus1

+

119872minus1

sum

119894=1

119910119872minus1minus119894

2minus119894 (2)

According to modified radix-4 Booth recoding from themost significant bit (MSB) every three bits form a group andadjacent groups overlap by one bit When M is odd 119910

119872=

119910119872minus1

is assumed for proper recoding for sign extension Inany case 119910

minus1= 0 119884 in (2) can be rewritten as

119884 =

1198722minus1

sum

119894=0

1199101015840

1198722minus1minus1198942minus(2119894+1)

(3)

where

1199101015840

1198722minus1minus119894= minus2119910

2119894+1+ 1199102119894+ 1199102119894minus1

(4)

The recoding radix upon (1) is listed in Table 1119883119894sel1119883119894sel2 119878

119894sel and 11991010158401015840

119894denote the binary expression

signs and nonzero states of recoding values respectivelyThe scheme of the modified radix-4 Booth recoding is shownin Figure 1

In a radix-4 Booth multiplier each partial-product (119901119894119895)

associates with two adjacent bits in 119883 The partial-productsof four possible combinations of 119909

119895and 119909

119895minus1are shown in

Table 2A 16 times 16 radix-4 Booth multiplier will be used as a

demo in the following discussion Its partial-product array isshown in Figure 2 The 119904

119894can be concluded by Table 3 the

sign extension bit ei (119894 = 0 7) can be described as thefollowing expression [15]

119890119894=

0 (119883119894sel2 119883119894sel1 119904119894) = (0 0 0)

1 (119883119894sel2 119883119894sel1 119904119894) = (0 0 1)

119904119894oplus 11990111989415

others(5)

where oplus is the exclusive-OR operator

VLSI Design 3

yMminus1 yMminus2 yMminus3 y2i+1 y2i y2iminus1 y3 y2 y1 y0

y998400i y9984001 y9984000

(0)

y998400M2minus1

middot middot middot middot middot middot

Figure 1 Scheme of modified radix-4 Booth recoding

x15y15

x14y14

x13y13

x12y12

x11y11

x10y10

x9y9

x8y8

x7y7

x6y6

x5y5

x4y4

x3y3

x2y2

x0y0

x1y1PLM

PLA

PLC

PM PL

Partial productions generation

P29P30 P16P17 P14P15P18P19 P9P10 P7P8 P6 P4P5 P3 P1 P0P2P12 P11P13P20P21P22P23P24P25P26P27P28P31

p015

p113

p211

p39

p47

p55

p63

p71

p015

p114

p212

p310

p48

p56

p64

p72

p115

p213

p311

p49

p57

p65

p73

p115

p214

p312

p410

p58

p66

p74

p215

p313

p411

p59

p67

p75

p215

p314

p412

p510

p68

p76

p315

p413

p511

p69

p77

p315

p414

p512

p610

p78

p415

p513

p611

p79

p415

p514

p612

p710

p515

p613

p711

p515

p614

p712

p615

p713

p615

p714p715p715

p014

p112

p210

p38

p46

p54

p62

p70

p013

p111

p29

p37

p45

p53

p61

p012

p110

p28

p36

p44

p52

p60

p011

p19

p27

p35

p43

p51

p010

p18

p26

p34

p42

p50

p09

p17

p25

p33

p41

p08

p16

p24

p32

p40

p07

p15

p23

p31

p06

p14

p22

p30

p05

p13

p21

p04

p12

p20

p03

p11

p02

p10

p01 p00e0

e1

e2

e3

e4

e5

e6

e7

1

1

1

1

1

1

e0e0

s7

s6

s5

s4

s3

s2

s1

s0

Figure 2 Partial-product array of radix-4 Booth multiplier (with 16 times 16 bits)

Table 2 Partial-products and multiplicands (119901119894119895119909119895119909119895minus1

)

1199102119894+1

11991021198941199102119894minus1

11990111989411989500 119901

11989411989501 119901

11989411989510 119901

11989411989511

000 0 0 0 0001 0 0 1 1010 0 0 1 1011 0 1 0 1100 1 0 1 0101 1 1 0 0110 1 1 0 0111 1 1 1 1

3 Proposed QEC Method

31 Generation of QEC Carries The partial-products ofmodified Booth multipliers can be divided into two sectionsreserved section 119875

119872and truncated section 119875

119871 as shown in

Figure 2 To improve the accuracy of fixed-width multipliersan additional column 119875

119871119872following PM is reserved and the

compensation carries are derived by the simulated statisticresults [10] In this paper we further divide the rest of 119875

119871into

119875119871119860

and 119875119871119862

and then the product of the multiplier is

119875 = Sum (119875119872) + Sum (119875

119871)

= Sum (119875119872) + Sum (119875

119871119872) + Sum (119875

119871119860) + Sum (119875

119871119862)

(6)

Table 3 Partial-products of different 1199101015840119894

1199101015840

11989411990111989416

11990111989415

11990111989414

sdot sdot sdot 1199011198942

1199011198941

1199011198940

119904119894

0 0 0 0 sdot sdot sdot 0 0 0 01 119909

1511990915

11990914

sdot sdot sdot 1199092

1199091

1199090

0minus1 119909

1511990915

11990914

sdot sdot sdot 1199092

1199091

1199090

12 119909

1511990914

11990913

sdot sdot sdot 1199091

1199090

0 0minus2 119909

1511990914

11990913

sdot sdot sdot 1199091

1199090

1 1

Assuming that a decimal point is between PM and PLwhich does not affect the results of discussion then

Sum (119875119871119872

) = 2minus1

(119901015

+ 119901113

+ 119901211

+ 11990139

+ 11990147

+ 11990155

+ 11990163

+ 11990171

)

(7)

Sum (119875119871119860

) = 2minus2

(119901014

+ 119901112

+ 119901210

+ 11990138

+ 11990146

+ 11990154

+ 11990162

+ 11990170

+ 1199047)

+ 2minus3

(119901013

+ 119901111

+ 11990129

+ 11990137

+ 11990145

+ 11990153

+ 11990161

)

+ 2minus4

(119901012

+ 119901110

+ 11990128

+ 11990136

+ 11990144

+ 11990152

+ 11990160

+ 1199046)

(8)

4 VLSI Design

Sum (119875119871119862

) = 2minus5

(119901011

+ 11990119

+ 11990127

+ 11990135

+ 11990143

+ 11990151

)

+ 2minus6

(119901010

+ 11990118

+ 11990126

+ 11990134

+ 11990142

+ 11990150

+ 1199045)

+ 2minus16

(11990100

+ 1199040)

(9)

In the proposedmethod theQEof119875119871119860

is compensated byadaptive QEC and the QE of119875

119871119862is compensated by constant

QEC As a rule of thumb 3sim5 columns of partial-products areneeded to compose119875

119871119860when thewidth ofmultipliers is 8sim32

bitsIn Table 1 we have defined

11991010158401015840

119894= 119883119894sel1 oplus 119883

119894sel2 (10)

If Booth recoding is nonzero (minus2 minus1 1 2) that is 11991010158401015840119894

= 1then the probability of 119901

119894119895= 0 equals that of 119901

119894119895= 1

Supposing that both the probabilities of119901119894119895

= 1 and 119904119894= 1

are 12 then

119864 [119901119894119895] = 119864 [119904

119894] =

1

2 (11)

where 119864[sdot] is the expected value Substituting (11) in (9) wecan obtain

119864 [Sum (119875119871119862

)] = 2minus5

(1

2+ sdot sdot sdot ) + 2

minus6(1

2+ sdot sdot sdot )

+ sdot sdot sdot + 2minus16

(1

2+

1

2) =

3

16

(12)

Thus the constant 316 acts as the QEC value of 119875119871119862

Similarly the expected value of 119904

7is 12 We replace 119904

7with

12 in (8) obtaining its equivalent decimal value of 18Based on the above proposed SPPC multiplier the 119875

119871119860

with carries in Figure 2 can be redisplayed in Figure 3 whichis denoted by 119875

1015840

119871119860

The maximum carries will be generated if all pij in PLAare 1 In Figure 3 the maximum carries need 7 bits thereforewe register the carry output states of PLA in the variable119903119894(119894 = 0 6) temporarily In the following we propose one

method that associates the nonzero recoding label 11991010158401015840119894with

the compensation carriesAccording to the number of 1 in 119910

10158401015840

119894 we divide the

shrunken partial-product section 1198751015840

119871119860in Figure 3 into

9 categories If there is only one 1 in 11991010158401015840

119894 such as

11991010158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0= 00000001 then only one row in

1198751015840

119871119860is nonzero which is the first category (cate-1) We count

the total numbers of 119903119894

= 1 in all the simulation cases Ifthere are two 1rsquos in 119910

10158401015840

119894 such as 119910

10158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0=

00000011 then there are two rows in 1198751015840

119871119860that are nonzero

As discussed above once again we count the total numbersof 119903119894= 1 in all the cases by simulation which is named the

second category (cate-2) The remaining seven categories are

1 0

00

+)

131618

P998400LA

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

s6s6

Figure 3 Rebuilt shrunken adaptive section

deduced as above Compared with [10 11] the method cangreatly reduce the simulation cost The statistical results ofdifferent categories are listed in Table 4 (note that the middlebit between 119901

70and 1199046in 1198751015840

119871119860is still regarded as a partial-

product in simulation)We then encode each category with 4-bits to associate 119910

10158401015840

119894

with compensation carries For example cate-0 is encoded1199113119911211991111199110= 0000 cate-1 is encoded 119911

3119911211991111199110= 0001 and so

onThe compensation carries 119888119894are derived by Table 4 which

comply with the following rule if the numbers of 119903119894= 1 are

larger than a half of the numbers of simulations (NoS) then119888119894= 1 otherwise 119888

119894= 0 The corresponding relations between

the code (1199113119911211991111199110) and ci are listed in Table 5

According to Table 5 the carries 1198880sim1198883are

1198880= 1199113119911211991111199110+ 119911311991121199111119911

1198881= 1199113119911211991111199110+ 11991131199112+ 119911311991111199110

1198882= 1199113119911211991111199110+ 11991131199112(1199111+ 1199110)

1198883= 1199113119911211991111199110+ 1199113119911211991111199110

(13)

32 Architecture Design of SPPC Booth Multipliers Thecircuit implementation of category encoding is shown inFigure 4 where the 2Bs-Adder and 3Bs-Adder denote thetwo-bit adder and three-bit adder respectively

In light of (13) one implementation of carry generationcircuits is shown in Figure 5

According to the above discussions a modified radix-4 Booth fixed-width multiplier with the proposed QECcircuits is shown in Figure 6 The traditional Booth recodingencoder circuits of the proposed category encoding andcarry generation compose the QEC circuits to generate theQEC carries

VLSI Design 5

Table 4 The statistic of carry output states for different categories

Category Total numbers of 119903119894= 1 NoS

1199030

1199031

1199032

1199033

1199034

1199035

1199036

cate-0 0 0 0 0 0 0 0 0cate-1 7 0 0 0 0 0 8cate-2 63 21 0 0 0 0 0 82

cate-3 511 350 35 0 0 0 0 83

cate-4 4095 3605 1225 35 0 0 0 84

cate-5 32767 31486 18844 2898 21 0 0 85

cate-6 262143 259147 205534 71022 4963 7 0 86

cate-7 2097151 2090724 1897019 1048576 200133 6428 1 87

cate-8 16777215 16764354 16144677 11782380 4146393 438834 6435 88

Table 5 Relations between category code and 119888119894

1199113119911211991111199110

1198880

1198881

1198882

1198883

0000 0 0 0 00001 1 0 0 00010 1 0 0 00011 1 1 0 00100 1 1 0 00101 1 1 1 00110 1 1 1 00111 1 1 1 11000 1 1 1 1

4 Comparisons and Discussions

41 Quantization Accuracy Simulations The comparison ofvarious errors between the proposed SPPC Booth multipliersand the ideal truncated Booth multiplier and other previousworks is listed in Table 6 These errors include the averageerror 120576mean maximum error 120576max and variance 120576var Inaccuracy simulation all the pair data samples are inputted toestimate the QE of the SPPC multiplier The 120576mean 120576max and120576var are defined as follows

120576mean = 119864 [119875 minus 119875119902]

120576max = max 10038161003816100381610038161003816119875 minus 119875119902

10038161003816100381610038161003816

120576var = 119864 [(119875 minus 119875119902)2

] minus (119864 [119875 minus 119875119902])2

(14)

where 119875 and 119875119902are the ideal product and the quantized

product of Booth multipliers respectively | sdot | and maxsdot arethe absolute and maximum operators respectively

The adaptive estimation methods in [9ndash11] have beenadopted to improve the truncation error Instead of exhaus-tive computing resource simulation methods in previousworks [9ndash11] the QE of SPPC multipliers is analyzed andderived from a simpler statistical method It is seen fromTable 6 that the proposed SPPC multipliers have almostthe best error performance compared with previous worksexcept [11] that has the highest performance of 120576mean Thereason is that it uses more information from Booth encoder

to alleviate the truncation errors [11] Nevertheless the areacost in [11] is increased from the extra information ofcompensation circuits Even though 120576max and 120576var of themultipliers in [13] are smaller than other multipliers their120576mean is larger compared with the proposed SPPC multiplierand multipliers in [10ndash12]

The distributions of QE have been calculated in differentmultipliersThe sample ratios of QE value (ie 120576) are listed inthe last three columns of Table 6 It is seen from the statisticalresults that the sample rations of |120576| lt 1 in the SPPC Boothmultipliers are higher than that in [9ndash11] by about 13 Onthe other hand this shows that the quantization accuracy ofthe proposed SPPCmultiplier is higher compared with thosefour methods

42 Performance Simulation A comparison of performancesbetween the proposed SPPC and previous works is imple-mented by using their own compensation circuitsMultiplierswith different widths are synthesized by Synopsys DesignCompiler using a standard cell library of TSMC 018120583mCMOS process Their area delay and power dissipation arelisted in Table 7

In general there exists a trade-off between the hardwareoverhead and the accuracy in these compensation circuitsThe multiplier proposed in [11] has the highest accuracy in120576mean but it has a larger area delay and power Howeverthe SPPC multiplier has the same area as the multipliers in[12 13] and lower area compared with the multipliers in [9ndash11] As a result the proposed SPPCmultipliers achieve higheraccuracy at the cost of the lower area

In order to comprehensively compare the performancesof different multipliers we consider their power-delay prod-ucts as the standard of comparisons which are listed in thelast column of the Table 7 It is shown that the power-delayproducts ofmultipliers proposed in [9ndash11] are larger than thatof other multipliers distinctly Compared with the other twomultipliers in [12 13] the proposed SPPC multipliers havebetter comprehensive performances

43 Verification in FIR Filter The QEC performance of theproposed SPPC is verified by means of a 20 taps low-passdirect form FIR filter The filter is designed to have 8MHzpass-band (with a 40MHz sampling frequency) and 70 dB

6 VLSI Design

y9984009984007 y9984009984006 y9984009984005 y9984009984004 y9984009984003 y9984009984002 y9984009984001 y9984009984000

b1 b0 a1 a0

s1 s0co2Bs-Adder

b1 b0 a1 a0

s1 s0co2Bs-Adder

b2 b1 b0 a1a2 a0

s2 s1 s0co

3Bs-Adder

z3 z2 z1 z0

Figure 4 Category encoding circuits

z2(z1 + z0)

z2 + z1z0

OAI

AOI

z3z2z1z0

c1

c2

c3

c0

Figure 5 Carry generation circuits

attenuation in the stop-band for a CR detector All the widthsof input output and coefficient of the FIR filter are 16 bitsand the internal adders of the FIR filter are 22 bits The inputfor test is a 5MHz sinusoidal signal with a sampling rate of40MHz

Four different multipliers (16 times 16 bits) including theSPPC multiplier and three other multipliers in [11ndash13] areinstantiated in the filter The error mean and error varianceof the output samples in different instantiated multipliers arelisted in Table 8 It is seen from Table 8 that the error meanof [11] is the smallest and the error mean of SPPC is better

than that of [12 13] whereas the error variance of SPPC is thesmallest These results are consistent with the QE accuracysimulation results in the previous section

In CR detectors it is very important to detect the signalrsquosspectral peak-values for determining whether the channelis idle [16] The relative errors of the average spectral peak-values (with 100 times of simulations)with respect to the idealspectral peak-values of the FIR filter outputs are listed in thelast column of Table 8 Table 8 shows that the spectral peak-values of the proposed SPPCmultiplier are closer to the idealpeak-value

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 2: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

2 VLSI Design

of the time-consuming exhaustive simulation of the previousworks especially for large bit-width Booth multipliers Oneclosed form formula was derived in [13] with the traditionalmethod of probabilistic estimation to estimate the QECHowever the closed form formula is only an approximationof the mean of the minor truncated section which decreasedthe compensation accuracy

The compensation carries of the minor truncated sectionin [10ndash13] are generated by exploiting adaptive QECmethodsbased on all the truncated partial-products In [10 11] thecompensation carries are generated by all the truncatedpartial-products and hence the simulation statistics willconsume large amount of computer resources (time andmemory requirements) The demanded resources are almostan exponential function of the bit-width Therefore for themultipliers with wider bits an ordinary computer cannotcompete for the simulations Although the resources aredecreased in [12 13] their QEC accuracy cannot be effectivelyimproved

Based on the trade-off between the accuracy and com-puter resources the minor truncated section is divided intotwo parts in this paper the lower partial-products and theupper partial-products In fact the compensation carriesare less affected by the lower partial-products of the minortruncated sectionTherefore we propose that a compensationconstant of the lower partial-products can be generatedby statistical analysis and then the compensation constantis incorporated into the upper partial-products to form ashrunken minor truncated section Finally the quantitativecompensation carries are created by applying probabilityestimation based on the shrunken minor truncated sectionhereafter this multiplier is called shrunken partial-productscompensation (SPPC) Booth multiplier The proposed QECmethod not only reduces the QE of the multipliers butalso avoids the exhaustive simulation resource requirementsSimulations and experiments show that comparing withthe previous works [9ndash13] the proposed QEC method caneffectively improve the QE and performances of the fixed-width Booth multipliers In order to verify the proposedQEC method we have also designed different width SPPCmultiplier circuits and have compared them with other samewidth multipliers based on TSMC 018 120583m process Theexperiments show that the proposed SPPC Booth multipliershave smaller die area as the width of multipliers increases

The rest of the paper is organized as follows Section 2introduces the principle of the modified radix-4 Booth mul-tiplier Proposed QECmethod is discussed in Section 3 alongwith circuit realization Simulation results comparisonsand an application experiment are presented in Section 4Section 5 concludes the paper

2 Modified Radix-4 Booth Multiplier

The modified radix-4 Booth recoding method was proposedin [14] which is a common method used in Booth multiplierdesigns

Table 1 The modified Booth recoding

1199102119894+1

11991021198941199102119894minus1

1199101015840

119894119883119894sel2 119883

119894sel1 119878119894sel 119910

10158401015840

119894

000 0 0 0 0 0001 times1 0 1 0 1010 times1 0 1 0 1011 times2 1 0 0 1100 times(minus2) 1 0 1 1101 times(minus1) 0 1 1 1110 times(minus1) 0 1 1 1111 0 0 0 1 0

The N-bit multiplicand 119883 and M-bit multiplicator 119884 ofthe 2-complementsrsquo multiplier are expressed as follows

119883 = minus119909119873minus1

+

119873minus1

sum

119894=1

119909119873minus1minus119894

2minus119894 (1)

119884 = minus119910119872minus1

+

119872minus1

sum

119894=1

119910119872minus1minus119894

2minus119894 (2)

According to modified radix-4 Booth recoding from themost significant bit (MSB) every three bits form a group andadjacent groups overlap by one bit When M is odd 119910

119872=

119910119872minus1

is assumed for proper recoding for sign extension Inany case 119910

minus1= 0 119884 in (2) can be rewritten as

119884 =

1198722minus1

sum

119894=0

1199101015840

1198722minus1minus1198942minus(2119894+1)

(3)

where

1199101015840

1198722minus1minus119894= minus2119910

2119894+1+ 1199102119894+ 1199102119894minus1

(4)

The recoding radix upon (1) is listed in Table 1119883119894sel1119883119894sel2 119878

119894sel and 11991010158401015840

119894denote the binary expression

signs and nonzero states of recoding values respectivelyThe scheme of the modified radix-4 Booth recoding is shownin Figure 1

In a radix-4 Booth multiplier each partial-product (119901119894119895)

associates with two adjacent bits in 119883 The partial-productsof four possible combinations of 119909

119895and 119909

119895minus1are shown in

Table 2A 16 times 16 radix-4 Booth multiplier will be used as a

demo in the following discussion Its partial-product array isshown in Figure 2 The 119904

119894can be concluded by Table 3 the

sign extension bit ei (119894 = 0 7) can be described as thefollowing expression [15]

119890119894=

0 (119883119894sel2 119883119894sel1 119904119894) = (0 0 0)

1 (119883119894sel2 119883119894sel1 119904119894) = (0 0 1)

119904119894oplus 11990111989415

others(5)

where oplus is the exclusive-OR operator

VLSI Design 3

yMminus1 yMminus2 yMminus3 y2i+1 y2i y2iminus1 y3 y2 y1 y0

y998400i y9984001 y9984000

(0)

y998400M2minus1

middot middot middot middot middot middot

Figure 1 Scheme of modified radix-4 Booth recoding

x15y15

x14y14

x13y13

x12y12

x11y11

x10y10

x9y9

x8y8

x7y7

x6y6

x5y5

x4y4

x3y3

x2y2

x0y0

x1y1PLM

PLA

PLC

PM PL

Partial productions generation

P29P30 P16P17 P14P15P18P19 P9P10 P7P8 P6 P4P5 P3 P1 P0P2P12 P11P13P20P21P22P23P24P25P26P27P28P31

p015

p113

p211

p39

p47

p55

p63

p71

p015

p114

p212

p310

p48

p56

p64

p72

p115

p213

p311

p49

p57

p65

p73

p115

p214

p312

p410

p58

p66

p74

p215

p313

p411

p59

p67

p75

p215

p314

p412

p510

p68

p76

p315

p413

p511

p69

p77

p315

p414

p512

p610

p78

p415

p513

p611

p79

p415

p514

p612

p710

p515

p613

p711

p515

p614

p712

p615

p713

p615

p714p715p715

p014

p112

p210

p38

p46

p54

p62

p70

p013

p111

p29

p37

p45

p53

p61

p012

p110

p28

p36

p44

p52

p60

p011

p19

p27

p35

p43

p51

p010

p18

p26

p34

p42

p50

p09

p17

p25

p33

p41

p08

p16

p24

p32

p40

p07

p15

p23

p31

p06

p14

p22

p30

p05

p13

p21

p04

p12

p20

p03

p11

p02

p10

p01 p00e0

e1

e2

e3

e4

e5

e6

e7

1

1

1

1

1

1

e0e0

s7

s6

s5

s4

s3

s2

s1

s0

Figure 2 Partial-product array of radix-4 Booth multiplier (with 16 times 16 bits)

Table 2 Partial-products and multiplicands (119901119894119895119909119895119909119895minus1

)

1199102119894+1

11991021198941199102119894minus1

11990111989411989500 119901

11989411989501 119901

11989411989510 119901

11989411989511

000 0 0 0 0001 0 0 1 1010 0 0 1 1011 0 1 0 1100 1 0 1 0101 1 1 0 0110 1 1 0 0111 1 1 1 1

3 Proposed QEC Method

31 Generation of QEC Carries The partial-products ofmodified Booth multipliers can be divided into two sectionsreserved section 119875

119872and truncated section 119875

119871 as shown in

Figure 2 To improve the accuracy of fixed-width multipliersan additional column 119875

119871119872following PM is reserved and the

compensation carries are derived by the simulated statisticresults [10] In this paper we further divide the rest of 119875

119871into

119875119871119860

and 119875119871119862

and then the product of the multiplier is

119875 = Sum (119875119872) + Sum (119875

119871)

= Sum (119875119872) + Sum (119875

119871119872) + Sum (119875

119871119860) + Sum (119875

119871119862)

(6)

Table 3 Partial-products of different 1199101015840119894

1199101015840

11989411990111989416

11990111989415

11990111989414

sdot sdot sdot 1199011198942

1199011198941

1199011198940

119904119894

0 0 0 0 sdot sdot sdot 0 0 0 01 119909

1511990915

11990914

sdot sdot sdot 1199092

1199091

1199090

0minus1 119909

1511990915

11990914

sdot sdot sdot 1199092

1199091

1199090

12 119909

1511990914

11990913

sdot sdot sdot 1199091

1199090

0 0minus2 119909

1511990914

11990913

sdot sdot sdot 1199091

1199090

1 1

Assuming that a decimal point is between PM and PLwhich does not affect the results of discussion then

Sum (119875119871119872

) = 2minus1

(119901015

+ 119901113

+ 119901211

+ 11990139

+ 11990147

+ 11990155

+ 11990163

+ 11990171

)

(7)

Sum (119875119871119860

) = 2minus2

(119901014

+ 119901112

+ 119901210

+ 11990138

+ 11990146

+ 11990154

+ 11990162

+ 11990170

+ 1199047)

+ 2minus3

(119901013

+ 119901111

+ 11990129

+ 11990137

+ 11990145

+ 11990153

+ 11990161

)

+ 2minus4

(119901012

+ 119901110

+ 11990128

+ 11990136

+ 11990144

+ 11990152

+ 11990160

+ 1199046)

(8)

4 VLSI Design

Sum (119875119871119862

) = 2minus5

(119901011

+ 11990119

+ 11990127

+ 11990135

+ 11990143

+ 11990151

)

+ 2minus6

(119901010

+ 11990118

+ 11990126

+ 11990134

+ 11990142

+ 11990150

+ 1199045)

+ 2minus16

(11990100

+ 1199040)

(9)

In the proposedmethod theQEof119875119871119860

is compensated byadaptive QEC and the QE of119875

119871119862is compensated by constant

QEC As a rule of thumb 3sim5 columns of partial-products areneeded to compose119875

119871119860when thewidth ofmultipliers is 8sim32

bitsIn Table 1 we have defined

11991010158401015840

119894= 119883119894sel1 oplus 119883

119894sel2 (10)

If Booth recoding is nonzero (minus2 minus1 1 2) that is 11991010158401015840119894

= 1then the probability of 119901

119894119895= 0 equals that of 119901

119894119895= 1

Supposing that both the probabilities of119901119894119895

= 1 and 119904119894= 1

are 12 then

119864 [119901119894119895] = 119864 [119904

119894] =

1

2 (11)

where 119864[sdot] is the expected value Substituting (11) in (9) wecan obtain

119864 [Sum (119875119871119862

)] = 2minus5

(1

2+ sdot sdot sdot ) + 2

minus6(1

2+ sdot sdot sdot )

+ sdot sdot sdot + 2minus16

(1

2+

1

2) =

3

16

(12)

Thus the constant 316 acts as the QEC value of 119875119871119862

Similarly the expected value of 119904

7is 12 We replace 119904

7with

12 in (8) obtaining its equivalent decimal value of 18Based on the above proposed SPPC multiplier the 119875

119871119860

with carries in Figure 2 can be redisplayed in Figure 3 whichis denoted by 119875

1015840

119871119860

The maximum carries will be generated if all pij in PLAare 1 In Figure 3 the maximum carries need 7 bits thereforewe register the carry output states of PLA in the variable119903119894(119894 = 0 6) temporarily In the following we propose one

method that associates the nonzero recoding label 11991010158401015840119894with

the compensation carriesAccording to the number of 1 in 119910

10158401015840

119894 we divide the

shrunken partial-product section 1198751015840

119871119860in Figure 3 into

9 categories If there is only one 1 in 11991010158401015840

119894 such as

11991010158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0= 00000001 then only one row in

1198751015840

119871119860is nonzero which is the first category (cate-1) We count

the total numbers of 119903119894

= 1 in all the simulation cases Ifthere are two 1rsquos in 119910

10158401015840

119894 such as 119910

10158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0=

00000011 then there are two rows in 1198751015840

119871119860that are nonzero

As discussed above once again we count the total numbersof 119903119894= 1 in all the cases by simulation which is named the

second category (cate-2) The remaining seven categories are

1 0

00

+)

131618

P998400LA

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

s6s6

Figure 3 Rebuilt shrunken adaptive section

deduced as above Compared with [10 11] the method cangreatly reduce the simulation cost The statistical results ofdifferent categories are listed in Table 4 (note that the middlebit between 119901

70and 1199046in 1198751015840

119871119860is still regarded as a partial-

product in simulation)We then encode each category with 4-bits to associate 119910

10158401015840

119894

with compensation carries For example cate-0 is encoded1199113119911211991111199110= 0000 cate-1 is encoded 119911

3119911211991111199110= 0001 and so

onThe compensation carries 119888119894are derived by Table 4 which

comply with the following rule if the numbers of 119903119894= 1 are

larger than a half of the numbers of simulations (NoS) then119888119894= 1 otherwise 119888

119894= 0 The corresponding relations between

the code (1199113119911211991111199110) and ci are listed in Table 5

According to Table 5 the carries 1198880sim1198883are

1198880= 1199113119911211991111199110+ 119911311991121199111119911

1198881= 1199113119911211991111199110+ 11991131199112+ 119911311991111199110

1198882= 1199113119911211991111199110+ 11991131199112(1199111+ 1199110)

1198883= 1199113119911211991111199110+ 1199113119911211991111199110

(13)

32 Architecture Design of SPPC Booth Multipliers Thecircuit implementation of category encoding is shown inFigure 4 where the 2Bs-Adder and 3Bs-Adder denote thetwo-bit adder and three-bit adder respectively

In light of (13) one implementation of carry generationcircuits is shown in Figure 5

According to the above discussions a modified radix-4 Booth fixed-width multiplier with the proposed QECcircuits is shown in Figure 6 The traditional Booth recodingencoder circuits of the proposed category encoding andcarry generation compose the QEC circuits to generate theQEC carries

VLSI Design 5

Table 4 The statistic of carry output states for different categories

Category Total numbers of 119903119894= 1 NoS

1199030

1199031

1199032

1199033

1199034

1199035

1199036

cate-0 0 0 0 0 0 0 0 0cate-1 7 0 0 0 0 0 8cate-2 63 21 0 0 0 0 0 82

cate-3 511 350 35 0 0 0 0 83

cate-4 4095 3605 1225 35 0 0 0 84

cate-5 32767 31486 18844 2898 21 0 0 85

cate-6 262143 259147 205534 71022 4963 7 0 86

cate-7 2097151 2090724 1897019 1048576 200133 6428 1 87

cate-8 16777215 16764354 16144677 11782380 4146393 438834 6435 88

Table 5 Relations between category code and 119888119894

1199113119911211991111199110

1198880

1198881

1198882

1198883

0000 0 0 0 00001 1 0 0 00010 1 0 0 00011 1 1 0 00100 1 1 0 00101 1 1 1 00110 1 1 1 00111 1 1 1 11000 1 1 1 1

4 Comparisons and Discussions

41 Quantization Accuracy Simulations The comparison ofvarious errors between the proposed SPPC Booth multipliersand the ideal truncated Booth multiplier and other previousworks is listed in Table 6 These errors include the averageerror 120576mean maximum error 120576max and variance 120576var Inaccuracy simulation all the pair data samples are inputted toestimate the QE of the SPPC multiplier The 120576mean 120576max and120576var are defined as follows

120576mean = 119864 [119875 minus 119875119902]

120576max = max 10038161003816100381610038161003816119875 minus 119875119902

10038161003816100381610038161003816

120576var = 119864 [(119875 minus 119875119902)2

] minus (119864 [119875 minus 119875119902])2

(14)

where 119875 and 119875119902are the ideal product and the quantized

product of Booth multipliers respectively | sdot | and maxsdot arethe absolute and maximum operators respectively

The adaptive estimation methods in [9ndash11] have beenadopted to improve the truncation error Instead of exhaus-tive computing resource simulation methods in previousworks [9ndash11] the QE of SPPC multipliers is analyzed andderived from a simpler statistical method It is seen fromTable 6 that the proposed SPPC multipliers have almostthe best error performance compared with previous worksexcept [11] that has the highest performance of 120576mean Thereason is that it uses more information from Booth encoder

to alleviate the truncation errors [11] Nevertheless the areacost in [11] is increased from the extra information ofcompensation circuits Even though 120576max and 120576var of themultipliers in [13] are smaller than other multipliers their120576mean is larger compared with the proposed SPPC multiplierand multipliers in [10ndash12]

The distributions of QE have been calculated in differentmultipliersThe sample ratios of QE value (ie 120576) are listed inthe last three columns of Table 6 It is seen from the statisticalresults that the sample rations of |120576| lt 1 in the SPPC Boothmultipliers are higher than that in [9ndash11] by about 13 Onthe other hand this shows that the quantization accuracy ofthe proposed SPPCmultiplier is higher compared with thosefour methods

42 Performance Simulation A comparison of performancesbetween the proposed SPPC and previous works is imple-mented by using their own compensation circuitsMultiplierswith different widths are synthesized by Synopsys DesignCompiler using a standard cell library of TSMC 018120583mCMOS process Their area delay and power dissipation arelisted in Table 7

In general there exists a trade-off between the hardwareoverhead and the accuracy in these compensation circuitsThe multiplier proposed in [11] has the highest accuracy in120576mean but it has a larger area delay and power Howeverthe SPPC multiplier has the same area as the multipliers in[12 13] and lower area compared with the multipliers in [9ndash11] As a result the proposed SPPCmultipliers achieve higheraccuracy at the cost of the lower area

In order to comprehensively compare the performancesof different multipliers we consider their power-delay prod-ucts as the standard of comparisons which are listed in thelast column of the Table 7 It is shown that the power-delayproducts ofmultipliers proposed in [9ndash11] are larger than thatof other multipliers distinctly Compared with the other twomultipliers in [12 13] the proposed SPPC multipliers havebetter comprehensive performances

43 Verification in FIR Filter The QEC performance of theproposed SPPC is verified by means of a 20 taps low-passdirect form FIR filter The filter is designed to have 8MHzpass-band (with a 40MHz sampling frequency) and 70 dB

6 VLSI Design

y9984009984007 y9984009984006 y9984009984005 y9984009984004 y9984009984003 y9984009984002 y9984009984001 y9984009984000

b1 b0 a1 a0

s1 s0co2Bs-Adder

b1 b0 a1 a0

s1 s0co2Bs-Adder

b2 b1 b0 a1a2 a0

s2 s1 s0co

3Bs-Adder

z3 z2 z1 z0

Figure 4 Category encoding circuits

z2(z1 + z0)

z2 + z1z0

OAI

AOI

z3z2z1z0

c1

c2

c3

c0

Figure 5 Carry generation circuits

attenuation in the stop-band for a CR detector All the widthsof input output and coefficient of the FIR filter are 16 bitsand the internal adders of the FIR filter are 22 bits The inputfor test is a 5MHz sinusoidal signal with a sampling rate of40MHz

Four different multipliers (16 times 16 bits) including theSPPC multiplier and three other multipliers in [11ndash13] areinstantiated in the filter The error mean and error varianceof the output samples in different instantiated multipliers arelisted in Table 8 It is seen from Table 8 that the error meanof [11] is the smallest and the error mean of SPPC is better

than that of [12 13] whereas the error variance of SPPC is thesmallest These results are consistent with the QE accuracysimulation results in the previous section

In CR detectors it is very important to detect the signalrsquosspectral peak-values for determining whether the channelis idle [16] The relative errors of the average spectral peak-values (with 100 times of simulations)with respect to the idealspectral peak-values of the FIR filter outputs are listed in thelast column of Table 8 Table 8 shows that the spectral peak-values of the proposed SPPCmultiplier are closer to the idealpeak-value

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 3: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

VLSI Design 3

yMminus1 yMminus2 yMminus3 y2i+1 y2i y2iminus1 y3 y2 y1 y0

y998400i y9984001 y9984000

(0)

y998400M2minus1

middot middot middot middot middot middot

Figure 1 Scheme of modified radix-4 Booth recoding

x15y15

x14y14

x13y13

x12y12

x11y11

x10y10

x9y9

x8y8

x7y7

x6y6

x5y5

x4y4

x3y3

x2y2

x0y0

x1y1PLM

PLA

PLC

PM PL

Partial productions generation

P29P30 P16P17 P14P15P18P19 P9P10 P7P8 P6 P4P5 P3 P1 P0P2P12 P11P13P20P21P22P23P24P25P26P27P28P31

p015

p113

p211

p39

p47

p55

p63

p71

p015

p114

p212

p310

p48

p56

p64

p72

p115

p213

p311

p49

p57

p65

p73

p115

p214

p312

p410

p58

p66

p74

p215

p313

p411

p59

p67

p75

p215

p314

p412

p510

p68

p76

p315

p413

p511

p69

p77

p315

p414

p512

p610

p78

p415

p513

p611

p79

p415

p514

p612

p710

p515

p613

p711

p515

p614

p712

p615

p713

p615

p714p715p715

p014

p112

p210

p38

p46

p54

p62

p70

p013

p111

p29

p37

p45

p53

p61

p012

p110

p28

p36

p44

p52

p60

p011

p19

p27

p35

p43

p51

p010

p18

p26

p34

p42

p50

p09

p17

p25

p33

p41

p08

p16

p24

p32

p40

p07

p15

p23

p31

p06

p14

p22

p30

p05

p13

p21

p04

p12

p20

p03

p11

p02

p10

p01 p00e0

e1

e2

e3

e4

e5

e6

e7

1

1

1

1

1

1

e0e0

s7

s6

s5

s4

s3

s2

s1

s0

Figure 2 Partial-product array of radix-4 Booth multiplier (with 16 times 16 bits)

Table 2 Partial-products and multiplicands (119901119894119895119909119895119909119895minus1

)

1199102119894+1

11991021198941199102119894minus1

11990111989411989500 119901

11989411989501 119901

11989411989510 119901

11989411989511

000 0 0 0 0001 0 0 1 1010 0 0 1 1011 0 1 0 1100 1 0 1 0101 1 1 0 0110 1 1 0 0111 1 1 1 1

3 Proposed QEC Method

31 Generation of QEC Carries The partial-products ofmodified Booth multipliers can be divided into two sectionsreserved section 119875

119872and truncated section 119875

119871 as shown in

Figure 2 To improve the accuracy of fixed-width multipliersan additional column 119875

119871119872following PM is reserved and the

compensation carries are derived by the simulated statisticresults [10] In this paper we further divide the rest of 119875

119871into

119875119871119860

and 119875119871119862

and then the product of the multiplier is

119875 = Sum (119875119872) + Sum (119875

119871)

= Sum (119875119872) + Sum (119875

119871119872) + Sum (119875

119871119860) + Sum (119875

119871119862)

(6)

Table 3 Partial-products of different 1199101015840119894

1199101015840

11989411990111989416

11990111989415

11990111989414

sdot sdot sdot 1199011198942

1199011198941

1199011198940

119904119894

0 0 0 0 sdot sdot sdot 0 0 0 01 119909

1511990915

11990914

sdot sdot sdot 1199092

1199091

1199090

0minus1 119909

1511990915

11990914

sdot sdot sdot 1199092

1199091

1199090

12 119909

1511990914

11990913

sdot sdot sdot 1199091

1199090

0 0minus2 119909

1511990914

11990913

sdot sdot sdot 1199091

1199090

1 1

Assuming that a decimal point is between PM and PLwhich does not affect the results of discussion then

Sum (119875119871119872

) = 2minus1

(119901015

+ 119901113

+ 119901211

+ 11990139

+ 11990147

+ 11990155

+ 11990163

+ 11990171

)

(7)

Sum (119875119871119860

) = 2minus2

(119901014

+ 119901112

+ 119901210

+ 11990138

+ 11990146

+ 11990154

+ 11990162

+ 11990170

+ 1199047)

+ 2minus3

(119901013

+ 119901111

+ 11990129

+ 11990137

+ 11990145

+ 11990153

+ 11990161

)

+ 2minus4

(119901012

+ 119901110

+ 11990128

+ 11990136

+ 11990144

+ 11990152

+ 11990160

+ 1199046)

(8)

4 VLSI Design

Sum (119875119871119862

) = 2minus5

(119901011

+ 11990119

+ 11990127

+ 11990135

+ 11990143

+ 11990151

)

+ 2minus6

(119901010

+ 11990118

+ 11990126

+ 11990134

+ 11990142

+ 11990150

+ 1199045)

+ 2minus16

(11990100

+ 1199040)

(9)

In the proposedmethod theQEof119875119871119860

is compensated byadaptive QEC and the QE of119875

119871119862is compensated by constant

QEC As a rule of thumb 3sim5 columns of partial-products areneeded to compose119875

119871119860when thewidth ofmultipliers is 8sim32

bitsIn Table 1 we have defined

11991010158401015840

119894= 119883119894sel1 oplus 119883

119894sel2 (10)

If Booth recoding is nonzero (minus2 minus1 1 2) that is 11991010158401015840119894

= 1then the probability of 119901

119894119895= 0 equals that of 119901

119894119895= 1

Supposing that both the probabilities of119901119894119895

= 1 and 119904119894= 1

are 12 then

119864 [119901119894119895] = 119864 [119904

119894] =

1

2 (11)

where 119864[sdot] is the expected value Substituting (11) in (9) wecan obtain

119864 [Sum (119875119871119862

)] = 2minus5

(1

2+ sdot sdot sdot ) + 2

minus6(1

2+ sdot sdot sdot )

+ sdot sdot sdot + 2minus16

(1

2+

1

2) =

3

16

(12)

Thus the constant 316 acts as the QEC value of 119875119871119862

Similarly the expected value of 119904

7is 12 We replace 119904

7with

12 in (8) obtaining its equivalent decimal value of 18Based on the above proposed SPPC multiplier the 119875

119871119860

with carries in Figure 2 can be redisplayed in Figure 3 whichis denoted by 119875

1015840

119871119860

The maximum carries will be generated if all pij in PLAare 1 In Figure 3 the maximum carries need 7 bits thereforewe register the carry output states of PLA in the variable119903119894(119894 = 0 6) temporarily In the following we propose one

method that associates the nonzero recoding label 11991010158401015840119894with

the compensation carriesAccording to the number of 1 in 119910

10158401015840

119894 we divide the

shrunken partial-product section 1198751015840

119871119860in Figure 3 into

9 categories If there is only one 1 in 11991010158401015840

119894 such as

11991010158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0= 00000001 then only one row in

1198751015840

119871119860is nonzero which is the first category (cate-1) We count

the total numbers of 119903119894

= 1 in all the simulation cases Ifthere are two 1rsquos in 119910

10158401015840

119894 such as 119910

10158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0=

00000011 then there are two rows in 1198751015840

119871119860that are nonzero

As discussed above once again we count the total numbersof 119903119894= 1 in all the cases by simulation which is named the

second category (cate-2) The remaining seven categories are

1 0

00

+)

131618

P998400LA

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

s6s6

Figure 3 Rebuilt shrunken adaptive section

deduced as above Compared with [10 11] the method cangreatly reduce the simulation cost The statistical results ofdifferent categories are listed in Table 4 (note that the middlebit between 119901

70and 1199046in 1198751015840

119871119860is still regarded as a partial-

product in simulation)We then encode each category with 4-bits to associate 119910

10158401015840

119894

with compensation carries For example cate-0 is encoded1199113119911211991111199110= 0000 cate-1 is encoded 119911

3119911211991111199110= 0001 and so

onThe compensation carries 119888119894are derived by Table 4 which

comply with the following rule if the numbers of 119903119894= 1 are

larger than a half of the numbers of simulations (NoS) then119888119894= 1 otherwise 119888

119894= 0 The corresponding relations between

the code (1199113119911211991111199110) and ci are listed in Table 5

According to Table 5 the carries 1198880sim1198883are

1198880= 1199113119911211991111199110+ 119911311991121199111119911

1198881= 1199113119911211991111199110+ 11991131199112+ 119911311991111199110

1198882= 1199113119911211991111199110+ 11991131199112(1199111+ 1199110)

1198883= 1199113119911211991111199110+ 1199113119911211991111199110

(13)

32 Architecture Design of SPPC Booth Multipliers Thecircuit implementation of category encoding is shown inFigure 4 where the 2Bs-Adder and 3Bs-Adder denote thetwo-bit adder and three-bit adder respectively

In light of (13) one implementation of carry generationcircuits is shown in Figure 5

According to the above discussions a modified radix-4 Booth fixed-width multiplier with the proposed QECcircuits is shown in Figure 6 The traditional Booth recodingencoder circuits of the proposed category encoding andcarry generation compose the QEC circuits to generate theQEC carries

VLSI Design 5

Table 4 The statistic of carry output states for different categories

Category Total numbers of 119903119894= 1 NoS

1199030

1199031

1199032

1199033

1199034

1199035

1199036

cate-0 0 0 0 0 0 0 0 0cate-1 7 0 0 0 0 0 8cate-2 63 21 0 0 0 0 0 82

cate-3 511 350 35 0 0 0 0 83

cate-4 4095 3605 1225 35 0 0 0 84

cate-5 32767 31486 18844 2898 21 0 0 85

cate-6 262143 259147 205534 71022 4963 7 0 86

cate-7 2097151 2090724 1897019 1048576 200133 6428 1 87

cate-8 16777215 16764354 16144677 11782380 4146393 438834 6435 88

Table 5 Relations between category code and 119888119894

1199113119911211991111199110

1198880

1198881

1198882

1198883

0000 0 0 0 00001 1 0 0 00010 1 0 0 00011 1 1 0 00100 1 1 0 00101 1 1 1 00110 1 1 1 00111 1 1 1 11000 1 1 1 1

4 Comparisons and Discussions

41 Quantization Accuracy Simulations The comparison ofvarious errors between the proposed SPPC Booth multipliersand the ideal truncated Booth multiplier and other previousworks is listed in Table 6 These errors include the averageerror 120576mean maximum error 120576max and variance 120576var Inaccuracy simulation all the pair data samples are inputted toestimate the QE of the SPPC multiplier The 120576mean 120576max and120576var are defined as follows

120576mean = 119864 [119875 minus 119875119902]

120576max = max 10038161003816100381610038161003816119875 minus 119875119902

10038161003816100381610038161003816

120576var = 119864 [(119875 minus 119875119902)2

] minus (119864 [119875 minus 119875119902])2

(14)

where 119875 and 119875119902are the ideal product and the quantized

product of Booth multipliers respectively | sdot | and maxsdot arethe absolute and maximum operators respectively

The adaptive estimation methods in [9ndash11] have beenadopted to improve the truncation error Instead of exhaus-tive computing resource simulation methods in previousworks [9ndash11] the QE of SPPC multipliers is analyzed andderived from a simpler statistical method It is seen fromTable 6 that the proposed SPPC multipliers have almostthe best error performance compared with previous worksexcept [11] that has the highest performance of 120576mean Thereason is that it uses more information from Booth encoder

to alleviate the truncation errors [11] Nevertheless the areacost in [11] is increased from the extra information ofcompensation circuits Even though 120576max and 120576var of themultipliers in [13] are smaller than other multipliers their120576mean is larger compared with the proposed SPPC multiplierand multipliers in [10ndash12]

The distributions of QE have been calculated in differentmultipliersThe sample ratios of QE value (ie 120576) are listed inthe last three columns of Table 6 It is seen from the statisticalresults that the sample rations of |120576| lt 1 in the SPPC Boothmultipliers are higher than that in [9ndash11] by about 13 Onthe other hand this shows that the quantization accuracy ofthe proposed SPPCmultiplier is higher compared with thosefour methods

42 Performance Simulation A comparison of performancesbetween the proposed SPPC and previous works is imple-mented by using their own compensation circuitsMultiplierswith different widths are synthesized by Synopsys DesignCompiler using a standard cell library of TSMC 018120583mCMOS process Their area delay and power dissipation arelisted in Table 7

In general there exists a trade-off between the hardwareoverhead and the accuracy in these compensation circuitsThe multiplier proposed in [11] has the highest accuracy in120576mean but it has a larger area delay and power Howeverthe SPPC multiplier has the same area as the multipliers in[12 13] and lower area compared with the multipliers in [9ndash11] As a result the proposed SPPCmultipliers achieve higheraccuracy at the cost of the lower area

In order to comprehensively compare the performancesof different multipliers we consider their power-delay prod-ucts as the standard of comparisons which are listed in thelast column of the Table 7 It is shown that the power-delayproducts ofmultipliers proposed in [9ndash11] are larger than thatof other multipliers distinctly Compared with the other twomultipliers in [12 13] the proposed SPPC multipliers havebetter comprehensive performances

43 Verification in FIR Filter The QEC performance of theproposed SPPC is verified by means of a 20 taps low-passdirect form FIR filter The filter is designed to have 8MHzpass-band (with a 40MHz sampling frequency) and 70 dB

6 VLSI Design

y9984009984007 y9984009984006 y9984009984005 y9984009984004 y9984009984003 y9984009984002 y9984009984001 y9984009984000

b1 b0 a1 a0

s1 s0co2Bs-Adder

b1 b0 a1 a0

s1 s0co2Bs-Adder

b2 b1 b0 a1a2 a0

s2 s1 s0co

3Bs-Adder

z3 z2 z1 z0

Figure 4 Category encoding circuits

z2(z1 + z0)

z2 + z1z0

OAI

AOI

z3z2z1z0

c1

c2

c3

c0

Figure 5 Carry generation circuits

attenuation in the stop-band for a CR detector All the widthsof input output and coefficient of the FIR filter are 16 bitsand the internal adders of the FIR filter are 22 bits The inputfor test is a 5MHz sinusoidal signal with a sampling rate of40MHz

Four different multipliers (16 times 16 bits) including theSPPC multiplier and three other multipliers in [11ndash13] areinstantiated in the filter The error mean and error varianceof the output samples in different instantiated multipliers arelisted in Table 8 It is seen from Table 8 that the error meanof [11] is the smallest and the error mean of SPPC is better

than that of [12 13] whereas the error variance of SPPC is thesmallest These results are consistent with the QE accuracysimulation results in the previous section

In CR detectors it is very important to detect the signalrsquosspectral peak-values for determining whether the channelis idle [16] The relative errors of the average spectral peak-values (with 100 times of simulations)with respect to the idealspectral peak-values of the FIR filter outputs are listed in thelast column of Table 8 Table 8 shows that the spectral peak-values of the proposed SPPCmultiplier are closer to the idealpeak-value

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 4: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

4 VLSI Design

Sum (119875119871119862

) = 2minus5

(119901011

+ 11990119

+ 11990127

+ 11990135

+ 11990143

+ 11990151

)

+ 2minus6

(119901010

+ 11990118

+ 11990126

+ 11990134

+ 11990142

+ 11990150

+ 1199045)

+ 2minus16

(11990100

+ 1199040)

(9)

In the proposedmethod theQEof119875119871119860

is compensated byadaptive QEC and the QE of119875

119871119862is compensated by constant

QEC As a rule of thumb 3sim5 columns of partial-products areneeded to compose119875

119871119860when thewidth ofmultipliers is 8sim32

bitsIn Table 1 we have defined

11991010158401015840

119894= 119883119894sel1 oplus 119883

119894sel2 (10)

If Booth recoding is nonzero (minus2 minus1 1 2) that is 11991010158401015840119894

= 1then the probability of 119901

119894119895= 0 equals that of 119901

119894119895= 1

Supposing that both the probabilities of119901119894119895

= 1 and 119904119894= 1

are 12 then

119864 [119901119894119895] = 119864 [119904

119894] =

1

2 (11)

where 119864[sdot] is the expected value Substituting (11) in (9) wecan obtain

119864 [Sum (119875119871119862

)] = 2minus5

(1

2+ sdot sdot sdot ) + 2

minus6(1

2+ sdot sdot sdot )

+ sdot sdot sdot + 2minus16

(1

2+

1

2) =

3

16

(12)

Thus the constant 316 acts as the QEC value of 119875119871119862

Similarly the expected value of 119904

7is 12 We replace 119904

7with

12 in (8) obtaining its equivalent decimal value of 18Based on the above proposed SPPC multiplier the 119875

119871119860

with carries in Figure 2 can be redisplayed in Figure 3 whichis denoted by 119875

1015840

119871119860

The maximum carries will be generated if all pij in PLAare 1 In Figure 3 the maximum carries need 7 bits thereforewe register the carry output states of PLA in the variable119903119894(119894 = 0 6) temporarily In the following we propose one

method that associates the nonzero recoding label 11991010158401015840119894with

the compensation carriesAccording to the number of 1 in 119910

10158401015840

119894 we divide the

shrunken partial-product section 1198751015840

119871119860in Figure 3 into

9 categories If there is only one 1 in 11991010158401015840

119894 such as

11991010158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0= 00000001 then only one row in

1198751015840

119871119860is nonzero which is the first category (cate-1) We count

the total numbers of 119903119894

= 1 in all the simulation cases Ifthere are two 1rsquos in 119910

10158401015840

119894 such as 119910

10158401015840

711991010158401015840

611991010158401015840

511991010158401015840

411991010158401015840

311991010158401015840

211991010158401015840

111991010158401015840

0=

00000011 then there are two rows in 1198751015840

119871119860that are nonzero

As discussed above once again we count the total numbersof 119903119894= 1 in all the cases by simulation which is named the

second category (cate-2) The remaining seven categories are

1 0

00

+)

131618

P998400LA

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

p70

p38 p37 p36

p46 p45 p44

p54 p53 p52

p62 p61 p60

p210 p29 p28

p112 p111 p110

p014 p013 p012

s6s6

Figure 3 Rebuilt shrunken adaptive section

deduced as above Compared with [10 11] the method cangreatly reduce the simulation cost The statistical results ofdifferent categories are listed in Table 4 (note that the middlebit between 119901

70and 1199046in 1198751015840

119871119860is still regarded as a partial-

product in simulation)We then encode each category with 4-bits to associate 119910

10158401015840

119894

with compensation carries For example cate-0 is encoded1199113119911211991111199110= 0000 cate-1 is encoded 119911

3119911211991111199110= 0001 and so

onThe compensation carries 119888119894are derived by Table 4 which

comply with the following rule if the numbers of 119903119894= 1 are

larger than a half of the numbers of simulations (NoS) then119888119894= 1 otherwise 119888

119894= 0 The corresponding relations between

the code (1199113119911211991111199110) and ci are listed in Table 5

According to Table 5 the carries 1198880sim1198883are

1198880= 1199113119911211991111199110+ 119911311991121199111119911

1198881= 1199113119911211991111199110+ 11991131199112+ 119911311991111199110

1198882= 1199113119911211991111199110+ 11991131199112(1199111+ 1199110)

1198883= 1199113119911211991111199110+ 1199113119911211991111199110

(13)

32 Architecture Design of SPPC Booth Multipliers Thecircuit implementation of category encoding is shown inFigure 4 where the 2Bs-Adder and 3Bs-Adder denote thetwo-bit adder and three-bit adder respectively

In light of (13) one implementation of carry generationcircuits is shown in Figure 5

According to the above discussions a modified radix-4 Booth fixed-width multiplier with the proposed QECcircuits is shown in Figure 6 The traditional Booth recodingencoder circuits of the proposed category encoding andcarry generation compose the QEC circuits to generate theQEC carries

VLSI Design 5

Table 4 The statistic of carry output states for different categories

Category Total numbers of 119903119894= 1 NoS

1199030

1199031

1199032

1199033

1199034

1199035

1199036

cate-0 0 0 0 0 0 0 0 0cate-1 7 0 0 0 0 0 8cate-2 63 21 0 0 0 0 0 82

cate-3 511 350 35 0 0 0 0 83

cate-4 4095 3605 1225 35 0 0 0 84

cate-5 32767 31486 18844 2898 21 0 0 85

cate-6 262143 259147 205534 71022 4963 7 0 86

cate-7 2097151 2090724 1897019 1048576 200133 6428 1 87

cate-8 16777215 16764354 16144677 11782380 4146393 438834 6435 88

Table 5 Relations between category code and 119888119894

1199113119911211991111199110

1198880

1198881

1198882

1198883

0000 0 0 0 00001 1 0 0 00010 1 0 0 00011 1 1 0 00100 1 1 0 00101 1 1 1 00110 1 1 1 00111 1 1 1 11000 1 1 1 1

4 Comparisons and Discussions

41 Quantization Accuracy Simulations The comparison ofvarious errors between the proposed SPPC Booth multipliersand the ideal truncated Booth multiplier and other previousworks is listed in Table 6 These errors include the averageerror 120576mean maximum error 120576max and variance 120576var Inaccuracy simulation all the pair data samples are inputted toestimate the QE of the SPPC multiplier The 120576mean 120576max and120576var are defined as follows

120576mean = 119864 [119875 minus 119875119902]

120576max = max 10038161003816100381610038161003816119875 minus 119875119902

10038161003816100381610038161003816

120576var = 119864 [(119875 minus 119875119902)2

] minus (119864 [119875 minus 119875119902])2

(14)

where 119875 and 119875119902are the ideal product and the quantized

product of Booth multipliers respectively | sdot | and maxsdot arethe absolute and maximum operators respectively

The adaptive estimation methods in [9ndash11] have beenadopted to improve the truncation error Instead of exhaus-tive computing resource simulation methods in previousworks [9ndash11] the QE of SPPC multipliers is analyzed andderived from a simpler statistical method It is seen fromTable 6 that the proposed SPPC multipliers have almostthe best error performance compared with previous worksexcept [11] that has the highest performance of 120576mean Thereason is that it uses more information from Booth encoder

to alleviate the truncation errors [11] Nevertheless the areacost in [11] is increased from the extra information ofcompensation circuits Even though 120576max and 120576var of themultipliers in [13] are smaller than other multipliers their120576mean is larger compared with the proposed SPPC multiplierand multipliers in [10ndash12]

The distributions of QE have been calculated in differentmultipliersThe sample ratios of QE value (ie 120576) are listed inthe last three columns of Table 6 It is seen from the statisticalresults that the sample rations of |120576| lt 1 in the SPPC Boothmultipliers are higher than that in [9ndash11] by about 13 Onthe other hand this shows that the quantization accuracy ofthe proposed SPPCmultiplier is higher compared with thosefour methods

42 Performance Simulation A comparison of performancesbetween the proposed SPPC and previous works is imple-mented by using their own compensation circuitsMultiplierswith different widths are synthesized by Synopsys DesignCompiler using a standard cell library of TSMC 018120583mCMOS process Their area delay and power dissipation arelisted in Table 7

In general there exists a trade-off between the hardwareoverhead and the accuracy in these compensation circuitsThe multiplier proposed in [11] has the highest accuracy in120576mean but it has a larger area delay and power Howeverthe SPPC multiplier has the same area as the multipliers in[12 13] and lower area compared with the multipliers in [9ndash11] As a result the proposed SPPCmultipliers achieve higheraccuracy at the cost of the lower area

In order to comprehensively compare the performancesof different multipliers we consider their power-delay prod-ucts as the standard of comparisons which are listed in thelast column of the Table 7 It is shown that the power-delayproducts ofmultipliers proposed in [9ndash11] are larger than thatof other multipliers distinctly Compared with the other twomultipliers in [12 13] the proposed SPPC multipliers havebetter comprehensive performances

43 Verification in FIR Filter The QEC performance of theproposed SPPC is verified by means of a 20 taps low-passdirect form FIR filter The filter is designed to have 8MHzpass-band (with a 40MHz sampling frequency) and 70 dB

6 VLSI Design

y9984009984007 y9984009984006 y9984009984005 y9984009984004 y9984009984003 y9984009984002 y9984009984001 y9984009984000

b1 b0 a1 a0

s1 s0co2Bs-Adder

b1 b0 a1 a0

s1 s0co2Bs-Adder

b2 b1 b0 a1a2 a0

s2 s1 s0co

3Bs-Adder

z3 z2 z1 z0

Figure 4 Category encoding circuits

z2(z1 + z0)

z2 + z1z0

OAI

AOI

z3z2z1z0

c1

c2

c3

c0

Figure 5 Carry generation circuits

attenuation in the stop-band for a CR detector All the widthsof input output and coefficient of the FIR filter are 16 bitsand the internal adders of the FIR filter are 22 bits The inputfor test is a 5MHz sinusoidal signal with a sampling rate of40MHz

Four different multipliers (16 times 16 bits) including theSPPC multiplier and three other multipliers in [11ndash13] areinstantiated in the filter The error mean and error varianceof the output samples in different instantiated multipliers arelisted in Table 8 It is seen from Table 8 that the error meanof [11] is the smallest and the error mean of SPPC is better

than that of [12 13] whereas the error variance of SPPC is thesmallest These results are consistent with the QE accuracysimulation results in the previous section

In CR detectors it is very important to detect the signalrsquosspectral peak-values for determining whether the channelis idle [16] The relative errors of the average spectral peak-values (with 100 times of simulations)with respect to the idealspectral peak-values of the FIR filter outputs are listed in thelast column of Table 8 Table 8 shows that the spectral peak-values of the proposed SPPCmultiplier are closer to the idealpeak-value

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 5: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

VLSI Design 5

Table 4 The statistic of carry output states for different categories

Category Total numbers of 119903119894= 1 NoS

1199030

1199031

1199032

1199033

1199034

1199035

1199036

cate-0 0 0 0 0 0 0 0 0cate-1 7 0 0 0 0 0 8cate-2 63 21 0 0 0 0 0 82

cate-3 511 350 35 0 0 0 0 83

cate-4 4095 3605 1225 35 0 0 0 84

cate-5 32767 31486 18844 2898 21 0 0 85

cate-6 262143 259147 205534 71022 4963 7 0 86

cate-7 2097151 2090724 1897019 1048576 200133 6428 1 87

cate-8 16777215 16764354 16144677 11782380 4146393 438834 6435 88

Table 5 Relations between category code and 119888119894

1199113119911211991111199110

1198880

1198881

1198882

1198883

0000 0 0 0 00001 1 0 0 00010 1 0 0 00011 1 1 0 00100 1 1 0 00101 1 1 1 00110 1 1 1 00111 1 1 1 11000 1 1 1 1

4 Comparisons and Discussions

41 Quantization Accuracy Simulations The comparison ofvarious errors between the proposed SPPC Booth multipliersand the ideal truncated Booth multiplier and other previousworks is listed in Table 6 These errors include the averageerror 120576mean maximum error 120576max and variance 120576var Inaccuracy simulation all the pair data samples are inputted toestimate the QE of the SPPC multiplier The 120576mean 120576max and120576var are defined as follows

120576mean = 119864 [119875 minus 119875119902]

120576max = max 10038161003816100381610038161003816119875 minus 119875119902

10038161003816100381610038161003816

120576var = 119864 [(119875 minus 119875119902)2

] minus (119864 [119875 minus 119875119902])2

(14)

where 119875 and 119875119902are the ideal product and the quantized

product of Booth multipliers respectively | sdot | and maxsdot arethe absolute and maximum operators respectively

The adaptive estimation methods in [9ndash11] have beenadopted to improve the truncation error Instead of exhaus-tive computing resource simulation methods in previousworks [9ndash11] the QE of SPPC multipliers is analyzed andderived from a simpler statistical method It is seen fromTable 6 that the proposed SPPC multipliers have almostthe best error performance compared with previous worksexcept [11] that has the highest performance of 120576mean Thereason is that it uses more information from Booth encoder

to alleviate the truncation errors [11] Nevertheless the areacost in [11] is increased from the extra information ofcompensation circuits Even though 120576max and 120576var of themultipliers in [13] are smaller than other multipliers their120576mean is larger compared with the proposed SPPC multiplierand multipliers in [10ndash12]

The distributions of QE have been calculated in differentmultipliersThe sample ratios of QE value (ie 120576) are listed inthe last three columns of Table 6 It is seen from the statisticalresults that the sample rations of |120576| lt 1 in the SPPC Boothmultipliers are higher than that in [9ndash11] by about 13 Onthe other hand this shows that the quantization accuracy ofthe proposed SPPCmultiplier is higher compared with thosefour methods

42 Performance Simulation A comparison of performancesbetween the proposed SPPC and previous works is imple-mented by using their own compensation circuitsMultiplierswith different widths are synthesized by Synopsys DesignCompiler using a standard cell library of TSMC 018120583mCMOS process Their area delay and power dissipation arelisted in Table 7

In general there exists a trade-off between the hardwareoverhead and the accuracy in these compensation circuitsThe multiplier proposed in [11] has the highest accuracy in120576mean but it has a larger area delay and power Howeverthe SPPC multiplier has the same area as the multipliers in[12 13] and lower area compared with the multipliers in [9ndash11] As a result the proposed SPPCmultipliers achieve higheraccuracy at the cost of the lower area

In order to comprehensively compare the performancesof different multipliers we consider their power-delay prod-ucts as the standard of comparisons which are listed in thelast column of the Table 7 It is shown that the power-delayproducts ofmultipliers proposed in [9ndash11] are larger than thatof other multipliers distinctly Compared with the other twomultipliers in [12 13] the proposed SPPC multipliers havebetter comprehensive performances

43 Verification in FIR Filter The QEC performance of theproposed SPPC is verified by means of a 20 taps low-passdirect form FIR filter The filter is designed to have 8MHzpass-band (with a 40MHz sampling frequency) and 70 dB

6 VLSI Design

y9984009984007 y9984009984006 y9984009984005 y9984009984004 y9984009984003 y9984009984002 y9984009984001 y9984009984000

b1 b0 a1 a0

s1 s0co2Bs-Adder

b1 b0 a1 a0

s1 s0co2Bs-Adder

b2 b1 b0 a1a2 a0

s2 s1 s0co

3Bs-Adder

z3 z2 z1 z0

Figure 4 Category encoding circuits

z2(z1 + z0)

z2 + z1z0

OAI

AOI

z3z2z1z0

c1

c2

c3

c0

Figure 5 Carry generation circuits

attenuation in the stop-band for a CR detector All the widthsof input output and coefficient of the FIR filter are 16 bitsand the internal adders of the FIR filter are 22 bits The inputfor test is a 5MHz sinusoidal signal with a sampling rate of40MHz

Four different multipliers (16 times 16 bits) including theSPPC multiplier and three other multipliers in [11ndash13] areinstantiated in the filter The error mean and error varianceof the output samples in different instantiated multipliers arelisted in Table 8 It is seen from Table 8 that the error meanof [11] is the smallest and the error mean of SPPC is better

than that of [12 13] whereas the error variance of SPPC is thesmallest These results are consistent with the QE accuracysimulation results in the previous section

In CR detectors it is very important to detect the signalrsquosspectral peak-values for determining whether the channelis idle [16] The relative errors of the average spectral peak-values (with 100 times of simulations)with respect to the idealspectral peak-values of the FIR filter outputs are listed in thelast column of Table 8 Table 8 shows that the spectral peak-values of the proposed SPPCmultiplier are closer to the idealpeak-value

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 6: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

6 VLSI Design

y9984009984007 y9984009984006 y9984009984005 y9984009984004 y9984009984003 y9984009984002 y9984009984001 y9984009984000

b1 b0 a1 a0

s1 s0co2Bs-Adder

b1 b0 a1 a0

s1 s0co2Bs-Adder

b2 b1 b0 a1a2 a0

s2 s1 s0co

3Bs-Adder

z3 z2 z1 z0

Figure 4 Category encoding circuits

z2(z1 + z0)

z2 + z1z0

OAI

AOI

z3z2z1z0

c1

c2

c3

c0

Figure 5 Carry generation circuits

attenuation in the stop-band for a CR detector All the widthsof input output and coefficient of the FIR filter are 16 bitsand the internal adders of the FIR filter are 22 bits The inputfor test is a 5MHz sinusoidal signal with a sampling rate of40MHz

Four different multipliers (16 times 16 bits) including theSPPC multiplier and three other multipliers in [11ndash13] areinstantiated in the filter The error mean and error varianceof the output samples in different instantiated multipliers arelisted in Table 8 It is seen from Table 8 that the error meanof [11] is the smallest and the error mean of SPPC is better

than that of [12 13] whereas the error variance of SPPC is thesmallest These results are consistent with the QE accuracysimulation results in the previous section

In CR detectors it is very important to detect the signalrsquosspectral peak-values for determining whether the channelis idle [16] The relative errors of the average spectral peak-values (with 100 times of simulations)with respect to the idealspectral peak-values of the FIR filter outputs are listed in thelast column of Table 8 Table 8 shows that the spectral peak-values of the proposed SPPCmultiplier are closer to the idealpeak-value

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 7: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

VLSI Design 7

e0

e1

e2

e3

e4

e5

e6

e7

Booth recoding

Category encoding

Caryygeneration

Multiplicator1

1

1

1

1

1

P29

e0e0

p71

p63

p55

p47

p39

p211

p113

p015

P16

p56

p48

p310

p212

p114

p015

p64

P17

p72

p57

p49

p311

p213

p115

p65

P18

p73

p58

p410

p312

p214

p115

p66

P19

p74

p59

p411

p313

p215

p67

P20

p75

p510

p412

p314

p215

p68

P21

p76

p511

p413

p315

p69

P22

p77

p512

p414

p315

p610

P23

p78

p513

p415

p611

P24

p79

p514

p415

p612

P25

p710

p515

p613

P26

p711

p515

p614

P27

p712

p615

p714

P28

p713p715

p615

P30

p715

P31

PM

PLM

y9984009984007 middot middot middot y9984009984000

z3z2z1z0

c1c2c3

c0

Figure 6 Partial-product array of fixed-width Booth multiplier with proposed QEC

Table 6 Comparisons of QE

Width (bits)Multiplier 120576max 120576mean 120576var

Sample ratios in QE values ()|120576| lt 1 1 le |120576| lt 2 2 le |120576| lt 3

Ideal 05 0 00833 100 mdash mdash

8

[9] 11641 01768 01459 799 211 0[10] 15000 01328 01664 772 22797 00031[11] 11680 00078 01367 815 185 0[12] 11680 minus01152 01237 877 123 0[13] 07502 01534 00961 9274 726 0SPPC 14308 00941 01365 903 9698 00016

10

[9] 13652 02028 01713 749 251 0[10] 15000 01211 01713 740 22558 00121[11] 15000 minus00039 01542 7743 2257 0[12] 15000 minus01284 01379 8028 1972 0[13] 09998 01637 00923 9081 9181 0009SPPC 15525 01074 01417 899 1008 00084

12

[9] 15649 02203 01960 7250 2750 0[10] 20000 01270 01950 73 2665 0018[11] 16667 00020 01671 7683 2317 0[12] 16667 minus01229 01521 7860 21384 0016[13] 12493 01763 01114 9000 9987 0013SPPC 16302 01135 01532 8970 10287 0013

16

[9] 19650 02384 02409 6771 3229 0005[10] 25000 01255 02235 7010 2983 007[11] 21667 00005 01961 7313 2685 002[12] 21667 minus01245 01806 7446 2552 002[13] 15000 01754 01167 8937 10614 0016SPPC 19903 01228 01859 8760 1237 003

5 Conclusion

By further dividing the minor truncated section of Boothmultiplier into the adaptive compensation and constantcompensation sections we rebuilt the adaptiveQEC for fixed-width multipliers According to the numbers of 1 in the

sequence of nonzero Booth recoding label we propose anew QEC method to generate the compensation carries Thesimulation results have shown that the QE of the SPPC issmaller compared with the existing methods The proposedQEC method and SPPC are useful for the DSP system with alarge width multipliers and higher precision requirements

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 8: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

8 VLSI Design

Table 7 Comparisons of performances with other methods

Width (bits) Multiplier Area (120583m2) Power (mW) Delay (ns) Power-delay product (mWsdotns)

8

Ideal 3392 1030 582 5995[9] 2396 0667 614 4095[10] 2238 0639 581 3713[11] 2251 0628 585 3674[12] 2246 0636 580 3689[13] 2307 0682 516 3519SPPC 2242 0633 522 3304

10

Ideal 5154 1293 660 8534[9] 3758 0833 697 5806[10] 3479 0789 626 4940[11] 3395 0777 662 5144[12] 3252 0715 613 4383[13] 3351 0842 591 4982SPPC 3358 0712 609 4336

12

Ideal 7455 1773 724 12837[9] 5286 1137 761 8653[10] 5144 1064 667 7097[11] 5161 1099 743 8166[12] 4942 0974 660 6428[13] 4923 1040 656 6822SPPC 5056 1012 663 6710

16

Ideal 13554 2562 806 20650[9] 10058 1563 841 12145[10] 9692 1486 784 11650[11] 9508 1476 808 11926[12] 9390 1310 781 10230[13] 9297 1403 758 10635SPPC 9312 1329 767 10193

Table 8 Comparisons of experimental results

Multiplier Mean Variance Relative error ofpeak-value ()

[11] 0015 0315 00082[12] 0054 0327 00101[13] 0069 0417 00094SPPC 0043 0306 00084

Conflict of Interests

The authors declare that there is no conflict of interestsregarding the publication of this paper

Acknowledgments

The authors gratefully acknowledge the support of ldquoSpecial-ized Research Fund for the Doctoral Program of Higher Edu-cationrdquo (Grant no 20120201120026) and ldquothe FundamentalResearch Funds for the Central Universitiesrdquo

References

[1] J M Jou S R Kuang and R D Chen ldquoDesign of low-errorfixed-width multipliers for DSP applicationsrdquo IEEE Transac-tions on Circuits and Systems II vol 46 no 6 pp 836ndash842 1999

[2] S-J Jou and H-H Wang ldquoFixed-width multiplier for DSPapplicationrdquo in Proceedings of the International Conference onComputer Design VLSI in Computers amp Processors (ICCD rsquo00)pp 318ndash322 Austin Texas USA September 2000

[3] Y-H Chen T-Y Chang and R-Y Jou ldquoA statistical error-compensated Booth multipliers and its DCT applicationsrdquo inProceedings of the IEEE Region 10 Conference (TENCON rsquo10) pp1146ndash1149 Fukuoka Japan November 2010

[4] M J Schulte and E E Swartzlander ldquoTruncated multiplicationwith correction constantrdquo in Proceedings of the IEEE Workshopon VLSI Signal Processing VI pp 388ndash396 Veldhoven TheNetherlands October 1993

[5] E J King and E E Swartzlander ldquoData-dependent truncatedscheme for parallel multiplicationrdquo in Proceedings of the 31stAsilo-mar Conference on Signals Systems amp Computers pp1178ndash1182 Pacific Grove Calif USA November 1997

[6] J E Stine and O M Duverne ldquoVariations on truncatedmultiplicationrdquo in Proceedings of the Euromicro Symposiumon Digital System Design pp 112ndash119 Belek-Antalya TurkeySeptember 2003

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 9: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

VLSI Design 9

[7] L-D Van and C-C Yang ldquoGeneralized low-error area-efficientfixed-width multipliersrdquo IEEE Transactions on Circuits andSystems I vol 52 no 8 pp 1608ndash1619 2005

[8] N Petra D De Caro V Garofalo E Napoli and A G MStrollo ldquoTruncated binary multipliers with variable correctionand minimum mean square errorrdquo IEEE Transactions on Cir-cuits and Systems I vol 57 no 6 pp 1312ndash1325 2010

[9] M-A Song L-DVan and S-Y Kuo ldquoAdaptive low-error fixed-width Booth multipliersrdquo IEICE Transactions on Fundamentalsof Electronics Communications and Computer Sciences vol 90no 6 pp 1180ndash1187 2007

[10] K-J Cho K-C Lee J-G Chung and K K Parhi ldquoDesignof low-error fixed-width modified booth multiplierrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol12 no 5 pp 522ndash531 2004

[11] J-PWang S-R Kuang and S-C Liang ldquoHigh-accuracy fixed-width modified booth multipliers for lossy applicationsrdquo IEEETransactions on Very Large Scale Integration (VLSI) Systems vol19 no 1 pp 52ndash60 2011

[12] Y-H Chen and T-Y Chang ldquoA high-accuracy adaptiveconditional-probability estimator for fixed-width Booth multi-pliersrdquo IEEE Transactions on Circuits and Systems vol 59 no 3pp 594ndash603 2012

[13] Y-H Chen C-Y Li and T-Y Chang ldquoArea-effective andpower-efficient fixed-width boothmultipliers using generalizedprobabilistic estimation biasrdquo IEEE Journal on Emerging andSelected Topics in Circuits and Systems vol 1 no 3 pp 277ndash2882011

[14] O L MacSorley ldquoHigh-speed arithmetic in binary computersrdquoProceedings of IRE vol 49 no 1 pp 67ndash91 1961

[15] G W Bewick Fast Multiplication Algorithms and Implementa-tion Stanford University Palo Alto Calif USA 1994

[16] R Tandra and A Sahai ldquoSNR walls for signal detectionrdquo IEEEJournal on Selected Topics in Signal Processing vol 2 no 1 pp4ndash17 2008

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of

Page 10: Research Article Improved Quantization Error Compensation ...downloads.hindawi.com/archive/2014/451310.pdf · Fixed-Width Booth Multipliers XiaolongMa,JiangtaoXu,andGuicanChen ...

International Journal of

AerospaceEngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Active and Passive Electronic Components

Control Scienceand Engineering

Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

International Journal of

RotatingMachinery

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation httpwwwhindawicom

Journal ofEngineeringVolume 2014

Submit your manuscripts athttpwwwhindawicom

VLSI Design

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Shock and Vibration

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Civil EngineeringAdvances in

Acoustics and VibrationAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Advances inOptoElectronics

Hindawi Publishing Corporation httpwwwhindawicom

Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

SensorsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Chemical EngineeringInternational Journal of Antennas and

Propagation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Navigation and Observation

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

DistributedSensor Networks

International Journal of


Recommended