+ All Categories
Home > Documents > Review Article A Nanotechnology Enhancement to Moore s...

Review Article A Nanotechnology Enhancement to Moore s...

Date post: 19-Aug-2019
Category:
Upload: duonghuong
View: 213 times
Download: 0 times
Share this document with a friend
14
Hindawi Publishing Corporation Applied Computational Intelligence and Soſt Computing Volume 2013, Article ID 426962, 13 pages http://dx.doi.org/10.1155/2013/426962 Review Article A Nanotechnology Enhancement to Moore’s Law Jerry Wu, 1, 2 Yin-Lin Shen, 1, 2 Kitt Reinhardt, 3 Harold Szu, 1, 2 and Boqun Dong 1, 2 1 School of Engineering and Applied Science, e George Washington University, Washington, DC 20052, USA 2 School of Engineering, e Catholic University of America, Washington, DC 20064, USA 3 Air Force Laboratory, US Air Force Office of Scientific Research, Arlington, VA, USA Correspondence should be addressed to Jerry Wu; [email protected] Received 10 July 2012; Accepted 3 October 2012 Academic Editor: Liyi Dai Copyright © 2013 Jerry Wu et al. is is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited. Intel Moore observed an exponential doubling in the number of transistors in every 18 months through the size reduction of transistor components since 1965. In viewing of mobile computing with insatiate appetite, we explored the necessary enhancement by an increasingly maturing nanotechnology and facing the inevitable quantum-mechanical atomic and nuclei limits. Since we cannot break down the atomic size barrier, the fact implies a fundamental size limit at the atomic/nucleus scale. is means, no more simple 18-month doubling, but other forms of transistor doubling may happen at a different slope. We are particularly interested in the nano enhancement area. (i) 3 Dimensions: If the progress in shrinking the in-plane dimensions is to slow down, vertical integration can help increasing the areal device transistor density. As the devices continue to shrink into the 20 to 30nm range, the consideration of thermal properties and transport in such devices becomes increasingly important. (ii) Quantum computing: e other types of transistor material are rapidly developed in laboratories worldwide, for example, Spintronics, Nanostorage, HP display Nanotechnology, which are modifying this Law. We shall consider the limitation of phonon engineering fundamental information unit “Qubyte” in quantum computing, Nano/Micro Electrical Mechanical System (NEMS), Carbon Nanotubes, single- layer Graphenes, single-strip Nano-Ribbons, and so forth. 1. Introduction ere have been numerous papers and scientists’ experiments about the lives and deaths of Moore’s Law which are dealing with several technological issues and economics barriers. Indeed, looking at the history of integrated circuits from 1975 to 2011, a doubling of transistor counts every twenty-four months was a good estimation. is prediction, known as Moore’s Law, has become a business dictum for the whole semiconductor industry. However, “what the Moore’s Law is” and “how did it came about” are not clear. We observe that Moore’s Law has expanded beyond its original inten- tions/meaning. e definition of Moore’s Law has come to refer to almost anything related to the semiconductor industry that, when plotted on semilog paper, approximates a straight line [1]. In this work, by reviewing Moore’s Law history, inves- tigating possible barriers for Moore’s Law, and predicting potential nanotechnologies to enhance Moore’s Law, we define a roadmap of future key technologies. In addition, we also estimate the end of Moore’s Law, assuming we focus on technical capabilities. 2. Moore’s Law History Alan Turing in his 1950 paper [2] “Computing machinery and intelligence” had predicted that by the turn of the mil- lennium, we would have “computers with a storage capacity of about 10 9 ” what today we would call 128 megabytes processing speed, memory capacity, sensors, and even the number and size of pixels in digital cameras, for example, smartphone. Aſter him, Gordon Earle Moore (born January 3, 1929; UC Berkeley BS Chemistry, 1950; Caltech PhD. major in Chemistry and minor Physics, 1954) is the cofounder and Chairman Emeritus of Intel Corporation. In 1965, Moore, a founder of Fairchild Semiconductor (later Intel), observed in his famous paper [3] that “the complexity for minimum component costs has increased at a rate of roughly a factor of two per year”. Extrapolating this trend for a decade,
Transcript
Page 1: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Hindawi Publishing CorporationApplied Computational Intelligence and Soft ComputingVolume 2013 Article ID 426962 13 pageshttpdxdoiorg1011552013426962

Review ArticleA Nanotechnology Enhancement to Moorersquos Law

Jerry Wu1 2 Yin-Lin Shen1 2 Kitt Reinhardt3 Harold Szu1 2 and Boqun Dong1 2

1 School of Engineering and Applied Science The George Washington University Washington DC 20052 USA2 School of Engineering The Catholic University of America Washington DC 20064 USA3Air Force Laboratory US Air Force Office of Scientific Research Arlington VA USA

Correspondence should be addressed to Jerry Wu clwugwuedu

Received 10 July 2012 Accepted 3 October 2012

Academic Editor Liyi Dai

Copyright copy 2013 Jerry Wu et alThis is an open access article distributed under the Creative Commons Attribution License whichpermits unrestricted use distribution and reproduction in any medium provided the original work is properly cited

Intel Moore observed an exponential doubling in the number of transistors in every 18 months through the size reduction oftransistor components since 1965 In viewing of mobile computing with insatiate appetite we explored the necessary enhancementby an increasingly maturing nanotechnology and facing the inevitable quantum-mechanical atomic and nuclei limits Since wecannot break down the atomic size barrier the fact implies a fundamental size limit at the atomicnucleus scaleThismeans nomoresimple 18-month doubling but other forms of transistor doubling may happen at a different slope We are particularly interestedin the nano enhancement area (i) 3 Dimensions If the progress in shrinking the in-plane dimensions is to slow down verticalintegration can help increasing the areal device transistor density As the devices continue to shrink into the 20 to 30 nm rangethe consideration of thermal properties and transport in such devices becomes increasingly important (ii) Quantum computingThe other types of transistor material are rapidly developed in laboratories worldwide for example Spintronics NanostorageHP display Nanotechnology which are modifying this Law We shall consider the limitation of phonon engineering fundamentalinformation unit ldquoQubyterdquo in quantum computing NanoMicro ElectricalMechanical System (NEMS) CarbonNanotubes single-layer Graphenes single-strip Nano-Ribbons and so forth

1 Introduction

There have been numerous papers and scientistsrsquo experimentsabout the lives and deaths of Moorersquos Law which are dealingwith several technological issues and economics barriersIndeed looking at the history of integrated circuits from 1975to 2011 a doubling of transistor counts every twenty-fourmonths was a good estimation This prediction known asMoorersquos Law has become a business dictum for the wholesemiconductor industry However ldquowhat the Moorersquos Lawisrdquo and ldquohow did it came aboutrdquo are not clear We observethat Moorersquos Law has expanded beyond its original inten-tionsmeaning The definition of Moorersquos Law has cometo refer to almost anything related to the semiconductorindustry that when plotted on semilog paper approximatesa straight line [1]

In this work by reviewing Moorersquos Law history inves-tigating possible barriers for Moorersquos Law and predictingpotential nanotechnologies to enhance Moorersquos Law wedefine a roadmap of future key technologies In addition we

also estimate the end of Moorersquos Law assuming we focus ontechnical capabilities

2 Moorersquos Law History

Alan Turing in his 1950 paper [2] ldquoComputing machineryand intelligencerdquo had predicted that by the turn of the mil-lennium we would have ldquocomputers with a storage capacityof about 109rdquo what today we would call 128 megabytesprocessing speed memory capacity sensors and even thenumber and size of pixels in digital cameras for examplesmartphone After him Gordon Earle Moore (born January3 1929 UC Berkeley BS Chemistry 1950 Caltech PhD majorin Chemistry and minor Physics 1954) is the cofounder andChairman Emeritus of Intel Corporation In 1965 Moore afounder of Fairchild Semiconductor (later Intel) observedin his famous paper [3] that ldquothe complexity for minimumcomponent costs has increased at a rate of roughly a factorof two per yearrdquo Extrapolating this trend for a decade

2 Applied Computational Intelligence and Soft Computing

16151413121110

9876543210

1959

1960

1961

1962

1963

1964

1965

1966

1967

1968

1969

1970

1971

1972

1973

1974

1975

Year

inte

grat

ed fu

nctio

nal

log 2

of th

e num

ber o

f com

pone

nts p

er

Figure 1 Moorersquos prediction in 1965 of the doubling of the numberof minimum cost components on a chip per year with extrapolatedto 1975 [3]

Moore predicted that chips with 65000 components wouldbe available by 1975 This observation of exponential growthin circuit density has proven to be one of the greatest examplesof prescience in modern era

Moore then refined his component count estimation in1975 to a doubling every twenty-four months and thus areduced exponential growth compared to his initial estima-tion in 1965 [4] Based on the history of integrated circuitsfrom 1975 to 2008 a doubling of transistor counts every twoyears was a good estimation

This prediction known as Moorersquos Law has become aremarkable evolutionary trend for the whole semiconductorindustry

Indeed Moore himself already observed in 1995 that thesemiconductor industry cannot continue its fast exponentialgrowth indefinitely since it would exceed the gross worldproduct (GWP) at some time In the meantime lots ofpublications deal with technological limitations to MoorersquosLaw for example [5]

3 Current Barrier of Moorersquos Law

Gordon Moorersquos prediction is that the density of transistorsand computing power doubles every twenty-four monthswhich has held since there were fewer than 100 transistors inan integrated circuit Up to todayrsquos many millions of transis-tors on a single-integrated computer chip are still followedthis trend This amazing prediction has encouraged someauthors to state that ldquoperiodically people predict the deathof Moorersquos Law They state that Moorersquos Law eventually willend because of some future technological or scientific barrierHowever to date engineers and scientists have found a wayaround these problems and Moorersquos Law continues to bean accurate means of predicting the future development oftechnologyrdquo [6]

In this paper we discuss the possible barrier of MoorersquosLaw then follow by the possible technologies that mayenhance Moorrsquos Law

31 Performance Demand of Processor Intel CTO JustinRattner recently stated in an interview with Network Worldthat Moorersquos Law will likely be the rule for many decades tocome ldquoIf Moorersquos Law is simply a measure of the increase inthe number of electronic devices per chip then Moorersquos Lawhas muchmore time to go probably decadesrdquo he is quoted assaying

Figure 2 shows the technology node from 130 nm to22 nm announced recently versus the performance of thesemiconductor chips The gate length keeps on shrinkingas the technology node decreases As what most peopleexpected for past few decades the performance or the speedof the designed semiconductor chips should be increasedas well However we can observe from this figure that theperformance was in contrast decreased after technologynode reached 65 nm

The major reasons for this result are mainly from thefollowing current leakage power consumption and heatsink These factors will limit the modern consumer demandproducts such as smartphone laptop and flat-panel device

The drivers for technology development fall into two top-level categories push and pull As the electronics have grownto become a $2 trillion USA industry as well as an enabler forproductivity and growth in all areas of economic activity themobile devices are obviously the major push drivers for theeconomic However these push drivers in contract becomethe pull drivers for performance of the semiconductor chipsdue to the following major factors low cost mobility andlow power First of all the major characters of modernmobile devices are huge amount of end user and short recycletime This will limit the unit cost of the mobile deviceHence the cost of research and development manufacturetexting and packing will also be limited Secondly the othercharacter of modern mobile devices is mobility This willlimit the weight of the mobile device However it increasesthe requirements for wireless communication module suchas WiFi Bluetooth GPS and 3G4G communication insidethese devices Therefore a complex tradeoff between costweight and performance will need to be seriously consideredin modern mobile device industry In other words when wediscuss Moorersquos Law it is not just simply a measure of theincrease in the number of electronic devices per chip

32 Power SourceConsumption and Heat Sink As mobiledevice industry keeps growing up energy is always one of themost important issues in this centuryTherefore research anddevelopment of new energy storage materials and devices arereceiving worldwide concern and increasing research interest[7] Graphene a unique two-dimensional carbon materialis predicted to be an excellent electrode material candidatefor energy conversionstorage systems because of its high-specific surface area good chemical stability excellent elec-trical and thermal conductivity as well as remarkably highmechanical strength and Youngrsquos modulus

Applied Computational Intelligence and Soft Computing 3

9

7

5

3

1180 130 90 65 45 32 22

Perfo

rman

ce (a

u)

Gat

e len

gth

(nm

)

Technology node (nm)

100

80

60

40

20

Performance targetPerformance

Projected performanceGate length (nm)

Performance

Figure 2 Performance demand of processor [5]

Indeed increasing the battery performance can providemore room for the performance of processor However thegenerated heat will become another barrier for the mobiledevice development especially for the smartphone flat-panelPC and Ultra-Book which do not allow a build-in fanstructure These limitations will become another factor tofurther limit Moorersquos Law in the future

33 Tunneling Effect Semiconductor manufacturers will beable to produce chips on the 14 nm manufacturing processexpected by conservative estimates to arrive in 2018Howeversemiconductor makers will not be able to shrink transistorsmuch if at all beyond 2021 according to a new paperfrom Intel [8] Transistors are essentially microscopic onoffswitches that consist of a source (S) where electrons comefrom a drain (D) where the electrons target to and a gate (G)that mainly controls the flow of electrons through a channelthat connects the source and the drain When the length ofthe gate gets smaller than 5 nanometers however tunnelingeffect will begin to kick into play Electrons will simply passthrough the channel on their own without any driver voltagebecause the source and the drain will be extremely closeTherefore a transistor becomes unreliable as a source of basicdata because the probability of spontaneous transmission isabout 50 percent In other words Heisenbergrsquos uncertaintyprinciple is in action because the location of the electronscannot be accurately predicted based on Heisenbergrsquos uncer-tainty principle

On a two-year cycle based on Moorersquos Law this wouldmean that 16-nanometer chips would appear in 2013 with thebarriers preventing new smaller chips in 2015

Semiconductor manufacturers however have had todelay the introduction of newprocesses recently around 2012Using a three-year calendar 5-nanometer chips will not hituntil 2018 or 2019 based on the new technology progressupdate history putting a barrier generation at about 2021TheITRS timetable will provide more details about the differentmanufacturing technologies for a given year

However the tunneling effects will occur regardless of thechemistry of the transistormaterials Several researchers overthe years have predicted the end ofMoorersquos Law but made themistake of extrapolating on the basis of existing materials

34 The Quantum Limit to Moorersquos Law Gordon Moorehimself stated during an interview September 18 2007 atIntelrsquos twice-annual technical conference that we will soonbe bumping against the laws of physics ldquoanother decade adecade and a half I thinkwersquoll hit something fairly fundamen-talrdquo

Since this involves a physics limit (in his words) hewent on to quote Stephen Hawking during his visit to Intelin 2005 ldquoWhen Stephen Hawking was asked what are thefundamental limits to microelectronics he said the speed oflight and the atomic nature of matterrdquo [9] Determining anultimate physics limit toMoorersquos Lawwouldmark out a futureboundary to electronics miniaturization

A calculation of the quantum limit to Moorersquos Law wasconducted by writing Moorersquos Law in equation form as [5]

1198992= 11989912[(1199102minus1199101)2]

(1)

This equation predicts the number 1198992of transistors or

equivalent computing power in any given year 1199102from the

number 1198991of transistors in any other earlier year 119910

1[5]

From the definition of Moorersquos Law we know thatthe characteristic dimension or length 119871 of a transistor isinversely proportional to the number of transistors 119899 on anIC If the measurement of 119899 is in ldquonumber per meterrdquo thenfrom dimensional analysis themeasurement of 119871 is inmeters(m) or equivalently 1 = 119871 is the number per meter just as in(1)

We can then rewrite (1) as

1

1198712

minus (

1

1198711

) 2[(1199102minus1199101)2]

(2)

The characteristic dimension of an electron fromHeisen-berg uncertainty is the Compton wavelength [10] 120582

119888=

ℎ119898119890119888 = 24263 times 10

minus12m based on Planckrsquos constant ℎ themass of the electron119898

119890 and the speed of light 119888

The Compton wavelength of the electron is the funda-mental limit to measuring its position based on quantummechanics and special relativity or the length scale where arelativistic quantum field theory is necessary for an adequatedescription [11] The Compton wavelength is therefore thefundamental boundary to determining the position (or spin)of a particle which satisfies the Stephen Hawking predictionthat this limit would be based on the speed of light and theatomic nature of matter since 119888 is determined by 120582

119888 119898119890 and

ℎ [5] Rewriting (2) using the year of 2008 with availabletechnology transistor feature size and Compton wavelength24263 times 10

minus12m or 000243 nm

(24263 times 10minus12m)

minus1

= (0045 times 10minus6m)

minus1

2[(1199102minus2008)2]

(3)

4 Applied Computational Intelligence and Soft Computing

Solving for the exponent Δ119910 = (1199102minus 2008) using the natural

log function we end up to have

1199101= 2836119910 + 2008 = year 2036 (4)

This is the quantum limit year predicted by MoorersquosLaw if electrons were implemented as the smallest quantumcomputing transistor elements [5]

35 The Economic Limit to Moorersquos Law The higher compo-nent density has led to a decrease in end consumer pricesHowever the costs for producers follow a converse trendresearch and development manufacture and tests becomemore and more expensive with each new generation Thisobservation is known as Rockrsquos Law and sometimes alsoreferred to as Moorersquos Second Law [12] fabrication facility(fab) costs also follow an exponential growth Despite thisexponential growth of facility costs the cost per shipped unitdecreases at an exponential rate Karl Rupp first investigatedeconomic limitations to the semiconductor business A sum-mary of their results has already been published in [13] Karlthen found out If costs for a single fab are atmost 002 of theGWP (ie 120576 = 00002) a reduced growth of transistor countsper chip for economic reasons is likely to happen around 2020as shown in Figure 3

36 On-Board Limit toMoorersquos Law There have been numer-ous papers and discussions about the lives and deaths ofMoorersquos Law all of them dealing with several technologicalquestions inside semiconductor chip However any semicon-ductor chip cannot exist along without PCB board no matterflexible or not

Higher bandwidth has becomemore important than everin todayrsquos computing systems Personal computers routersswitches and game consoles all require higher bandwidth tomeet the increasing performance demand of new applica-tions Moreover the continuous scaling of integrated circuittechnology confirming Moorersquos prediction over the recentyears has resulted in massive computational capacity andhence data processing capability which in turn has createdthe demand for high-speed communication across differentcomponents in a system [14]These systems extend to opticalcommunication networks spanning across the globe but allcome down to chip-to-chip communication in a single board[15] The massive flux of information in and out of the chiphas caused simple inputoutput (IO) drivers to be replacedwith sophisticated high-speed circuits which in turn dependon reliable high bandwidth channels

Channel design which was conveniently and justifiablyignored at lower frequencies has become a major bottleneckfor high-speed communication The increase in data rates tothe tens of Giga bits per second (Gbps) region has promptedmore careful signal integrity considerations in the design ofthe channel from the transmitter of one chip to the receiveron the next The decrease in wavelength size due to higherfrequency signaling has caused the once short electricallengths of different components to become significant dueto transmission line delays loss and signal coupling in thesecomponents [16]

10000

1000

100

10

12005 2010 2015 2020 2025 2030

Year

Billi

on tr

ansis

tors

per

chip

GWP limited growth of transistor counts per chip

Actual growthMoorersquos lawGWP-limited

Figure 3 If costs for a single fab are at most 002 of the GWP(ie 120576 = 00002) a reduced growth of transistor counts per chip foreconomic reasons is likely to happen around 2020 [13]

Therefore on-board transmission line would become aremarkable bottleneck for the inputoutput of the semicon-ductor design

In addition another possible on-board barrier would beon the other end of the transmission line as we discussedabove that is the state-of-the-art analog to digital conversion(ADC) devices

ADC devices translate physical information into a streamof numbers enabling digital processing by sophisticatedsoftware algorithms The ADC task is inherently intricate itshardware must hold a snapshot of a fast-varying input signalsteady while acquiring measurements Since these measure-ments are spaced in time the values between consecutivesnapshots are lost In general therefore there is no way torecover the analog input unless some prior on its structureis incorporated [17] A common approach in engineeringis to assume that the signal is bandlimited meaning thatthe spectral contents are confined to a maximal frequency119891-max Bandlimited signals have limited (hence slow) timevariation and can therefore be perfectly reconstructed fromequispaced samples with a rate at least 2 times119891-max termedtheNyquist rateThis fundamental result is often attributed inthe engineering community to Shannon-Nyquist [18]

Uniform sampling ADC devices are the most commontechnology in the market Figure 4 maps off-the shelfADC devices according to their sampling rate The ADCindustry has perpetually followed the Nyquist paradigmmdashthe datasheets of all the devices that are reported in thefigure highlight the conversion speed referring to uniformsampling of the input The industry is continuously strivingto increase the possible uniform conversion rates

Therefore the ADC devices on the user inputoutputsides could become another possible barrier of the semicon-ductor design This barrier may happen sooner when thehigher quality of video and audio is demanded as well as

Applied Computational Intelligence and Soft Computing 5

32

28

24

20

16

12

8

4

00 1 2 3 4 5 6 7 8 9 10 11 12

Stat

ed n

umbe

r of b

its

National instrumentsTexas instruments

Analog devicesMaxim

State-of-the-artNyquist ADCs

Sampling rate (log10(sampless))

State of the arttate of the arte t r

Figure 4 ADC technology stated number of bits versus samplingrate A map of more than 1200 ADC devices from four leadingmanufacturers according to online datasheets [17]

the higher speed requirement for wireless communication ofmobile device such as smartphone flat-panel PC and laptop

37 Mobile Device-Driven Industry With the developing ofthe mobile devices especially smartphones and multimediaMobile (MMM) phones more functionalities faster down-load speed are becoming the main demands of customersAs the result mobile market does not only depend on betterhardware but also matter of bandwidth and frequencies Itis shown in Figure 5 below that mobile bandwidth (TB permonth) grows extremely fast since 2011 [19] We can findthat different media share the whole bandwidth usage Sothe sharing and cross talk among billions of users requirebandwidth sharing strategies

In addition video will account for 64 of mobile trafficby 2013 and mobile data traffic will be more than doubleevery year through 2013 In 2013most importantmobile datatraffic will be more than 66 times greater than mobile datatraffic in 2008

With the fast development of new technology electronicdevices tend to be smaller and more efficient The marketdeveloped from PC to laptop and palm all the way to cellphone and smart phone Mobile devices such as smartphoneand tablet computers are becoming more popular than everIn most countries the occupation ratio of mobile device ismuch higher than that of PC As shown in Figure 6 globalInternet users will double over the next few years most ofwhich will be mobile devices [20]

Due to the global Internet devices sales research in afew years the number of the mobile devices will dwarf thenumber of PCs It is shown in Figure 7 that PC sales curvewill become flat few years later while smartphone and tabletsales will go up straight to the top [21]

The total global mobile phone market is expected to beworth $3414 billion by 2015 while smartphone will occupy758 of the overall mobile sales market in the same year

TB p

er m

onth

DataP2P

VideoAudio

2008 2009 2010 2011 2012 2013

2500000

2000000

1500000

1000000

500000

0

Figure 5 Mobile device bandwidth trend

3000

2500

2000

1500

1000

500

02005 2010 2015

FixedMobile

Fixed

G-20 Internet access

BI intelligence

Con

sum

er b

road

band

conn

ectio

ns(m

illio

ns)

Fixed

Mobile

Figure 6 Global Internet connections in million

However the fast development ofmobile deviceswill haveimpact onMoorersquos Law which is a crucial factor in electronicmanufactory fields What is actually happening is that thereis a race for mobile devices market in demand now versusthe realities of Moorersquos Law The law which states that thenumber of transistors that can be placed inexpensively onan integrated circuit doubles approximately every two yearsand the performance will double in the same period Thislaw has remained true over the last 40 years driven thetechnology industry and has enabled computing devices toget cheaper smaller and more powerful and hence delivermore functionality By prediction Moorersquos Law will notremain fully precise in next decades due to the restriction ofpower consumption size and price

371 Mobile Device-Driven Industry SizeWeight Todaymobile device is becoming smaller and lighter to meet theusersrsquo requirements and the most advanced logic technologynode in production is 22 nm in 2012 and the target for

6 Applied Computational Intelligence and Soft Computing

BI intelligence

Personal computers

Uni

ts We are here

Tablets

Smartphones

times108

2000 2002 2004 2006 2008 2010 2012 2014 2016

Global Internet device sales

0

30

25

20

15

10

5

Figure 7 Global Internet device sales

2013 will be 14 nm With feature sizes below 100 nm silicontechnology has entered the realm of nanotechnology andcontinuing true Moorersquos Law becomes more and more diffi-cult and requires new structures materials and technology

The three important factors to reduce size are lithographyscalability of the planar CMOS transistor and performancedegradation due to pitch scaling But we can predict thatthe trend of pitch will slow and stop during the next 10years because the size cannot be half separated infinitelydue to the physical rules Addressing the lithography toolroadmap here the classical pitch size for a given lithographysingle exposure is a straight-forward consequence of thediffraction-limited resolution of the projection optics Thelateral optical resolution is given by the quotient of theillumination wavelength 120582 and the numerical aperture NAof the projection optics according to the famous Rayleighformula Δ119909 = 119896

1(120582NA) where 119896

1is a process factor

determined by the exact details of the optical systemIn the past years each of these factors has been addressed

to increase the attainable resolution of a photolithographysystem and finally reached their limitations [22] To patternfiner pitch the industry solution is now either double pat-tering or DUV However for double pattering it will costextra processing challengingwhen smaller than 22 nmwhichresults in a cost issue Also for DUV (deep-UV) methodit has approached a technology limit of 193 nm [23] As aresultMoorersquos Lawwill not be continuing forever due to theselimitations

372 Mobile Device-Driven Industry Market Price As dis-cussed above in Section 35 smaller size will cause cost issuesAt the same time to secure the market of mobile deviceseconomic factors must be considered for each vendor Thismeans that if cost continues to grow for cooler functionalitiesthe growing market price will limit the development pre-dicted by Moorersquos Law This is because there is a relationshipbetween supply and demand in economic area For exampleif price increases the number of consumers will decrease andthen the number of products will reduce In his research asdiscussed in Section 35 Karl Rupp pointed out that MoorersquosLaw would be slowed down due to the limitation of GWP(gross world product) around 2020 as shown in Figure 3

11980 1988 1996 2004 2012 2020

Algorithmic complexity(Shannonrsquos law)

Processor performance

Battery capacity1G

2G

3G10000000

1000000

100000

10000

1000

100

10

(simMoorersquos law)

Figure 8 Shannonrsquos Lay versus Moorersquos Law

373 Mobile Device Driven Industry Power Consumptionand Shannonrsquos Law According to Moorersquos Law the size oftransistor should be half every 2 years as discussed aboveBut when getting minimized the physical characters will bechanged a lot for nanoscale transistors It will introduce a lotof new leakage mechanisms such as gate tunneling leakagejunction tunneling leakage and subthreshold leakage In thissituation to control the leakage power and dynamic powerpower management IC will be introduced to SoC [24] It isinferred here that the total number of functional transistorswill not be to double due to the involved power managementcircuit

For mobile system the freedom fully depends on theenergy provided by the batteries As batteries can store a fixedamount of energy the devicesrsquo operation time is limited aswell [25] and the operation time becomes a significant factorfor users because of the crammed up functionalities So themain limiting factor in many portable device designs is nothardware or software but instead how much power can bedelivered by a battery

However research [26] states that although in the past20 years system power consumption stays the same in everytransistor-double technology generation cycle in the next 20years power consumption will become a critical issue whichwill limit transistorrsquos performance As a result if we use powermanagement technology to reduce power consumption asdiscussed above the total number of functional transistorswill not be doubled If we do not use low-power design tosolve this issue then the fact that battery energy capacity fora given volume doubles only once per decade as shown inFigure 8 will conflict with Moorersquos Law In a word no matterwhich solution we choose it will make Moorersquos Law trendgoes down in the future

Figure 8 summarizes the key challenges facing themobiledevice industry which describes the gap among algorithmiccomplexity processor performance and the prediction ofbattery capacity Algorithmic complexity which is defined byShannonrsquos Law tells the maximum rate at which informationcan be transmitted over a communications channel of aspecified bandwidth in the presence of noise It predicts thatthe transmission performance improves two times in 85months while processor performance improves two times in18 months In addition it takes battery makers 5 to 10 years toachieve comparable increase in power density

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 2: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

2 Applied Computational Intelligence and Soft Computing

16151413121110

9876543210

1959

1960

1961

1962

1963

1964

1965

1966

1967

1968

1969

1970

1971

1972

1973

1974

1975

Year

inte

grat

ed fu

nctio

nal

log 2

of th

e num

ber o

f com

pone

nts p

er

Figure 1 Moorersquos prediction in 1965 of the doubling of the numberof minimum cost components on a chip per year with extrapolatedto 1975 [3]

Moore predicted that chips with 65000 components wouldbe available by 1975 This observation of exponential growthin circuit density has proven to be one of the greatest examplesof prescience in modern era

Moore then refined his component count estimation in1975 to a doubling every twenty-four months and thus areduced exponential growth compared to his initial estima-tion in 1965 [4] Based on the history of integrated circuitsfrom 1975 to 2008 a doubling of transistor counts every twoyears was a good estimation

This prediction known as Moorersquos Law has become aremarkable evolutionary trend for the whole semiconductorindustry

Indeed Moore himself already observed in 1995 that thesemiconductor industry cannot continue its fast exponentialgrowth indefinitely since it would exceed the gross worldproduct (GWP) at some time In the meantime lots ofpublications deal with technological limitations to MoorersquosLaw for example [5]

3 Current Barrier of Moorersquos Law

Gordon Moorersquos prediction is that the density of transistorsand computing power doubles every twenty-four monthswhich has held since there were fewer than 100 transistors inan integrated circuit Up to todayrsquos many millions of transis-tors on a single-integrated computer chip are still followedthis trend This amazing prediction has encouraged someauthors to state that ldquoperiodically people predict the deathof Moorersquos Law They state that Moorersquos Law eventually willend because of some future technological or scientific barrierHowever to date engineers and scientists have found a wayaround these problems and Moorersquos Law continues to bean accurate means of predicting the future development oftechnologyrdquo [6]

In this paper we discuss the possible barrier of MoorersquosLaw then follow by the possible technologies that mayenhance Moorrsquos Law

31 Performance Demand of Processor Intel CTO JustinRattner recently stated in an interview with Network Worldthat Moorersquos Law will likely be the rule for many decades tocome ldquoIf Moorersquos Law is simply a measure of the increase inthe number of electronic devices per chip then Moorersquos Lawhas muchmore time to go probably decadesrdquo he is quoted assaying

Figure 2 shows the technology node from 130 nm to22 nm announced recently versus the performance of thesemiconductor chips The gate length keeps on shrinkingas the technology node decreases As what most peopleexpected for past few decades the performance or the speedof the designed semiconductor chips should be increasedas well However we can observe from this figure that theperformance was in contrast decreased after technologynode reached 65 nm

The major reasons for this result are mainly from thefollowing current leakage power consumption and heatsink These factors will limit the modern consumer demandproducts such as smartphone laptop and flat-panel device

The drivers for technology development fall into two top-level categories push and pull As the electronics have grownto become a $2 trillion USA industry as well as an enabler forproductivity and growth in all areas of economic activity themobile devices are obviously the major push drivers for theeconomic However these push drivers in contract becomethe pull drivers for performance of the semiconductor chipsdue to the following major factors low cost mobility andlow power First of all the major characters of modernmobile devices are huge amount of end user and short recycletime This will limit the unit cost of the mobile deviceHence the cost of research and development manufacturetexting and packing will also be limited Secondly the othercharacter of modern mobile devices is mobility This willlimit the weight of the mobile device However it increasesthe requirements for wireless communication module suchas WiFi Bluetooth GPS and 3G4G communication insidethese devices Therefore a complex tradeoff between costweight and performance will need to be seriously consideredin modern mobile device industry In other words when wediscuss Moorersquos Law it is not just simply a measure of theincrease in the number of electronic devices per chip

32 Power SourceConsumption and Heat Sink As mobiledevice industry keeps growing up energy is always one of themost important issues in this centuryTherefore research anddevelopment of new energy storage materials and devices arereceiving worldwide concern and increasing research interest[7] Graphene a unique two-dimensional carbon materialis predicted to be an excellent electrode material candidatefor energy conversionstorage systems because of its high-specific surface area good chemical stability excellent elec-trical and thermal conductivity as well as remarkably highmechanical strength and Youngrsquos modulus

Applied Computational Intelligence and Soft Computing 3

9

7

5

3

1180 130 90 65 45 32 22

Perfo

rman

ce (a

u)

Gat

e len

gth

(nm

)

Technology node (nm)

100

80

60

40

20

Performance targetPerformance

Projected performanceGate length (nm)

Performance

Figure 2 Performance demand of processor [5]

Indeed increasing the battery performance can providemore room for the performance of processor However thegenerated heat will become another barrier for the mobiledevice development especially for the smartphone flat-panelPC and Ultra-Book which do not allow a build-in fanstructure These limitations will become another factor tofurther limit Moorersquos Law in the future

33 Tunneling Effect Semiconductor manufacturers will beable to produce chips on the 14 nm manufacturing processexpected by conservative estimates to arrive in 2018Howeversemiconductor makers will not be able to shrink transistorsmuch if at all beyond 2021 according to a new paperfrom Intel [8] Transistors are essentially microscopic onoffswitches that consist of a source (S) where electrons comefrom a drain (D) where the electrons target to and a gate (G)that mainly controls the flow of electrons through a channelthat connects the source and the drain When the length ofthe gate gets smaller than 5 nanometers however tunnelingeffect will begin to kick into play Electrons will simply passthrough the channel on their own without any driver voltagebecause the source and the drain will be extremely closeTherefore a transistor becomes unreliable as a source of basicdata because the probability of spontaneous transmission isabout 50 percent In other words Heisenbergrsquos uncertaintyprinciple is in action because the location of the electronscannot be accurately predicted based on Heisenbergrsquos uncer-tainty principle

On a two-year cycle based on Moorersquos Law this wouldmean that 16-nanometer chips would appear in 2013 with thebarriers preventing new smaller chips in 2015

Semiconductor manufacturers however have had todelay the introduction of newprocesses recently around 2012Using a three-year calendar 5-nanometer chips will not hituntil 2018 or 2019 based on the new technology progressupdate history putting a barrier generation at about 2021TheITRS timetable will provide more details about the differentmanufacturing technologies for a given year

However the tunneling effects will occur regardless of thechemistry of the transistormaterials Several researchers overthe years have predicted the end ofMoorersquos Law but made themistake of extrapolating on the basis of existing materials

34 The Quantum Limit to Moorersquos Law Gordon Moorehimself stated during an interview September 18 2007 atIntelrsquos twice-annual technical conference that we will soonbe bumping against the laws of physics ldquoanother decade adecade and a half I thinkwersquoll hit something fairly fundamen-talrdquo

Since this involves a physics limit (in his words) hewent on to quote Stephen Hawking during his visit to Intelin 2005 ldquoWhen Stephen Hawking was asked what are thefundamental limits to microelectronics he said the speed oflight and the atomic nature of matterrdquo [9] Determining anultimate physics limit toMoorersquos Lawwouldmark out a futureboundary to electronics miniaturization

A calculation of the quantum limit to Moorersquos Law wasconducted by writing Moorersquos Law in equation form as [5]

1198992= 11989912[(1199102minus1199101)2]

(1)

This equation predicts the number 1198992of transistors or

equivalent computing power in any given year 1199102from the

number 1198991of transistors in any other earlier year 119910

1[5]

From the definition of Moorersquos Law we know thatthe characteristic dimension or length 119871 of a transistor isinversely proportional to the number of transistors 119899 on anIC If the measurement of 119899 is in ldquonumber per meterrdquo thenfrom dimensional analysis themeasurement of 119871 is inmeters(m) or equivalently 1 = 119871 is the number per meter just as in(1)

We can then rewrite (1) as

1

1198712

minus (

1

1198711

) 2[(1199102minus1199101)2]

(2)

The characteristic dimension of an electron fromHeisen-berg uncertainty is the Compton wavelength [10] 120582

119888=

ℎ119898119890119888 = 24263 times 10

minus12m based on Planckrsquos constant ℎ themass of the electron119898

119890 and the speed of light 119888

The Compton wavelength of the electron is the funda-mental limit to measuring its position based on quantummechanics and special relativity or the length scale where arelativistic quantum field theory is necessary for an adequatedescription [11] The Compton wavelength is therefore thefundamental boundary to determining the position (or spin)of a particle which satisfies the Stephen Hawking predictionthat this limit would be based on the speed of light and theatomic nature of matter since 119888 is determined by 120582

119888 119898119890 and

ℎ [5] Rewriting (2) using the year of 2008 with availabletechnology transistor feature size and Compton wavelength24263 times 10

minus12m or 000243 nm

(24263 times 10minus12m)

minus1

= (0045 times 10minus6m)

minus1

2[(1199102minus2008)2]

(3)

4 Applied Computational Intelligence and Soft Computing

Solving for the exponent Δ119910 = (1199102minus 2008) using the natural

log function we end up to have

1199101= 2836119910 + 2008 = year 2036 (4)

This is the quantum limit year predicted by MoorersquosLaw if electrons were implemented as the smallest quantumcomputing transistor elements [5]

35 The Economic Limit to Moorersquos Law The higher compo-nent density has led to a decrease in end consumer pricesHowever the costs for producers follow a converse trendresearch and development manufacture and tests becomemore and more expensive with each new generation Thisobservation is known as Rockrsquos Law and sometimes alsoreferred to as Moorersquos Second Law [12] fabrication facility(fab) costs also follow an exponential growth Despite thisexponential growth of facility costs the cost per shipped unitdecreases at an exponential rate Karl Rupp first investigatedeconomic limitations to the semiconductor business A sum-mary of their results has already been published in [13] Karlthen found out If costs for a single fab are atmost 002 of theGWP (ie 120576 = 00002) a reduced growth of transistor countsper chip for economic reasons is likely to happen around 2020as shown in Figure 3

36 On-Board Limit toMoorersquos Law There have been numer-ous papers and discussions about the lives and deaths ofMoorersquos Law all of them dealing with several technologicalquestions inside semiconductor chip However any semicon-ductor chip cannot exist along without PCB board no matterflexible or not

Higher bandwidth has becomemore important than everin todayrsquos computing systems Personal computers routersswitches and game consoles all require higher bandwidth tomeet the increasing performance demand of new applica-tions Moreover the continuous scaling of integrated circuittechnology confirming Moorersquos prediction over the recentyears has resulted in massive computational capacity andhence data processing capability which in turn has createdthe demand for high-speed communication across differentcomponents in a system [14]These systems extend to opticalcommunication networks spanning across the globe but allcome down to chip-to-chip communication in a single board[15] The massive flux of information in and out of the chiphas caused simple inputoutput (IO) drivers to be replacedwith sophisticated high-speed circuits which in turn dependon reliable high bandwidth channels

Channel design which was conveniently and justifiablyignored at lower frequencies has become a major bottleneckfor high-speed communication The increase in data rates tothe tens of Giga bits per second (Gbps) region has promptedmore careful signal integrity considerations in the design ofthe channel from the transmitter of one chip to the receiveron the next The decrease in wavelength size due to higherfrequency signaling has caused the once short electricallengths of different components to become significant dueto transmission line delays loss and signal coupling in thesecomponents [16]

10000

1000

100

10

12005 2010 2015 2020 2025 2030

Year

Billi

on tr

ansis

tors

per

chip

GWP limited growth of transistor counts per chip

Actual growthMoorersquos lawGWP-limited

Figure 3 If costs for a single fab are at most 002 of the GWP(ie 120576 = 00002) a reduced growth of transistor counts per chip foreconomic reasons is likely to happen around 2020 [13]

Therefore on-board transmission line would become aremarkable bottleneck for the inputoutput of the semicon-ductor design

In addition another possible on-board barrier would beon the other end of the transmission line as we discussedabove that is the state-of-the-art analog to digital conversion(ADC) devices

ADC devices translate physical information into a streamof numbers enabling digital processing by sophisticatedsoftware algorithms The ADC task is inherently intricate itshardware must hold a snapshot of a fast-varying input signalsteady while acquiring measurements Since these measure-ments are spaced in time the values between consecutivesnapshots are lost In general therefore there is no way torecover the analog input unless some prior on its structureis incorporated [17] A common approach in engineeringis to assume that the signal is bandlimited meaning thatthe spectral contents are confined to a maximal frequency119891-max Bandlimited signals have limited (hence slow) timevariation and can therefore be perfectly reconstructed fromequispaced samples with a rate at least 2 times119891-max termedtheNyquist rateThis fundamental result is often attributed inthe engineering community to Shannon-Nyquist [18]

Uniform sampling ADC devices are the most commontechnology in the market Figure 4 maps off-the shelfADC devices according to their sampling rate The ADCindustry has perpetually followed the Nyquist paradigmmdashthe datasheets of all the devices that are reported in thefigure highlight the conversion speed referring to uniformsampling of the input The industry is continuously strivingto increase the possible uniform conversion rates

Therefore the ADC devices on the user inputoutputsides could become another possible barrier of the semicon-ductor design This barrier may happen sooner when thehigher quality of video and audio is demanded as well as

Applied Computational Intelligence and Soft Computing 5

32

28

24

20

16

12

8

4

00 1 2 3 4 5 6 7 8 9 10 11 12

Stat

ed n

umbe

r of b

its

National instrumentsTexas instruments

Analog devicesMaxim

State-of-the-artNyquist ADCs

Sampling rate (log10(sampless))

State of the arttate of the arte t r

Figure 4 ADC technology stated number of bits versus samplingrate A map of more than 1200 ADC devices from four leadingmanufacturers according to online datasheets [17]

the higher speed requirement for wireless communication ofmobile device such as smartphone flat-panel PC and laptop

37 Mobile Device-Driven Industry With the developing ofthe mobile devices especially smartphones and multimediaMobile (MMM) phones more functionalities faster down-load speed are becoming the main demands of customersAs the result mobile market does not only depend on betterhardware but also matter of bandwidth and frequencies Itis shown in Figure 5 below that mobile bandwidth (TB permonth) grows extremely fast since 2011 [19] We can findthat different media share the whole bandwidth usage Sothe sharing and cross talk among billions of users requirebandwidth sharing strategies

In addition video will account for 64 of mobile trafficby 2013 and mobile data traffic will be more than doubleevery year through 2013 In 2013most importantmobile datatraffic will be more than 66 times greater than mobile datatraffic in 2008

With the fast development of new technology electronicdevices tend to be smaller and more efficient The marketdeveloped from PC to laptop and palm all the way to cellphone and smart phone Mobile devices such as smartphoneand tablet computers are becoming more popular than everIn most countries the occupation ratio of mobile device ismuch higher than that of PC As shown in Figure 6 globalInternet users will double over the next few years most ofwhich will be mobile devices [20]

Due to the global Internet devices sales research in afew years the number of the mobile devices will dwarf thenumber of PCs It is shown in Figure 7 that PC sales curvewill become flat few years later while smartphone and tabletsales will go up straight to the top [21]

The total global mobile phone market is expected to beworth $3414 billion by 2015 while smartphone will occupy758 of the overall mobile sales market in the same year

TB p

er m

onth

DataP2P

VideoAudio

2008 2009 2010 2011 2012 2013

2500000

2000000

1500000

1000000

500000

0

Figure 5 Mobile device bandwidth trend

3000

2500

2000

1500

1000

500

02005 2010 2015

FixedMobile

Fixed

G-20 Internet access

BI intelligence

Con

sum

er b

road

band

conn

ectio

ns(m

illio

ns)

Fixed

Mobile

Figure 6 Global Internet connections in million

However the fast development ofmobile deviceswill haveimpact onMoorersquos Law which is a crucial factor in electronicmanufactory fields What is actually happening is that thereis a race for mobile devices market in demand now versusthe realities of Moorersquos Law The law which states that thenumber of transistors that can be placed inexpensively onan integrated circuit doubles approximately every two yearsand the performance will double in the same period Thislaw has remained true over the last 40 years driven thetechnology industry and has enabled computing devices toget cheaper smaller and more powerful and hence delivermore functionality By prediction Moorersquos Law will notremain fully precise in next decades due to the restriction ofpower consumption size and price

371 Mobile Device-Driven Industry SizeWeight Todaymobile device is becoming smaller and lighter to meet theusersrsquo requirements and the most advanced logic technologynode in production is 22 nm in 2012 and the target for

6 Applied Computational Intelligence and Soft Computing

BI intelligence

Personal computers

Uni

ts We are here

Tablets

Smartphones

times108

2000 2002 2004 2006 2008 2010 2012 2014 2016

Global Internet device sales

0

30

25

20

15

10

5

Figure 7 Global Internet device sales

2013 will be 14 nm With feature sizes below 100 nm silicontechnology has entered the realm of nanotechnology andcontinuing true Moorersquos Law becomes more and more diffi-cult and requires new structures materials and technology

The three important factors to reduce size are lithographyscalability of the planar CMOS transistor and performancedegradation due to pitch scaling But we can predict thatthe trend of pitch will slow and stop during the next 10years because the size cannot be half separated infinitelydue to the physical rules Addressing the lithography toolroadmap here the classical pitch size for a given lithographysingle exposure is a straight-forward consequence of thediffraction-limited resolution of the projection optics Thelateral optical resolution is given by the quotient of theillumination wavelength 120582 and the numerical aperture NAof the projection optics according to the famous Rayleighformula Δ119909 = 119896

1(120582NA) where 119896

1is a process factor

determined by the exact details of the optical systemIn the past years each of these factors has been addressed

to increase the attainable resolution of a photolithographysystem and finally reached their limitations [22] To patternfiner pitch the industry solution is now either double pat-tering or DUV However for double pattering it will costextra processing challengingwhen smaller than 22 nmwhichresults in a cost issue Also for DUV (deep-UV) methodit has approached a technology limit of 193 nm [23] As aresultMoorersquos Lawwill not be continuing forever due to theselimitations

372 Mobile Device-Driven Industry Market Price As dis-cussed above in Section 35 smaller size will cause cost issuesAt the same time to secure the market of mobile deviceseconomic factors must be considered for each vendor Thismeans that if cost continues to grow for cooler functionalitiesthe growing market price will limit the development pre-dicted by Moorersquos Law This is because there is a relationshipbetween supply and demand in economic area For exampleif price increases the number of consumers will decrease andthen the number of products will reduce In his research asdiscussed in Section 35 Karl Rupp pointed out that MoorersquosLaw would be slowed down due to the limitation of GWP(gross world product) around 2020 as shown in Figure 3

11980 1988 1996 2004 2012 2020

Algorithmic complexity(Shannonrsquos law)

Processor performance

Battery capacity1G

2G

3G10000000

1000000

100000

10000

1000

100

10

(simMoorersquos law)

Figure 8 Shannonrsquos Lay versus Moorersquos Law

373 Mobile Device Driven Industry Power Consumptionand Shannonrsquos Law According to Moorersquos Law the size oftransistor should be half every 2 years as discussed aboveBut when getting minimized the physical characters will bechanged a lot for nanoscale transistors It will introduce a lotof new leakage mechanisms such as gate tunneling leakagejunction tunneling leakage and subthreshold leakage In thissituation to control the leakage power and dynamic powerpower management IC will be introduced to SoC [24] It isinferred here that the total number of functional transistorswill not be to double due to the involved power managementcircuit

For mobile system the freedom fully depends on theenergy provided by the batteries As batteries can store a fixedamount of energy the devicesrsquo operation time is limited aswell [25] and the operation time becomes a significant factorfor users because of the crammed up functionalities So themain limiting factor in many portable device designs is nothardware or software but instead how much power can bedelivered by a battery

However research [26] states that although in the past20 years system power consumption stays the same in everytransistor-double technology generation cycle in the next 20years power consumption will become a critical issue whichwill limit transistorrsquos performance As a result if we use powermanagement technology to reduce power consumption asdiscussed above the total number of functional transistorswill not be doubled If we do not use low-power design tosolve this issue then the fact that battery energy capacity fora given volume doubles only once per decade as shown inFigure 8 will conflict with Moorersquos Law In a word no matterwhich solution we choose it will make Moorersquos Law trendgoes down in the future

Figure 8 summarizes the key challenges facing themobiledevice industry which describes the gap among algorithmiccomplexity processor performance and the prediction ofbattery capacity Algorithmic complexity which is defined byShannonrsquos Law tells the maximum rate at which informationcan be transmitted over a communications channel of aspecified bandwidth in the presence of noise It predicts thatthe transmission performance improves two times in 85months while processor performance improves two times in18 months In addition it takes battery makers 5 to 10 years toachieve comparable increase in power density

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 3: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Applied Computational Intelligence and Soft Computing 3

9

7

5

3

1180 130 90 65 45 32 22

Perfo

rman

ce (a

u)

Gat

e len

gth

(nm

)

Technology node (nm)

100

80

60

40

20

Performance targetPerformance

Projected performanceGate length (nm)

Performance

Figure 2 Performance demand of processor [5]

Indeed increasing the battery performance can providemore room for the performance of processor However thegenerated heat will become another barrier for the mobiledevice development especially for the smartphone flat-panelPC and Ultra-Book which do not allow a build-in fanstructure These limitations will become another factor tofurther limit Moorersquos Law in the future

33 Tunneling Effect Semiconductor manufacturers will beable to produce chips on the 14 nm manufacturing processexpected by conservative estimates to arrive in 2018Howeversemiconductor makers will not be able to shrink transistorsmuch if at all beyond 2021 according to a new paperfrom Intel [8] Transistors are essentially microscopic onoffswitches that consist of a source (S) where electrons comefrom a drain (D) where the electrons target to and a gate (G)that mainly controls the flow of electrons through a channelthat connects the source and the drain When the length ofthe gate gets smaller than 5 nanometers however tunnelingeffect will begin to kick into play Electrons will simply passthrough the channel on their own without any driver voltagebecause the source and the drain will be extremely closeTherefore a transistor becomes unreliable as a source of basicdata because the probability of spontaneous transmission isabout 50 percent In other words Heisenbergrsquos uncertaintyprinciple is in action because the location of the electronscannot be accurately predicted based on Heisenbergrsquos uncer-tainty principle

On a two-year cycle based on Moorersquos Law this wouldmean that 16-nanometer chips would appear in 2013 with thebarriers preventing new smaller chips in 2015

Semiconductor manufacturers however have had todelay the introduction of newprocesses recently around 2012Using a three-year calendar 5-nanometer chips will not hituntil 2018 or 2019 based on the new technology progressupdate history putting a barrier generation at about 2021TheITRS timetable will provide more details about the differentmanufacturing technologies for a given year

However the tunneling effects will occur regardless of thechemistry of the transistormaterials Several researchers overthe years have predicted the end ofMoorersquos Law but made themistake of extrapolating on the basis of existing materials

34 The Quantum Limit to Moorersquos Law Gordon Moorehimself stated during an interview September 18 2007 atIntelrsquos twice-annual technical conference that we will soonbe bumping against the laws of physics ldquoanother decade adecade and a half I thinkwersquoll hit something fairly fundamen-talrdquo

Since this involves a physics limit (in his words) hewent on to quote Stephen Hawking during his visit to Intelin 2005 ldquoWhen Stephen Hawking was asked what are thefundamental limits to microelectronics he said the speed oflight and the atomic nature of matterrdquo [9] Determining anultimate physics limit toMoorersquos Lawwouldmark out a futureboundary to electronics miniaturization

A calculation of the quantum limit to Moorersquos Law wasconducted by writing Moorersquos Law in equation form as [5]

1198992= 11989912[(1199102minus1199101)2]

(1)

This equation predicts the number 1198992of transistors or

equivalent computing power in any given year 1199102from the

number 1198991of transistors in any other earlier year 119910

1[5]

From the definition of Moorersquos Law we know thatthe characteristic dimension or length 119871 of a transistor isinversely proportional to the number of transistors 119899 on anIC If the measurement of 119899 is in ldquonumber per meterrdquo thenfrom dimensional analysis themeasurement of 119871 is inmeters(m) or equivalently 1 = 119871 is the number per meter just as in(1)

We can then rewrite (1) as

1

1198712

minus (

1

1198711

) 2[(1199102minus1199101)2]

(2)

The characteristic dimension of an electron fromHeisen-berg uncertainty is the Compton wavelength [10] 120582

119888=

ℎ119898119890119888 = 24263 times 10

minus12m based on Planckrsquos constant ℎ themass of the electron119898

119890 and the speed of light 119888

The Compton wavelength of the electron is the funda-mental limit to measuring its position based on quantummechanics and special relativity or the length scale where arelativistic quantum field theory is necessary for an adequatedescription [11] The Compton wavelength is therefore thefundamental boundary to determining the position (or spin)of a particle which satisfies the Stephen Hawking predictionthat this limit would be based on the speed of light and theatomic nature of matter since 119888 is determined by 120582

119888 119898119890 and

ℎ [5] Rewriting (2) using the year of 2008 with availabletechnology transistor feature size and Compton wavelength24263 times 10

minus12m or 000243 nm

(24263 times 10minus12m)

minus1

= (0045 times 10minus6m)

minus1

2[(1199102minus2008)2]

(3)

4 Applied Computational Intelligence and Soft Computing

Solving for the exponent Δ119910 = (1199102minus 2008) using the natural

log function we end up to have

1199101= 2836119910 + 2008 = year 2036 (4)

This is the quantum limit year predicted by MoorersquosLaw if electrons were implemented as the smallest quantumcomputing transistor elements [5]

35 The Economic Limit to Moorersquos Law The higher compo-nent density has led to a decrease in end consumer pricesHowever the costs for producers follow a converse trendresearch and development manufacture and tests becomemore and more expensive with each new generation Thisobservation is known as Rockrsquos Law and sometimes alsoreferred to as Moorersquos Second Law [12] fabrication facility(fab) costs also follow an exponential growth Despite thisexponential growth of facility costs the cost per shipped unitdecreases at an exponential rate Karl Rupp first investigatedeconomic limitations to the semiconductor business A sum-mary of their results has already been published in [13] Karlthen found out If costs for a single fab are atmost 002 of theGWP (ie 120576 = 00002) a reduced growth of transistor countsper chip for economic reasons is likely to happen around 2020as shown in Figure 3

36 On-Board Limit toMoorersquos Law There have been numer-ous papers and discussions about the lives and deaths ofMoorersquos Law all of them dealing with several technologicalquestions inside semiconductor chip However any semicon-ductor chip cannot exist along without PCB board no matterflexible or not

Higher bandwidth has becomemore important than everin todayrsquos computing systems Personal computers routersswitches and game consoles all require higher bandwidth tomeet the increasing performance demand of new applica-tions Moreover the continuous scaling of integrated circuittechnology confirming Moorersquos prediction over the recentyears has resulted in massive computational capacity andhence data processing capability which in turn has createdthe demand for high-speed communication across differentcomponents in a system [14]These systems extend to opticalcommunication networks spanning across the globe but allcome down to chip-to-chip communication in a single board[15] The massive flux of information in and out of the chiphas caused simple inputoutput (IO) drivers to be replacedwith sophisticated high-speed circuits which in turn dependon reliable high bandwidth channels

Channel design which was conveniently and justifiablyignored at lower frequencies has become a major bottleneckfor high-speed communication The increase in data rates tothe tens of Giga bits per second (Gbps) region has promptedmore careful signal integrity considerations in the design ofthe channel from the transmitter of one chip to the receiveron the next The decrease in wavelength size due to higherfrequency signaling has caused the once short electricallengths of different components to become significant dueto transmission line delays loss and signal coupling in thesecomponents [16]

10000

1000

100

10

12005 2010 2015 2020 2025 2030

Year

Billi

on tr

ansis

tors

per

chip

GWP limited growth of transistor counts per chip

Actual growthMoorersquos lawGWP-limited

Figure 3 If costs for a single fab are at most 002 of the GWP(ie 120576 = 00002) a reduced growth of transistor counts per chip foreconomic reasons is likely to happen around 2020 [13]

Therefore on-board transmission line would become aremarkable bottleneck for the inputoutput of the semicon-ductor design

In addition another possible on-board barrier would beon the other end of the transmission line as we discussedabove that is the state-of-the-art analog to digital conversion(ADC) devices

ADC devices translate physical information into a streamof numbers enabling digital processing by sophisticatedsoftware algorithms The ADC task is inherently intricate itshardware must hold a snapshot of a fast-varying input signalsteady while acquiring measurements Since these measure-ments are spaced in time the values between consecutivesnapshots are lost In general therefore there is no way torecover the analog input unless some prior on its structureis incorporated [17] A common approach in engineeringis to assume that the signal is bandlimited meaning thatthe spectral contents are confined to a maximal frequency119891-max Bandlimited signals have limited (hence slow) timevariation and can therefore be perfectly reconstructed fromequispaced samples with a rate at least 2 times119891-max termedtheNyquist rateThis fundamental result is often attributed inthe engineering community to Shannon-Nyquist [18]

Uniform sampling ADC devices are the most commontechnology in the market Figure 4 maps off-the shelfADC devices according to their sampling rate The ADCindustry has perpetually followed the Nyquist paradigmmdashthe datasheets of all the devices that are reported in thefigure highlight the conversion speed referring to uniformsampling of the input The industry is continuously strivingto increase the possible uniform conversion rates

Therefore the ADC devices on the user inputoutputsides could become another possible barrier of the semicon-ductor design This barrier may happen sooner when thehigher quality of video and audio is demanded as well as

Applied Computational Intelligence and Soft Computing 5

32

28

24

20

16

12

8

4

00 1 2 3 4 5 6 7 8 9 10 11 12

Stat

ed n

umbe

r of b

its

National instrumentsTexas instruments

Analog devicesMaxim

State-of-the-artNyquist ADCs

Sampling rate (log10(sampless))

State of the arttate of the arte t r

Figure 4 ADC technology stated number of bits versus samplingrate A map of more than 1200 ADC devices from four leadingmanufacturers according to online datasheets [17]

the higher speed requirement for wireless communication ofmobile device such as smartphone flat-panel PC and laptop

37 Mobile Device-Driven Industry With the developing ofthe mobile devices especially smartphones and multimediaMobile (MMM) phones more functionalities faster down-load speed are becoming the main demands of customersAs the result mobile market does not only depend on betterhardware but also matter of bandwidth and frequencies Itis shown in Figure 5 below that mobile bandwidth (TB permonth) grows extremely fast since 2011 [19] We can findthat different media share the whole bandwidth usage Sothe sharing and cross talk among billions of users requirebandwidth sharing strategies

In addition video will account for 64 of mobile trafficby 2013 and mobile data traffic will be more than doubleevery year through 2013 In 2013most importantmobile datatraffic will be more than 66 times greater than mobile datatraffic in 2008

With the fast development of new technology electronicdevices tend to be smaller and more efficient The marketdeveloped from PC to laptop and palm all the way to cellphone and smart phone Mobile devices such as smartphoneand tablet computers are becoming more popular than everIn most countries the occupation ratio of mobile device ismuch higher than that of PC As shown in Figure 6 globalInternet users will double over the next few years most ofwhich will be mobile devices [20]

Due to the global Internet devices sales research in afew years the number of the mobile devices will dwarf thenumber of PCs It is shown in Figure 7 that PC sales curvewill become flat few years later while smartphone and tabletsales will go up straight to the top [21]

The total global mobile phone market is expected to beworth $3414 billion by 2015 while smartphone will occupy758 of the overall mobile sales market in the same year

TB p

er m

onth

DataP2P

VideoAudio

2008 2009 2010 2011 2012 2013

2500000

2000000

1500000

1000000

500000

0

Figure 5 Mobile device bandwidth trend

3000

2500

2000

1500

1000

500

02005 2010 2015

FixedMobile

Fixed

G-20 Internet access

BI intelligence

Con

sum

er b

road

band

conn

ectio

ns(m

illio

ns)

Fixed

Mobile

Figure 6 Global Internet connections in million

However the fast development ofmobile deviceswill haveimpact onMoorersquos Law which is a crucial factor in electronicmanufactory fields What is actually happening is that thereis a race for mobile devices market in demand now versusthe realities of Moorersquos Law The law which states that thenumber of transistors that can be placed inexpensively onan integrated circuit doubles approximately every two yearsand the performance will double in the same period Thislaw has remained true over the last 40 years driven thetechnology industry and has enabled computing devices toget cheaper smaller and more powerful and hence delivermore functionality By prediction Moorersquos Law will notremain fully precise in next decades due to the restriction ofpower consumption size and price

371 Mobile Device-Driven Industry SizeWeight Todaymobile device is becoming smaller and lighter to meet theusersrsquo requirements and the most advanced logic technologynode in production is 22 nm in 2012 and the target for

6 Applied Computational Intelligence and Soft Computing

BI intelligence

Personal computers

Uni

ts We are here

Tablets

Smartphones

times108

2000 2002 2004 2006 2008 2010 2012 2014 2016

Global Internet device sales

0

30

25

20

15

10

5

Figure 7 Global Internet device sales

2013 will be 14 nm With feature sizes below 100 nm silicontechnology has entered the realm of nanotechnology andcontinuing true Moorersquos Law becomes more and more diffi-cult and requires new structures materials and technology

The three important factors to reduce size are lithographyscalability of the planar CMOS transistor and performancedegradation due to pitch scaling But we can predict thatthe trend of pitch will slow and stop during the next 10years because the size cannot be half separated infinitelydue to the physical rules Addressing the lithography toolroadmap here the classical pitch size for a given lithographysingle exposure is a straight-forward consequence of thediffraction-limited resolution of the projection optics Thelateral optical resolution is given by the quotient of theillumination wavelength 120582 and the numerical aperture NAof the projection optics according to the famous Rayleighformula Δ119909 = 119896

1(120582NA) where 119896

1is a process factor

determined by the exact details of the optical systemIn the past years each of these factors has been addressed

to increase the attainable resolution of a photolithographysystem and finally reached their limitations [22] To patternfiner pitch the industry solution is now either double pat-tering or DUV However for double pattering it will costextra processing challengingwhen smaller than 22 nmwhichresults in a cost issue Also for DUV (deep-UV) methodit has approached a technology limit of 193 nm [23] As aresultMoorersquos Lawwill not be continuing forever due to theselimitations

372 Mobile Device-Driven Industry Market Price As dis-cussed above in Section 35 smaller size will cause cost issuesAt the same time to secure the market of mobile deviceseconomic factors must be considered for each vendor Thismeans that if cost continues to grow for cooler functionalitiesthe growing market price will limit the development pre-dicted by Moorersquos Law This is because there is a relationshipbetween supply and demand in economic area For exampleif price increases the number of consumers will decrease andthen the number of products will reduce In his research asdiscussed in Section 35 Karl Rupp pointed out that MoorersquosLaw would be slowed down due to the limitation of GWP(gross world product) around 2020 as shown in Figure 3

11980 1988 1996 2004 2012 2020

Algorithmic complexity(Shannonrsquos law)

Processor performance

Battery capacity1G

2G

3G10000000

1000000

100000

10000

1000

100

10

(simMoorersquos law)

Figure 8 Shannonrsquos Lay versus Moorersquos Law

373 Mobile Device Driven Industry Power Consumptionand Shannonrsquos Law According to Moorersquos Law the size oftransistor should be half every 2 years as discussed aboveBut when getting minimized the physical characters will bechanged a lot for nanoscale transistors It will introduce a lotof new leakage mechanisms such as gate tunneling leakagejunction tunneling leakage and subthreshold leakage In thissituation to control the leakage power and dynamic powerpower management IC will be introduced to SoC [24] It isinferred here that the total number of functional transistorswill not be to double due to the involved power managementcircuit

For mobile system the freedom fully depends on theenergy provided by the batteries As batteries can store a fixedamount of energy the devicesrsquo operation time is limited aswell [25] and the operation time becomes a significant factorfor users because of the crammed up functionalities So themain limiting factor in many portable device designs is nothardware or software but instead how much power can bedelivered by a battery

However research [26] states that although in the past20 years system power consumption stays the same in everytransistor-double technology generation cycle in the next 20years power consumption will become a critical issue whichwill limit transistorrsquos performance As a result if we use powermanagement technology to reduce power consumption asdiscussed above the total number of functional transistorswill not be doubled If we do not use low-power design tosolve this issue then the fact that battery energy capacity fora given volume doubles only once per decade as shown inFigure 8 will conflict with Moorersquos Law In a word no matterwhich solution we choose it will make Moorersquos Law trendgoes down in the future

Figure 8 summarizes the key challenges facing themobiledevice industry which describes the gap among algorithmiccomplexity processor performance and the prediction ofbattery capacity Algorithmic complexity which is defined byShannonrsquos Law tells the maximum rate at which informationcan be transmitted over a communications channel of aspecified bandwidth in the presence of noise It predicts thatthe transmission performance improves two times in 85months while processor performance improves two times in18 months In addition it takes battery makers 5 to 10 years toachieve comparable increase in power density

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 4: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

4 Applied Computational Intelligence and Soft Computing

Solving for the exponent Δ119910 = (1199102minus 2008) using the natural

log function we end up to have

1199101= 2836119910 + 2008 = year 2036 (4)

This is the quantum limit year predicted by MoorersquosLaw if electrons were implemented as the smallest quantumcomputing transistor elements [5]

35 The Economic Limit to Moorersquos Law The higher compo-nent density has led to a decrease in end consumer pricesHowever the costs for producers follow a converse trendresearch and development manufacture and tests becomemore and more expensive with each new generation Thisobservation is known as Rockrsquos Law and sometimes alsoreferred to as Moorersquos Second Law [12] fabrication facility(fab) costs also follow an exponential growth Despite thisexponential growth of facility costs the cost per shipped unitdecreases at an exponential rate Karl Rupp first investigatedeconomic limitations to the semiconductor business A sum-mary of their results has already been published in [13] Karlthen found out If costs for a single fab are atmost 002 of theGWP (ie 120576 = 00002) a reduced growth of transistor countsper chip for economic reasons is likely to happen around 2020as shown in Figure 3

36 On-Board Limit toMoorersquos Law There have been numer-ous papers and discussions about the lives and deaths ofMoorersquos Law all of them dealing with several technologicalquestions inside semiconductor chip However any semicon-ductor chip cannot exist along without PCB board no matterflexible or not

Higher bandwidth has becomemore important than everin todayrsquos computing systems Personal computers routersswitches and game consoles all require higher bandwidth tomeet the increasing performance demand of new applica-tions Moreover the continuous scaling of integrated circuittechnology confirming Moorersquos prediction over the recentyears has resulted in massive computational capacity andhence data processing capability which in turn has createdthe demand for high-speed communication across differentcomponents in a system [14]These systems extend to opticalcommunication networks spanning across the globe but allcome down to chip-to-chip communication in a single board[15] The massive flux of information in and out of the chiphas caused simple inputoutput (IO) drivers to be replacedwith sophisticated high-speed circuits which in turn dependon reliable high bandwidth channels

Channel design which was conveniently and justifiablyignored at lower frequencies has become a major bottleneckfor high-speed communication The increase in data rates tothe tens of Giga bits per second (Gbps) region has promptedmore careful signal integrity considerations in the design ofthe channel from the transmitter of one chip to the receiveron the next The decrease in wavelength size due to higherfrequency signaling has caused the once short electricallengths of different components to become significant dueto transmission line delays loss and signal coupling in thesecomponents [16]

10000

1000

100

10

12005 2010 2015 2020 2025 2030

Year

Billi

on tr

ansis

tors

per

chip

GWP limited growth of transistor counts per chip

Actual growthMoorersquos lawGWP-limited

Figure 3 If costs for a single fab are at most 002 of the GWP(ie 120576 = 00002) a reduced growth of transistor counts per chip foreconomic reasons is likely to happen around 2020 [13]

Therefore on-board transmission line would become aremarkable bottleneck for the inputoutput of the semicon-ductor design

In addition another possible on-board barrier would beon the other end of the transmission line as we discussedabove that is the state-of-the-art analog to digital conversion(ADC) devices

ADC devices translate physical information into a streamof numbers enabling digital processing by sophisticatedsoftware algorithms The ADC task is inherently intricate itshardware must hold a snapshot of a fast-varying input signalsteady while acquiring measurements Since these measure-ments are spaced in time the values between consecutivesnapshots are lost In general therefore there is no way torecover the analog input unless some prior on its structureis incorporated [17] A common approach in engineeringis to assume that the signal is bandlimited meaning thatthe spectral contents are confined to a maximal frequency119891-max Bandlimited signals have limited (hence slow) timevariation and can therefore be perfectly reconstructed fromequispaced samples with a rate at least 2 times119891-max termedtheNyquist rateThis fundamental result is often attributed inthe engineering community to Shannon-Nyquist [18]

Uniform sampling ADC devices are the most commontechnology in the market Figure 4 maps off-the shelfADC devices according to their sampling rate The ADCindustry has perpetually followed the Nyquist paradigmmdashthe datasheets of all the devices that are reported in thefigure highlight the conversion speed referring to uniformsampling of the input The industry is continuously strivingto increase the possible uniform conversion rates

Therefore the ADC devices on the user inputoutputsides could become another possible barrier of the semicon-ductor design This barrier may happen sooner when thehigher quality of video and audio is demanded as well as

Applied Computational Intelligence and Soft Computing 5

32

28

24

20

16

12

8

4

00 1 2 3 4 5 6 7 8 9 10 11 12

Stat

ed n

umbe

r of b

its

National instrumentsTexas instruments

Analog devicesMaxim

State-of-the-artNyquist ADCs

Sampling rate (log10(sampless))

State of the arttate of the arte t r

Figure 4 ADC technology stated number of bits versus samplingrate A map of more than 1200 ADC devices from four leadingmanufacturers according to online datasheets [17]

the higher speed requirement for wireless communication ofmobile device such as smartphone flat-panel PC and laptop

37 Mobile Device-Driven Industry With the developing ofthe mobile devices especially smartphones and multimediaMobile (MMM) phones more functionalities faster down-load speed are becoming the main demands of customersAs the result mobile market does not only depend on betterhardware but also matter of bandwidth and frequencies Itis shown in Figure 5 below that mobile bandwidth (TB permonth) grows extremely fast since 2011 [19] We can findthat different media share the whole bandwidth usage Sothe sharing and cross talk among billions of users requirebandwidth sharing strategies

In addition video will account for 64 of mobile trafficby 2013 and mobile data traffic will be more than doubleevery year through 2013 In 2013most importantmobile datatraffic will be more than 66 times greater than mobile datatraffic in 2008

With the fast development of new technology electronicdevices tend to be smaller and more efficient The marketdeveloped from PC to laptop and palm all the way to cellphone and smart phone Mobile devices such as smartphoneand tablet computers are becoming more popular than everIn most countries the occupation ratio of mobile device ismuch higher than that of PC As shown in Figure 6 globalInternet users will double over the next few years most ofwhich will be mobile devices [20]

Due to the global Internet devices sales research in afew years the number of the mobile devices will dwarf thenumber of PCs It is shown in Figure 7 that PC sales curvewill become flat few years later while smartphone and tabletsales will go up straight to the top [21]

The total global mobile phone market is expected to beworth $3414 billion by 2015 while smartphone will occupy758 of the overall mobile sales market in the same year

TB p

er m

onth

DataP2P

VideoAudio

2008 2009 2010 2011 2012 2013

2500000

2000000

1500000

1000000

500000

0

Figure 5 Mobile device bandwidth trend

3000

2500

2000

1500

1000

500

02005 2010 2015

FixedMobile

Fixed

G-20 Internet access

BI intelligence

Con

sum

er b

road

band

conn

ectio

ns(m

illio

ns)

Fixed

Mobile

Figure 6 Global Internet connections in million

However the fast development ofmobile deviceswill haveimpact onMoorersquos Law which is a crucial factor in electronicmanufactory fields What is actually happening is that thereis a race for mobile devices market in demand now versusthe realities of Moorersquos Law The law which states that thenumber of transistors that can be placed inexpensively onan integrated circuit doubles approximately every two yearsand the performance will double in the same period Thislaw has remained true over the last 40 years driven thetechnology industry and has enabled computing devices toget cheaper smaller and more powerful and hence delivermore functionality By prediction Moorersquos Law will notremain fully precise in next decades due to the restriction ofpower consumption size and price

371 Mobile Device-Driven Industry SizeWeight Todaymobile device is becoming smaller and lighter to meet theusersrsquo requirements and the most advanced logic technologynode in production is 22 nm in 2012 and the target for

6 Applied Computational Intelligence and Soft Computing

BI intelligence

Personal computers

Uni

ts We are here

Tablets

Smartphones

times108

2000 2002 2004 2006 2008 2010 2012 2014 2016

Global Internet device sales

0

30

25

20

15

10

5

Figure 7 Global Internet device sales

2013 will be 14 nm With feature sizes below 100 nm silicontechnology has entered the realm of nanotechnology andcontinuing true Moorersquos Law becomes more and more diffi-cult and requires new structures materials and technology

The three important factors to reduce size are lithographyscalability of the planar CMOS transistor and performancedegradation due to pitch scaling But we can predict thatthe trend of pitch will slow and stop during the next 10years because the size cannot be half separated infinitelydue to the physical rules Addressing the lithography toolroadmap here the classical pitch size for a given lithographysingle exposure is a straight-forward consequence of thediffraction-limited resolution of the projection optics Thelateral optical resolution is given by the quotient of theillumination wavelength 120582 and the numerical aperture NAof the projection optics according to the famous Rayleighformula Δ119909 = 119896

1(120582NA) where 119896

1is a process factor

determined by the exact details of the optical systemIn the past years each of these factors has been addressed

to increase the attainable resolution of a photolithographysystem and finally reached their limitations [22] To patternfiner pitch the industry solution is now either double pat-tering or DUV However for double pattering it will costextra processing challengingwhen smaller than 22 nmwhichresults in a cost issue Also for DUV (deep-UV) methodit has approached a technology limit of 193 nm [23] As aresultMoorersquos Lawwill not be continuing forever due to theselimitations

372 Mobile Device-Driven Industry Market Price As dis-cussed above in Section 35 smaller size will cause cost issuesAt the same time to secure the market of mobile deviceseconomic factors must be considered for each vendor Thismeans that if cost continues to grow for cooler functionalitiesthe growing market price will limit the development pre-dicted by Moorersquos Law This is because there is a relationshipbetween supply and demand in economic area For exampleif price increases the number of consumers will decrease andthen the number of products will reduce In his research asdiscussed in Section 35 Karl Rupp pointed out that MoorersquosLaw would be slowed down due to the limitation of GWP(gross world product) around 2020 as shown in Figure 3

11980 1988 1996 2004 2012 2020

Algorithmic complexity(Shannonrsquos law)

Processor performance

Battery capacity1G

2G

3G10000000

1000000

100000

10000

1000

100

10

(simMoorersquos law)

Figure 8 Shannonrsquos Lay versus Moorersquos Law

373 Mobile Device Driven Industry Power Consumptionand Shannonrsquos Law According to Moorersquos Law the size oftransistor should be half every 2 years as discussed aboveBut when getting minimized the physical characters will bechanged a lot for nanoscale transistors It will introduce a lotof new leakage mechanisms such as gate tunneling leakagejunction tunneling leakage and subthreshold leakage In thissituation to control the leakage power and dynamic powerpower management IC will be introduced to SoC [24] It isinferred here that the total number of functional transistorswill not be to double due to the involved power managementcircuit

For mobile system the freedom fully depends on theenergy provided by the batteries As batteries can store a fixedamount of energy the devicesrsquo operation time is limited aswell [25] and the operation time becomes a significant factorfor users because of the crammed up functionalities So themain limiting factor in many portable device designs is nothardware or software but instead how much power can bedelivered by a battery

However research [26] states that although in the past20 years system power consumption stays the same in everytransistor-double technology generation cycle in the next 20years power consumption will become a critical issue whichwill limit transistorrsquos performance As a result if we use powermanagement technology to reduce power consumption asdiscussed above the total number of functional transistorswill not be doubled If we do not use low-power design tosolve this issue then the fact that battery energy capacity fora given volume doubles only once per decade as shown inFigure 8 will conflict with Moorersquos Law In a word no matterwhich solution we choose it will make Moorersquos Law trendgoes down in the future

Figure 8 summarizes the key challenges facing themobiledevice industry which describes the gap among algorithmiccomplexity processor performance and the prediction ofbattery capacity Algorithmic complexity which is defined byShannonrsquos Law tells the maximum rate at which informationcan be transmitted over a communications channel of aspecified bandwidth in the presence of noise It predicts thatthe transmission performance improves two times in 85months while processor performance improves two times in18 months In addition it takes battery makers 5 to 10 years toachieve comparable increase in power density

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 5: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Applied Computational Intelligence and Soft Computing 5

32

28

24

20

16

12

8

4

00 1 2 3 4 5 6 7 8 9 10 11 12

Stat

ed n

umbe

r of b

its

National instrumentsTexas instruments

Analog devicesMaxim

State-of-the-artNyquist ADCs

Sampling rate (log10(sampless))

State of the arttate of the arte t r

Figure 4 ADC technology stated number of bits versus samplingrate A map of more than 1200 ADC devices from four leadingmanufacturers according to online datasheets [17]

the higher speed requirement for wireless communication ofmobile device such as smartphone flat-panel PC and laptop

37 Mobile Device-Driven Industry With the developing ofthe mobile devices especially smartphones and multimediaMobile (MMM) phones more functionalities faster down-load speed are becoming the main demands of customersAs the result mobile market does not only depend on betterhardware but also matter of bandwidth and frequencies Itis shown in Figure 5 below that mobile bandwidth (TB permonth) grows extremely fast since 2011 [19] We can findthat different media share the whole bandwidth usage Sothe sharing and cross talk among billions of users requirebandwidth sharing strategies

In addition video will account for 64 of mobile trafficby 2013 and mobile data traffic will be more than doubleevery year through 2013 In 2013most importantmobile datatraffic will be more than 66 times greater than mobile datatraffic in 2008

With the fast development of new technology electronicdevices tend to be smaller and more efficient The marketdeveloped from PC to laptop and palm all the way to cellphone and smart phone Mobile devices such as smartphoneand tablet computers are becoming more popular than everIn most countries the occupation ratio of mobile device ismuch higher than that of PC As shown in Figure 6 globalInternet users will double over the next few years most ofwhich will be mobile devices [20]

Due to the global Internet devices sales research in afew years the number of the mobile devices will dwarf thenumber of PCs It is shown in Figure 7 that PC sales curvewill become flat few years later while smartphone and tabletsales will go up straight to the top [21]

The total global mobile phone market is expected to beworth $3414 billion by 2015 while smartphone will occupy758 of the overall mobile sales market in the same year

TB p

er m

onth

DataP2P

VideoAudio

2008 2009 2010 2011 2012 2013

2500000

2000000

1500000

1000000

500000

0

Figure 5 Mobile device bandwidth trend

3000

2500

2000

1500

1000

500

02005 2010 2015

FixedMobile

Fixed

G-20 Internet access

BI intelligence

Con

sum

er b

road

band

conn

ectio

ns(m

illio

ns)

Fixed

Mobile

Figure 6 Global Internet connections in million

However the fast development ofmobile deviceswill haveimpact onMoorersquos Law which is a crucial factor in electronicmanufactory fields What is actually happening is that thereis a race for mobile devices market in demand now versusthe realities of Moorersquos Law The law which states that thenumber of transistors that can be placed inexpensively onan integrated circuit doubles approximately every two yearsand the performance will double in the same period Thislaw has remained true over the last 40 years driven thetechnology industry and has enabled computing devices toget cheaper smaller and more powerful and hence delivermore functionality By prediction Moorersquos Law will notremain fully precise in next decades due to the restriction ofpower consumption size and price

371 Mobile Device-Driven Industry SizeWeight Todaymobile device is becoming smaller and lighter to meet theusersrsquo requirements and the most advanced logic technologynode in production is 22 nm in 2012 and the target for

6 Applied Computational Intelligence and Soft Computing

BI intelligence

Personal computers

Uni

ts We are here

Tablets

Smartphones

times108

2000 2002 2004 2006 2008 2010 2012 2014 2016

Global Internet device sales

0

30

25

20

15

10

5

Figure 7 Global Internet device sales

2013 will be 14 nm With feature sizes below 100 nm silicontechnology has entered the realm of nanotechnology andcontinuing true Moorersquos Law becomes more and more diffi-cult and requires new structures materials and technology

The three important factors to reduce size are lithographyscalability of the planar CMOS transistor and performancedegradation due to pitch scaling But we can predict thatthe trend of pitch will slow and stop during the next 10years because the size cannot be half separated infinitelydue to the physical rules Addressing the lithography toolroadmap here the classical pitch size for a given lithographysingle exposure is a straight-forward consequence of thediffraction-limited resolution of the projection optics Thelateral optical resolution is given by the quotient of theillumination wavelength 120582 and the numerical aperture NAof the projection optics according to the famous Rayleighformula Δ119909 = 119896

1(120582NA) where 119896

1is a process factor

determined by the exact details of the optical systemIn the past years each of these factors has been addressed

to increase the attainable resolution of a photolithographysystem and finally reached their limitations [22] To patternfiner pitch the industry solution is now either double pat-tering or DUV However for double pattering it will costextra processing challengingwhen smaller than 22 nmwhichresults in a cost issue Also for DUV (deep-UV) methodit has approached a technology limit of 193 nm [23] As aresultMoorersquos Lawwill not be continuing forever due to theselimitations

372 Mobile Device-Driven Industry Market Price As dis-cussed above in Section 35 smaller size will cause cost issuesAt the same time to secure the market of mobile deviceseconomic factors must be considered for each vendor Thismeans that if cost continues to grow for cooler functionalitiesthe growing market price will limit the development pre-dicted by Moorersquos Law This is because there is a relationshipbetween supply and demand in economic area For exampleif price increases the number of consumers will decrease andthen the number of products will reduce In his research asdiscussed in Section 35 Karl Rupp pointed out that MoorersquosLaw would be slowed down due to the limitation of GWP(gross world product) around 2020 as shown in Figure 3

11980 1988 1996 2004 2012 2020

Algorithmic complexity(Shannonrsquos law)

Processor performance

Battery capacity1G

2G

3G10000000

1000000

100000

10000

1000

100

10

(simMoorersquos law)

Figure 8 Shannonrsquos Lay versus Moorersquos Law

373 Mobile Device Driven Industry Power Consumptionand Shannonrsquos Law According to Moorersquos Law the size oftransistor should be half every 2 years as discussed aboveBut when getting minimized the physical characters will bechanged a lot for nanoscale transistors It will introduce a lotof new leakage mechanisms such as gate tunneling leakagejunction tunneling leakage and subthreshold leakage In thissituation to control the leakage power and dynamic powerpower management IC will be introduced to SoC [24] It isinferred here that the total number of functional transistorswill not be to double due to the involved power managementcircuit

For mobile system the freedom fully depends on theenergy provided by the batteries As batteries can store a fixedamount of energy the devicesrsquo operation time is limited aswell [25] and the operation time becomes a significant factorfor users because of the crammed up functionalities So themain limiting factor in many portable device designs is nothardware or software but instead how much power can bedelivered by a battery

However research [26] states that although in the past20 years system power consumption stays the same in everytransistor-double technology generation cycle in the next 20years power consumption will become a critical issue whichwill limit transistorrsquos performance As a result if we use powermanagement technology to reduce power consumption asdiscussed above the total number of functional transistorswill not be doubled If we do not use low-power design tosolve this issue then the fact that battery energy capacity fora given volume doubles only once per decade as shown inFigure 8 will conflict with Moorersquos Law In a word no matterwhich solution we choose it will make Moorersquos Law trendgoes down in the future

Figure 8 summarizes the key challenges facing themobiledevice industry which describes the gap among algorithmiccomplexity processor performance and the prediction ofbattery capacity Algorithmic complexity which is defined byShannonrsquos Law tells the maximum rate at which informationcan be transmitted over a communications channel of aspecified bandwidth in the presence of noise It predicts thatthe transmission performance improves two times in 85months while processor performance improves two times in18 months In addition it takes battery makers 5 to 10 years toachieve comparable increase in power density

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 6: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

6 Applied Computational Intelligence and Soft Computing

BI intelligence

Personal computers

Uni

ts We are here

Tablets

Smartphones

times108

2000 2002 2004 2006 2008 2010 2012 2014 2016

Global Internet device sales

0

30

25

20

15

10

5

Figure 7 Global Internet device sales

2013 will be 14 nm With feature sizes below 100 nm silicontechnology has entered the realm of nanotechnology andcontinuing true Moorersquos Law becomes more and more diffi-cult and requires new structures materials and technology

The three important factors to reduce size are lithographyscalability of the planar CMOS transistor and performancedegradation due to pitch scaling But we can predict thatthe trend of pitch will slow and stop during the next 10years because the size cannot be half separated infinitelydue to the physical rules Addressing the lithography toolroadmap here the classical pitch size for a given lithographysingle exposure is a straight-forward consequence of thediffraction-limited resolution of the projection optics Thelateral optical resolution is given by the quotient of theillumination wavelength 120582 and the numerical aperture NAof the projection optics according to the famous Rayleighformula Δ119909 = 119896

1(120582NA) where 119896

1is a process factor

determined by the exact details of the optical systemIn the past years each of these factors has been addressed

to increase the attainable resolution of a photolithographysystem and finally reached their limitations [22] To patternfiner pitch the industry solution is now either double pat-tering or DUV However for double pattering it will costextra processing challengingwhen smaller than 22 nmwhichresults in a cost issue Also for DUV (deep-UV) methodit has approached a technology limit of 193 nm [23] As aresultMoorersquos Lawwill not be continuing forever due to theselimitations

372 Mobile Device-Driven Industry Market Price As dis-cussed above in Section 35 smaller size will cause cost issuesAt the same time to secure the market of mobile deviceseconomic factors must be considered for each vendor Thismeans that if cost continues to grow for cooler functionalitiesthe growing market price will limit the development pre-dicted by Moorersquos Law This is because there is a relationshipbetween supply and demand in economic area For exampleif price increases the number of consumers will decrease andthen the number of products will reduce In his research asdiscussed in Section 35 Karl Rupp pointed out that MoorersquosLaw would be slowed down due to the limitation of GWP(gross world product) around 2020 as shown in Figure 3

11980 1988 1996 2004 2012 2020

Algorithmic complexity(Shannonrsquos law)

Processor performance

Battery capacity1G

2G

3G10000000

1000000

100000

10000

1000

100

10

(simMoorersquos law)

Figure 8 Shannonrsquos Lay versus Moorersquos Law

373 Mobile Device Driven Industry Power Consumptionand Shannonrsquos Law According to Moorersquos Law the size oftransistor should be half every 2 years as discussed aboveBut when getting minimized the physical characters will bechanged a lot for nanoscale transistors It will introduce a lotof new leakage mechanisms such as gate tunneling leakagejunction tunneling leakage and subthreshold leakage In thissituation to control the leakage power and dynamic powerpower management IC will be introduced to SoC [24] It isinferred here that the total number of functional transistorswill not be to double due to the involved power managementcircuit

For mobile system the freedom fully depends on theenergy provided by the batteries As batteries can store a fixedamount of energy the devicesrsquo operation time is limited aswell [25] and the operation time becomes a significant factorfor users because of the crammed up functionalities So themain limiting factor in many portable device designs is nothardware or software but instead how much power can bedelivered by a battery

However research [26] states that although in the past20 years system power consumption stays the same in everytransistor-double technology generation cycle in the next 20years power consumption will become a critical issue whichwill limit transistorrsquos performance As a result if we use powermanagement technology to reduce power consumption asdiscussed above the total number of functional transistorswill not be doubled If we do not use low-power design tosolve this issue then the fact that battery energy capacity fora given volume doubles only once per decade as shown inFigure 8 will conflict with Moorersquos Law In a word no matterwhich solution we choose it will make Moorersquos Law trendgoes down in the future

Figure 8 summarizes the key challenges facing themobiledevice industry which describes the gap among algorithmiccomplexity processor performance and the prediction ofbattery capacity Algorithmic complexity which is defined byShannonrsquos Law tells the maximum rate at which informationcan be transmitted over a communications channel of aspecified bandwidth in the presence of noise It predicts thatthe transmission performance improves two times in 85months while processor performance improves two times in18 months In addition it takes battery makers 5 to 10 years toachieve comparable increase in power density

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 7: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Applied Computational Intelligence and Soft Computing 7

Table 1 Cellular system 1G to 4G

Decade Generation Efficiency bpsHzsector1980s 1G Analog cellular 00161990s 2G Digital (TDMArarrCDMA) 005rarr 022000s 3G Enhanced CDMA 04rarr 062010s 4G OFDMM1MO gt10

To discuss the characteristics of mobile bandwidthNyquist-Shannon sampling theorem describes a worldwidesampling method in relation to bandwidth and frequencyThe theorem states as if a function 119909(119905) contains no frequen-cies higher than bandwidth 119861Hz it is completely determinedby giving its ordinates at a series of points spaced 1(2119861)seconds apart It is now used by mainstream informationtechnology such as worldrsquos famous Code Division Multiplex(CDMA) the Orthogonal Frequency Division Multiplex(OFDM) with Multiple Input Multiple Output (MIMO)CDMA which in one of several manifestations has beenchosen for virtually all third-generation cellular systems andOFDM with MIMO which seems to be the most favored fora future generation [27]

CDMA is a spread spectrum multiple-access techniquewhich spreads the bandwidth of the data uniformly for thesame transmitted power and spread spectrum uses a trans-mission bandwidth that is several orders ofmagnitude greaterthan the minimum required signal bandwidth OFDM is amethod of encoding digital data on multiple carrier frequen-cies with all the carrier signals being orthogonal to each otherThe orthogonality also allows high spectral efficiency witha total symbol rate near the Nyquist rate for the equivalentbaseband signal as compared in Table 1

Although most of nowadays technologies are followingNyquist-Shannon sampling theorem the theorem itself ismeeting its limitation in regard withMoorersquos law In fact withthe increasing data capacity and bandwidth the number ofIOwill growwith the sampling theoremwhichhas a differentspeed with Moorersquos Law The increasing size of the Shannon-Moore gap with time means that incremental transistors andMHz alone are not sufficient to close the gap between themFurthermore it is shown in Figure 9 below that if bandwidthcapacity develops with Nyquist-Shannon sampling theoremit will be hard to meet customersrsquo demand [28]

A conclusion can be drawn from above that Nyquist-Shannon sampling theorem is increasingly incommensu-rate the technology demand In this situation compressedsensing an alternative to ShannonNyquist sampling foracquisition of sparse or compressible signals that can be wellapproximated by just 119870 ≪ 119873 elements from an 119873-dimen-sional basis Instead of taking periodic samples it measuresinner products with 119872 lt 119873 random vectors and thenrecovers the signal via a sparsity-seeking optimization orgreedy algorithm [27] So compressed sensing just obtainsfew compressed sparse sensing information of the samplingsignals At the same time the sensing wave unlike CDMAor OFDM is irrelevant to the sparse space of signals Thesecharacteristics will make IO reduced compared to the

14

12

10

8

6

4

2

02010 2011 2012 2013 2014 2015 2016

DemandCapacity

Demand versus capacity

(Gby

tes)

Rysavy research 2010 [28]

Figure 9 Average demand per user versus average capacity per user

Shannon-Nyquist sampling and will also fit Moorersquos Law Asa result the impact onMoorersquos Law which is due to Shannon-Nyquist theory will be eliminated

4 Nanoenhancement to Moorersquos Law

41 DNA Scaffolding Tiny Circuit Board As what we dis-cussed previously any semiconductor chip cannot exist alongwithout PCB board no matter flexible or not Due to the on-board transmission line effect for high speed communicationits the time for us to start thinking about newmaterials for thecircuit board

IBM researchers working with the California Instituteof Technology claimed they have collaborated in combininglithographic patterningwith self-assembly to devise amethodof arranging DNA ldquoorigamirdquo structures on surfaces compat-ible with current semiconductor manufacturing equipmentIBMrsquos developed chip-building technology that uses a DNA-like structure as a ldquoscaffoldrdquo As shows in Figure 10 lowconcentrations of triangular DNA origami are binding towide lines on a lithographically patterned surface built byIBM scientists

This technology could be a major breakthrough inenabling the semiconductor industry to pack more powerand speed into tiny computer chips whilemaking themmoreenergy efficient and less expensive to manufacturer

As we discussed previously in this paper the semicon-ductor industry is faced with the challenges of developinglithographic technology for feature sizes smaller than 22 nmand exploring new classes of transistors that employ carbonnanotubes or silicon nanowires IBMrsquos approach of usingDNA molecules as scaffoldingmdashwhere millions of carbonnanotubes could be deposited and self-assembled into precisepatterns by sticking to the DNA moleculesmdashmay provide away to reach sub-22 nm lithography [29]The cost involved inshrinking features to improve performance is a limiting factorin keeping pace with Moorersquos Law and a concern across thesemiconductor industry

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 8: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

8 Applied Computational Intelligence and Soft Computing

Figure 10 IBM tiny circuit boards [14]

42 3D Tri-Gates Transistor For more than four decadesIntel has delivered the challenge of Moorersquos Law Howevera fundamental barrier which is emerging technology isapproaching atomic dimensions Intel is already working ontechnologies to overcome this

Intel shrank the fabrication process to use 22 nanometer(nm = billionths of a meter) nodes Next Intel departed fromtraditional planar (2-D) gates using instead 3-D Tri-Gatetechnology Let us look at the reduction in circuit size first Inorder to double the number of transistors scientists need thefabrication process to use 22 nm nodes which means circuitpaths not much thicker than single atoms

By using 3-DTri-Gate technologyGate electrode controlssilicon fin from three sides providing improved sub-thresholdslope Therefore inversion layer area increased for higherdrive current In addition Intel development team claimedthat the process cost adder is only 2-3 As shown inFigures 1 and 11(c) the 22 nm3-DTri-Gate transistors provideimproved performance at high voltage and an unprecedentedperformance gain at low voltage

The measurement results show 40 increase in perfor-mance at low voltage when compared to 32 nm 2D transistorsand consume half the power at the same performance level as32 nm 2-D transistors

Intel expects to have the first microprocessor using22 nm 3-D Tri-Gate transistors (The code named Ivy Bridge)in production by late 2012 One can only imagine whatthe digital future will hold when technology surroundingsomething ubiquitous as a transistor leapfrogs

When Intel got the 22 nm fabrication process to workgetting the right number of transistors to fit in a useable formfactor Moorersquos Law is safe for another two years when thefabrication process will use 14 nm nodes

43 Spintronics In conventional electronics theory chargeof electron is used to achieve functionalities for diodestransistors electrooptic devices However the spintronicstechnology manipulates electron spin or resulting mag-netism to achieve newimproved functionalities spin tran-sistors Figure 12(b) [30] memories as shown in Figure 12(a)[31] higher speed lower power tunable detectors and lasers

bits (Q-bits) for quantum computing Spintronics has actuallybeen around for years IBM produced disk drive heads usinggiant magnetoresistive (GMR) technology taking advantageof these properties in 1997 Magnetic random access memory(MRAM) could become the next area where spintronicsis incorporated Ideally MRAM would be able to store asubstantial amount of data consume little energy operateat a much faster rate than conventional flash memory andlast forever Figure 12(b) shows the inject polarized spinfrom one FM contact other FM contact is analyzer andmodulates current by modifying spin precession via Rashbaeffect Asymmetry-spin-orbit interact

Finding a replacement for flash technology which is usedin cell phones memory cards in digital cameras and otherdevices is an urgent business in the semiconductor marketDemand for flash is growing extremely rapidly

44 Carbon Nanotube (CNT) As we discuss in the previ-ous section IBMrsquos approach of using DNA molecules asscaffoldingmdashwhere millions of carbon nanotubes (CNT)could be deposited and self-assembled into precise patternsby sticking to the DNA moleculesmdashmay provide a way toreach sub-22 nm lithography

In our previous works [32] we elucidated the quantummechanical nature of the Einstein photoelectric effect interms of a field-effect transistor (FET) made of CarbonNanotube (CNT) semiconductors Consequently we discov-ered a surprising low-pass band gap property as shown inFigure 13(a) as opposed to the traditional sharp band-passband gaps In other words there exists a minimum amountof photon energy nℎ120596 shining on CNT which is necessary toexcite the semiconductor CNT into free electrons Applyinga static magnet along the longitudinal direction as shownin (Figure 13(b) (c)) the conduction electron and holeswill be spiral in the opposite direction over the surfacereducing the current density and the collision recombinationchance will therefore be reduced when travelling from thecathode end to the anode end driven internally by theasymmetric semiconductor-metal (using Ag amp Pd) workfunctions (Schottky interface effect) for an automatic trioderead out

Our previous works [32] show that CNT semiconductorshave band-gap-like characteristics different from the tradi-tional semiconductor CNT semiconductors have a low-passband gap rather than band passing according to Low PassBand GapTheorem of CNT (Szu et al 2008) [33]

120582de Broglie =

119875electron= 119899120587119889CNT = 120582MWIR 119899 = 1 2 3

(5)

The combination of micron scale circuit board revolutiondesign and field-effect transistor (FET) made of CarbonNanoTube (CNT) semiconductors is an excellent candidateto further enhance Moorrsquos Law in the next few decades

45 Single-Atom Transistor As the size of transistor keepsshrinking based on what we discuss in this paper wherewhen could be the end of Moorersquos Law

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 9: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Applied Computational Intelligence and Soft Computing 9

DrainGate

Source

Oxide

Siliconsubstrate

(a) (b)

2

18

16

14

12

1

08

0605 06 07 08 09 1 11

Tran

sisto

r gat

e del

ay (n

orm

aliz

ed)

Operating voltage (V)

37faster 32 nm

planar

18faster

22 nmtri-gate

(c)

Figure 11 Fully depleted Tri-Gate Transistor developed by Intel [5]

Scientists in Australia [34] claim to have created atransistor the size of a single atom opening the way for thenext generation of nanotechnology The microscopic deviceis made of a single phosphorus atom embedded into siliconwith ldquogatesrdquo to control electrical flow and metallic contactsthat are also on an atomic scale The single atom creation inAustralia could radically alter Moorersquos prediction redefiningthe possible size of future gadgets and their applicationsThisresearch team demonstrated a working transistor comprisedof a single atommdashnearly 100 times smaller than the 22-nanometer cutting-edge transistors fabricated by Intel as wediscussed previouslyMore importantly the research team ledby Michelle Simmons of the University of New South Walesin Sydneywas able to show amethod for repeating the processwith great accuracy and in a fashion that is compatible withthe CMOS technology used in transistor fabrication today

The work of Simmons and her colleagues could show away to keep making microprocessor circuitry smaller andsmaller through 2020 and beyond In recent years advancesin quantum computing have offered a viable path to smallerand smaller transistors But the new research might be thefirst strong sign that atomic-level transistor fabrication can

be done in keeping with the part of Moorersquos Law that isoften forgotten amidst the wonderment over tinier and tiniercomputer chipsmdashthat it be done cheaply

46 Quantum Computers Quantum electronic devices andthis effect will be more obvious as the transistors are going tohave molecular scale

The theory of quantum computation is one of thepossible solutions to move the computation to a differentcomputing paradigm which is based on the theory of usingquantum mechanics to perform computations instead ofclassical physics [35] In the quantum world we are facedwith a probability density spread all over the world withouta detecting operation it will be impossible to understandwhether that value is zero or one

As a mathematical definition a Qbit is a vector a linearcombination of two fundamental bases states known as |0⟩ =

119868 and |1⟩ = 119895 A vector presentation is shown as [35]1003816100381610038161003816120593 ge 120572 |0⟩ + 120573 |1⟩ where 120572

2+ 1205732= 1 (6)

where the term |119909⟩ called ket is another representation ofa vector also ⟨119909| known as bra is a transposed vector and

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 10: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

10 Applied Computational Intelligence and Soft Computing

Magnetic randomaccess memory

Magnetic randomaccess memory

(a)

Spininjector

FM metal FM metalSchottky gate

Spinanalyzer

B 2DEGInGaAs

Modulation doped AlGaAs

(b)

Figure 12 (a) Magnetic radom access memory (IBM) (b) spin transistor

119864conduction

119864Fermi

eminus

1198681199072 = 01198681199071

ℎ119907 gt 119864119892

119864valance

Ag CNT Pd

Substrate

Schottky contactdepletion region Ohmic contact

PdAg

(a)

c

d S D

S D

Gate

Gate Gate

Gate

S D

119864

119861119868119868

119861119868119868

119864gap

119881sd119868

119881gr119881gl

500nm

500nm

SiO2 Si++

(b)

Figure 13 (a) 1D CNT has double-bond carbon rigid lattice suffering less thermal noise which is about 05 KT 13 of the thermal noiseof CCD dark current liquid nitrogen coolant (LNC) (b) Axial magnetic field increases the surface area as the phase space and avoids thecollision recombination of photoelectric carriers (after Rice Cornel Univ)

⟨119909 | 119910⟩ called braket is the inner product of these two vectorsA vector space with this inner product is called HillbertSpace

This linear combination is called a Quantum Superposi-tion of the basis states |0⟩ and |1⟩ The only condition withthis definition is 1205722 + 120573

2= 1 This is because 120572

2 and 1205732 are

quantumprobability densities A sampleQbitmodel is shownin Figure 14

Quantum computers are still in the beginning of theirway It has also been suggested that quantummechanics maybe playing a role in consciousness if a quantum mechanicalmodel of mind and consciousness was developed this wouldhave significant impact on computational and artificial intel-ligence If the brain handles quantum-type transformationssomewhere in its neural network this could lead to futurequantum computers being biologicalbiochemical in nature[35]

Although quantum computing can bring our logic ele-ment down to molecular scale however quantum computersare still faced with the following challenges (a) interconnec-tion across long distance (b) room-temperature operation(c) lack of classical efficient algorithms (d) setting the initialstate of the system and (e) single defect in line of dots willstop propagation

120593

∣0gt

∣1gt

Figure 14 Qbit model in state (1radic2)|0⟩ + (1radic2)|1⟩

5 Summary of Key Technologies

Table 2 shows the summary of key future nanotechnologieswith known advantagesdisadvantages and application

6 Future of Moorersquos Law

There have been numerous papers and discussions regardingthe lives and deaths of Moorersquos Law [36] Before we get intothis type of endless debate we found that we can compare

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 11: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Applied Computational Intelligence and Soft Computing 11

Table 2 Key future nanotechnologies

Device Applications Advantages Disadvantages

3D transistor Logic element Small size Will still face tunneling effect issueMemory Low power Clock tree routing

Spintronics Memory Small size Control of magnetic field versus spin-polarized currentLogic element Low power Drivability

Carbon nanotubeFETgraphene Logic element

Ballistic transport(high speed)

Placement of nanotubesgraphene in a circuit is difficultand not yet production

Small size Control of electrical properties of carbon nanotube(size chirality) difficult and not yet stably achieved

Single-electron transistors(SET) Logic element

Sensitive to background charge instabilitySmall sizeLow power

High resistance and low drive currentCannot drive large capacitive (wiring) loadsRequires geometries 10 nm for room-temperatureoperation

Quantum dot (quantumcellular automata QCA)

Multiple levels of interconnection across long distancedifficultRoom-temperature operation difficult

Logic element Small size New computation algorithms requiredMethod of setting the initial state of the system notavailableSingle defect in line of dots will stop propagation

DNA computing Logic element High parallelism Imperfect yieldGeneral-purpose computing not possible

Quantum computing Logic elementHigh computingspeed for somecertain problem

The coherence in some highly promising concepts forqubits will disappear after about a second Moreoverthe smaller the qubits the faster that process occurs Theinformation exists may not be long enough to beprocessed

the Moorersquos Law semiconductor history up to today and theDow Jones Industrial Average Curves as shown in Figure 15What we can learn from this comparison is that people triedtheir best on both semiconductor developments and the stockmarket investment in the past few decades It seems like theircurvestrends show us that they are highly related at leastfrom 1971 up to today (of course we took log on number oftransistor) In addition if we compare thatMoorersquos predictionfor the past four decades against the semiconductor industrywe have to admit Moore is a visionary no matter what isgoing to happen in the future

Looking forward in this work we further identify thefuture transistor counts and places on the following decades2020 2030 and so on Then we estimate the sizes of the keytechnologies thatwe investigated in thiswork and locate themon the same chart assumingMoorersquos Law is still live Figure 15shows that we will face key challenges almost every singledecade if we want to meet Moorersquos Law up to year 2050

When will Moorersquos Law end This is a popular questionthat scientists keep on asking To answer this questionwe may need to review the original definition of MoorersquosLaw If we consider Moorersquos Law as simply just a transis-torcomponent count in a chip then we can easily break thislaw today by making the semiconductor area bigger or

stacking multiple dies in one Hence in this work weconsider Moorersquos Law as a matter of transistorcomponentdensity in a chip and focus on the technologies barriers of thislaw Based on our calculations along the Moorersquos Law curveshown in Figure 15 by 2060 our technology node will getinto a subatomic scale In other words we have nothing toimprove on the transistorcomponent density in a chip Theonly thing we can do is extending the chip in 2-D or 3-D(stack) and make the die size larger unless we find a way tomake a switch inside an atom and solve the signal drivabilityissue also in atom scale in the future Otherwise to discussMoorersquos Law beyond that point in Figure 15 will becomemeaningless

7 Conclusion

Whether there is an ultimate limit to Moorersquos Law is anopen debate dependent upon future electronic innovationsmaterial science and physics Moorersquos prediction as early as1965 proves since Turing that he is a unique technologicalvisionary who quietly led the silicon revolution with hisown law We have estimated that the potential future nan-otechnologies will enhance the current known barriers forMoorersquos Law Based on our estimations on the scale of these

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 12: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

12 Applied Computational Intelligence and Soft Computing

3D semiconductorSpintronicsHeisenberg uncertainty

CNT transistor

Single-atom transistor

End of Moorersquos law504540353025201510

501960 1970 1980 1990 2000 2010 2020 2030 2040 2050 2060 2070

Moorersquos lawNano enhances Moorersquos law

Semiconductor limitedMoorersquos law

Dow Jones industrialaverage (1971ndash2012)

log 2

(num

ber o

f tra

nsist

ors

1000

)

Figure 15 Moorersquos Law history future limited factors and Nano-technology-Enhance factors for Moorersquos Law and compared withDow Jones industrial average in the same period of time (1971ndash2011)

nanotechnologies we further forecast the major milestonesand key technologies that confront us in the near future inFigure 14 The computing industry and the world populationhave enjoyed five remarkable decades of Moorersquos Law Upto the next half-millennium our discussion of MoorersquosLaw in turn of density of discrete computing elements willbecome meaningless from quantum mechanical uncertaintyand entanglement technologies point of view As beforethose days the economic limit will continue playing thekey role despite of the fact that we know we cannot breakthe fundamental limits of the atomic and nucleus nature ofmatter The ubiquitous computing in the future might be inan entirely different form of the information representationand nonlocal manipulation However the bottleneck mightbe in the transformation between the classical Moorersquos Lawlocalized computing and a modern Moorersquos Law-distributedcomputing that remains to be formulated by some othervisionaries

Acknowledgment

This work is supported by US Air Force Scientific ResearchOffice Grant 2010ndash2012 and a partial support to CUA

References

[1] P K Bondyopadhyay ldquoMoorersquos law governs the silicon revolu-tionrdquo Proceedings of the IEEE vol 86 no 1 pp 78ndash81 1998

[2] A Turing ldquoComputingMachinery and IntelligencerdquoMind vol59 pp 433ndash460 1950

[3] G E Moore ldquoCramming more components onto integratedcircuitsrdquo Electronics vol 38 no 8 pp 114ndash117 1965

[4] G E Moore ldquoProgress in digital integrated electronicsrdquo Pro-ceedings of the International Electron Devices Meeting (IEDMrsquo75) vol 21 pp 11ndash13 1975

[5] J R Powell ldquoThe quantum limit to Moorersquos lawrdquo Proceedings ofthe IEEE vol 96 no 8 pp 1247ndash1248 2008

[6] G C Orsak et al Engineering OurDigital Future Prentice-HallUpper Saddle River NJ USA 2004

[7] H M Cheng ldquoDevelopment of graphene-based materials forenergy storagerdquo in Proceedings of the 8th International VacuumElectron Sources Conference (IVESC rsquo10) p 49 October 2010

[8] V V Zhirnov R K Cavin J A Hutchby and G I BourianoffldquoLimits to binary logic switch scalingmdasha gedanken modelrdquoProceedings of the IEEE vol 91 no 11 pp 1934ndash1939 2003

[9] D Martell ldquoBIntelrsquos Moore Muses on End of TechnologyMaximrdquo 2007

[10] Fundamental physical constantsNational Institute of Standardsand Technology (NIST)Committee on Data for Science andTechnology (CODATA) 2006

[11] J Baez Length Scales in Physics University of CaliforniaRiverside Calif USA 2005

[12] P E Ross ldquo5 commandmentsrdquo IEEE Spectrum vol 40 no 12pp 30ndash35 2003

[13] K Rupp and S Selberherr ldquoThe economic limit to moorersquos lawrdquoProceedings of the IEEE vol 98 no 3 pp 351ndash353 2010

[14] R R Schaller ldquoMoorersquos law past present and futurerdquo IEEESpectrum vol 34 no 6 pp 52ndash57 1997

[15] K-Y K Chang S T Chuang N McKeown and M Horowitzldquo50 Gbs 32 times 32 CMOS crossbar chip using asymmetric seriallinksrdquo in Proceedings of the Symposium on VLSI Circuits pp 19ndash20 June 1999

[16] GrahamH Johnson andM s lHigh-Speed Signal PropagationAdvanced BlackMagic PrenticeHall NewYork NYUSA 2003

[17] MMishali andY C Eldar ldquoWideband spectrum sensing at sub-nyquist ratesrdquo IEEE Signal Processing Magazine vol 28 no 4pp 102ndash135 2011

[18] C E Shannon ldquoCommunication in the presence of noiserdquo inProceedings of the Institute of Radio Engineers (IRE rsquo49) vol 37pp 10ndash21 January 1949

[19] G Kim ldquoHow Fast is Mobile Bandwidth Demand GrowingrdquoRazorsight Corp 2012 httpwwwrazorsightcom

[20] M Meeker K Perkins and M Stanley Research Berg Insighthttpwwwberginsightcom

[21] Gartner IDC Strategy Analytics BI Intelligence[22] M Totzeck W Ulrich A Gohnermeier and W Kaiser ldquoSemi-

conductor fabrication pushing deep ultraviolet lithography toits limitsrdquo Nature Photonics vol 1 no 11 pp 629ndash631 2007

[23] P Zimmerman Double Patterning Lithography Double theTrouble or Double the Fun 2009

[24] E Scott ldquoThompson power cost and circuit IP reuse the reallimiter to moorersquos law over the next 10 yearsrdquo in InternationalSymposiumonVLSI Technology Systems andApplications (VLSI-TSA rsquo10) April 2010

[25] G P Perrucci F H P Fitzek and J Widmer ldquoSurvey on energyconsumption entities on the smartphone platformrdquo in Proceed-ings of the IEEE 73rd Vehicular Technology Conference (VTCSpring) May 2011

[26] S Borkar and A A Chien ldquoThe future of microprocessorsrdquoCommunications of the ACM vol 54 no 5 pp 67ndash77 2011

[27] A Viterbi Shannon Capacity Limits of Wireless NetworksSequences Subsequences and Consequences Lecture Notes inComputer Science 2007

[28] Rysavy Research ldquoMobile BroadbandCapacity Constraints andthe Need for Optimizationrdquo Rysavy Research LLC httpwwwrysavycom

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 13: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Applied Computational Intelligence and Soft Computing 13

[29] R J Kershner L D Bozano C M Micheel et al ldquoPlacementand orientation of individual DNA shapes on lithographicallypatterned surfacesrdquo Nature Nanotechnology vol 4 no 9 pp557ndash561 2009

[30] D Bruce McCombe Department of Physics University at Buf-falo State University of New York httpwwwcsequinbuffaloeduLecturesSemicondSpintronicsppt

[31] IBM Corporation httpwwwibmcom[32] H Szu Y L Shen J Wu and K Reinhardt ldquoNon-cryogenic

cooled MWIR with swap-limited carbon nanotubesrdquo in Pro-ceedings of the Independent Component Analyses WaveletsNeural Networks Biosystems and Nanoengineering IX vol 8058of Proceedings of SPIE June 2011

[33] H Szu L H Ya and K Reinhardt ldquoNano-Surgeon targeted attumor cells mediated with CNT at NIR band-gaprdquo in Presentedin USTaiwan Nanotech Workshop Dr Weinstock AFOSR SanFrancisco Calif USA 2008

[34] Centre for Quantum Computation and Communication at theUniversity of New South Wales httpwwwcqc2torg

[35] S Jafarpour ldquoIntroduction to the world of Quantum Comput-ersrdquo in Proceedings of the 5th IEEE International Conference onCognitive Informatics (ICCI rsquo06) pp 760ndash764 July 2006

[36] H Huff Into The Nano Era Moorersquos Law Beyond Planar SiliconCMOS Springer 2008

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Page 14: Review Article A Nanotechnology Enhancement to Moore s Lawdownloads.hindawi.com/journals/acisc/2013/426962.pdf · Review Article A Nanotechnology Enhancement to Moore s Law ... mechanical

Submit your manuscripts athttpwwwhindawicom

Computer Games Technology

International Journal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Distributed Sensor Networks

International Journal of

Advances in

FuzzySystems

Hindawi Publishing Corporationhttpwwwhindawicom

Volume 2014

International Journal of

ReconfigurableComputing

Hindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Applied Computational Intelligence and Soft Computing

thinspAdvancesthinspinthinsp

Artificial Intelligence

HindawithinspPublishingthinspCorporationhttpwwwhindawicom Volumethinsp2014

Advances inSoftware EngineeringHindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Electrical and Computer Engineering

Journal of

Journal of

Computer Networks and Communications

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporation

httpwwwhindawicom Volume 2014

Advances in

Multimedia

International Journal of

Biomedical Imaging

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

ArtificialNeural Systems

Advances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

RoboticsJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Computational Intelligence and Neuroscience

Industrial EngineeringJournal of

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Modelling amp Simulation in EngineeringHindawi Publishing Corporation httpwwwhindawicom Volume 2014

The Scientific World JournalHindawi Publishing Corporation httpwwwhindawicom Volume 2014

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014

Human-ComputerInteraction

Advances in

Computer EngineeringAdvances in

Hindawi Publishing Corporationhttpwwwhindawicom Volume 2014


Recommended