+ All Categories
Home > Documents > Robust Low Power Computing in the Nanoscale...

Robust Low Power Computing in the Nanoscale...

Date post: 29-Mar-2020
Category:
Upload: others
View: 2 times
Download: 0 times
Share this document with a friend
62
1 Robust Low Power Computing Robust Low Power Computing in the Nanoscale Era in the Nanoscale Era Todd Austin Todd Austin University of Michigan University of Michigan [email protected] [email protected] Thanks Thanks Slide/concept contributions by: Slide/concept contributions by: David Blaauw, University of Michigan David Blaauw, University of Michigan Kypros Kypros Constantinides Constantinides, University of Michigan , University of Michigan Kris Kris Flautner Flautner , ARM Ltd. , ARM Ltd. Nam Sung Kim, Intel Corporation Nam Sung Kim, Intel Corporation Trevor Mudge, University of Michigan Trevor Mudge, University of Michigan Leyla Nazhandali, Virginia Tech Leyla Nazhandali, Virginia Tech Dennis Sylvester, University of Michigan Dennis Sylvester, University of Michigan Chris Weaver, Intel Corporation Chris Weaver, Intel Corporation
Transcript
Page 1: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

1

Robust Low Power ComputingRobust Low Power Computingin the Nanoscale Erain the Nanoscale Era

Todd AustinTodd Austin

University of MichiganUniversity of Michigan

[email protected]@umich.edu

ThanksThanks

Slide/concept contributions by:Slide/concept contributions by:•• David Blaauw, University of MichiganDavid Blaauw, University of Michigan•• KyprosKypros ConstantinidesConstantinides, University of Michigan, University of Michigan•• Kris Kris FlautnerFlautner, ARM Ltd., ARM Ltd.•• Nam Sung Kim, Intel CorporationNam Sung Kim, Intel Corporation•• Trevor Mudge, University of MichiganTrevor Mudge, University of Michigan•• Leyla Nazhandali, Virginia TechLeyla Nazhandali, Virginia Tech•• Dennis Sylvester, University of MichiganDennis Sylvester, University of Michigan•• Chris Weaver, Intel CorporationChris Weaver, Intel Corporation

Page 2: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

2

Evolution of a 90Evolution of a 90’’s Highs High--End ProcessorEnd Processor

CompaqCompaq’’s Alphas Alpha

67 A @ 100 W67 A @ 100 W

Power density 30 W/cmPower density 30 W/cm2 2

Power(Watts)

Freq.(MHz)

Die Size(mm 2)

Vdd

Alpha21064

30 200 234 3.3

Alpha21164

50 300 299 3.3

Alpha21264

72 667 302 2.0

Alpha21364

100 1000 350 1.5

High 90High 90’’s Digital Signal Processors Digital Signal Processor

Analog Devices 21160 SHARCAnalog Devices 21160 SHARC•• 600 Mflops @ 2W600 Mflops @ 2W•• 100 Mhz SIMD with 6 computational units100 Mhz SIMD with 6 computational units

Recognized that parallelism saves powerRecognized that parallelism saves powerHad the right workload to exploit this factHad the right workload to exploit this fact

[We will see that the story has become more complicated][We will see that the story has become more complicated]

Page 3: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

3

Why does power matter?Why does power matter?

“…“… left unchecked, power consumption will left unchecked, power consumption will reach 1200 Watts for highreach 1200 Watts for high--end processors in end processors in 2018. 2018. …… power consumption [is] a major power consumption [is] a major shows topper with offshows topper with off--state current leakage state current leakage ‘‘a a limiter of integrationlimiter of integration’’..””

Intel chairman Andrew Grove Intel chairman Andrew Grove Int. Electron Int. Electron Devices MeetingDevices Meeting keynote Dec. 2002keynote Dec. 2002

Total Power of CPUs in PCsTotal Power of CPUs in PCs

Early Early ’’9090’’s s –– 100M CPUs @ 1.8W = 180MW100M CPUs @ 1.8W = 180MWEarly 21Early 21stst –– 500M CPUs @ 18W = 10,000MW500M CPUs @ 18W = 10,000MWExponential growthExponential growthRecent comment in a Financial Times article: Recent comment in a Financial Times article: 10% of US10% of US’’s energy use is for computerss energy use is for computers•• exponentially growth implies it will overtake exponentially growth implies it will overtake

cars/homes/manufacturingcars/homes/manufacturing

NOT! NOT! –– why wewhy we’’re herere here

Page 4: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

4

What hasnWhat hasn’’t followed Mooret followed Moore’’s Laws Law

Batteries have onlyBatteries have onlyimproved their powerimproved their powercapacity by aboutcapacity by about5% every two years5% every two years

Also Important For Server SystemsAlso Important For Server Systems

Internet Service ProviderInternet Service Provider’’s Data Centers Data CenterHeavy duty factory Heavy duty factory –– 25,000 sq. ft. ~8,000 servers, ~2,000,000 Watts25,000 sq. ft. ~8,000 servers, ~2,000,000 WattsWant lowest cost/server/sq. ft.Want lowest cost/server/sq. ft.Cost a function of:Cost a function of:•• cooling air flowcooling air flow•• power deliverypower delivery•• racking heightracking height•• maintenance costmaintenance cost•• lead cost driver is power ~25%lead cost driver is power ~25%

Page 5: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

5

Why does robustness matter?Why does robustness matter?…… the ability to consistently resolve critical dimensions of 30nmthe ability to consistently resolve critical dimensions of 30nmis severely compromised creating substantial uncertainty in is severely compromised creating substantial uncertainty in device performance. ... at 30nm design will enter an era of device performance. ... at 30nm design will enter an era of ““probabilistic computing,probabilistic computing,”” with the behavior of logic gates no with the behavior of logic gates no longer deterministiclonger deterministic……susceptibility to single event upsets from radiation particle susceptibility to single event upsets from radiation particle strikes will grow due to supply voltage scaling while power strikes will grow due to supply voltage scaling while power supply integrity (IR drop, inductive noise, electromigration supply integrity (IR drop, inductive noise, electromigration failure) will be exacerbated by rapidly increasing current demanfailure) will be exacerbated by rapidly increasing current demand d new approaches to robust and low power design will be crucial new approaches to robust and low power design will be crucial to the successful continuation of process scaling ... to the successful continuation of process scaling ...

Intel chairman Andrew Grove Intel chairman Andrew Grove Int. Electron Devices MeetingInt. Electron Devices Meeting keynote keynote Dec. 2002Dec. 2002

Why does robustness matter?Why does robustness matter?

GroveGrove’’s commentss comments•• SEUsSEUs•• IR dropIR drop•• inductive noiseinductive noise•• Electromigration, etc.Electromigration, etc.

Increase in variability as feature sizes decrease Increase in variability as feature sizes decrease Likely to be the next major challengeLikely to be the next major challenge•• strengthen interest in faultstrengthen interest in fault--tolerancetolerance•• renew interest in selfrenew interest in self--healinghealing

Page 6: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

6

How are they related?How are they related?

The move to smaller features can help with The move to smaller features can help with power power –– with qualificationswith qualificationsSmaller features increase design marginsSmaller features increase design margins•• reduce power savingsreduce power savings•• reduce performance gainsreduce performance gains•• reduced area benefitsreduced area benefits

ChallengesChallengesPower density is growingPower density is growingSystems are becoming less robustSystems are becoming less robustCan architecture help?Can architecture help?

•• Lower power organizations Lower power organizations –– quick estimates of powerquick estimates of power•• Robust organizations Robust organizations –– quick estimates of robustnessquick estimates of robustness

By one account we need a 2x reduction in By one account we need a 2x reduction in power/generation from architecturepower/generation from architecture

Question where will the solution come fromQuestion where will the solution come from•• processprocess•• circuitscircuits•• architecturearchitecture•• OS OS •• languagelanguage

Page 7: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

7

Tutorial ScheduleTutorial Schedule

Power Issues: Dynamic and Static PowerPower Issues: Dynamic and Static Power•• Dynamic Power OverviewDynamic Power Overview•• Static Power OverviewStatic Power Overview•• Power TrendsPower Trends

Low Power Design TechniquesLow Power Design TechniquesReliability Issues: SER, Variability and DefectsReliability Issues: SER, Variability and DefectsBreakBreakFault Tolerant Design TechniquesFault Tolerant Design TechniquesRobust Low Power Design TechniquesRobust Low Power Design Techniques

Power SourcesPower SourcesTotal Power = Total Power = Dynamic Power + Static Power + Short Circuit PowerDynamic Power + Static Power + Short Circuit Power

Page 8: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

8

Dynamic Power ConsumptionDynamic Power ConsumptionInverter initial state: Inverter initial state:

Input 1Input 1Output 0Output 0

No dynamic powerNo dynamic power

10

Dynamic Power ConsumptionDynamic Power ConsumptionInput 1Input 1→→00•• Energy drawn from power Energy drawn from power

supply:supply:

•• Energy consumed by Energy consumed by PMOS:PMOS:

•• Power isPower is

20

)(

)(

dd

V

Odd

dd

supply

CV

dVCV

dttiV

dttPE

dd

=

⋅=

⋅=

⋅=

∫∫

2

21

)()(

)(

dd

Odd

PMOS

CV

dttiVV

dttPE

=

⋅−=

⋅=

∫∫

2

21

ddPMOS fCVEfP =⋅=

Page 9: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

9

Dynamic Power ConsumptionDynamic Power ConsumptionInput 0Input 0→→11•• Energy drawn from Energy drawn from

supply: 0supply: 0•• Energy consumed by Energy consumed by

NMOS equals to the NMOS equals to the energy stored on the energy stored on the capacitance:capacitance:

•• Power isPower is

2

21)( ddONMOS CVdttiVE =⋅= ∫

2

21

ddNMOS fCVEfP =⋅=

Leakage Current ComponentsLeakage Current Components

Subthreshold leakage (ISubthreshold leakage (Isubsub))•• Dominant when device is OFFDominant when device is OFF•• Enhanced by reduced VEnhanced by reduced Vt t

due to process scalingdue to process scaling

Gate tunneling leakage (IGate tunneling leakage (Igategate))•• Due to aggressive scaling of Due to aggressive scaling of

the gate oxide layer the gate oxide layer thickness (Tthickness (Toxox))

•• A super exponential function A super exponential function of Tof Toxox

•• Comparable to IComparable to Isubsub at 90nm at 90nm technologytechnology

Page 10: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

10

Dynamic and Leakage Power TrendsDynamic and Leakage Power Trends

ITRS 2002 projections with doubling # of transistors ITRS 2002 projections with doubling # of transistors every two yearsevery two years

Temperature DependenceTemperature DependenceTemperature across Temperature across chip varies chip varies significantlysignificantlySubSub--threshold leakage threshold leakage a strong function of a strong function of temperaturetemperatureGate leakage less Gate leakage less sensitive to sensitive to temperaturetemperatureGreater than 10% Greater than 10% variation /10 deg C variation /10 deg C

Source: R. Rao

Page 11: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

11

Tutorial ScheduleTutorial Schedule

Power Issues: Dynamic and Static PowerPower Issues: Dynamic and Static PowerLow Power Design TechniquesLow Power Design Techniques•• Dynamic Power Reduction TechniquesDynamic Power Reduction Techniques•• Static Power Reduction TechniquesStatic Power Reduction Techniques•• Research Topic: Subthreshold Sensor ProcessorsResearch Topic: Subthreshold Sensor Processors

Reliability Issues: SER, Variability and DefectsReliability Issues: SER, Variability and DefectsBreakBreakFault Tolerant Design TechniquesFault Tolerant Design TechniquesRobust Low Power Design TechniquesRobust Low Power Design Techniques

How to Reduce Dynamic PowerHow to Reduce Dynamic PowerMore generallyMore generally

To reduce dynamic To reduce dynamic power, we can reducepower, we can reduce

2

21

dddyn fCVP α= where iswhere is switching activityswitching activityα

–– clock gatingclock gatingCC –– sizing downsizing downff –– lower frequencylower frequencyVddVdd –– lower voltagelower voltage

α

Page 12: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

12

Dynamic Power Reduction Dynamic Power Reduction -- Parallel ComputationParallel Computation

Vdd, fVdd/2, f/2 Vdd/2, f/2

2ddCVEnergy = 22

21)

2(2 dd

dd CVVCEnergy =⋅=

Energy reduced by 50%, but double the Energy reduced by 50%, but double the area and more leakagearea and more leakage

•• JustJust--inin--time Dynamic Voltage time Dynamic Voltage Scaling (DVS) Scaling (DVS) –– cubic energy cubic energy saving with duty cyclesaving with duty cycle

3

3

2

) *(

*)(

*

cycledutyf

f

tVCf

tPEnergy

Vdd

scaled

taskscaledSscaled

taskVscaled

=

=

Dynamic Power Reduction Dynamic Power Reduction -- DVSDVS

•• Clock/power gating Clock/power gating –– linear linear energy saving with duty energy saving with duty cyclecycle

) (***

cycledutytPtPEnergy

taskVdd

onVdd

==

Freq

Vdd

ttask

ton

Given dynamic workload Given dynamic workload –– scale frequency or voltagescale frequency or voltage

Page 13: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

13

How Far Can We Scale Down the Voltage?How Far Can We Scale Down the Voltage?

333M333M--733M733M0.95V0.95V--1.55V1.55VIntel Intel XScaleXScale 8020080200

300M300M--1G1G0.8V0.8V--1.3V1.3VTransmetaTransmeta Crusoe TM5800Crusoe TM5800

153M153M--333M333M1.0V1.0V--1.8V1.8VIBM PowerPC 405LPIBM PowerPC 405LP

Frequency RangeFrequency RangeVoltage RangeVoltage Range

Traditional DVS (Dynamic Voltage Scaling)Traditional DVS (Dynamic Voltage Scaling)•• Scaling rang limited to less than Scaling rang limited to less than VddVdd/2/2

Minimum functional voltageMinimum functional voltage•• For an CMOS inverter is [For an CMOS inverter is [MeindlMeindl, JSSC 2000]:, JSSC 2000]:

~ 48mV for a typical 0.18~ 48mV for a typical 0.18μμm technologym technology)10ln

1ln(2,T

STlimitdd V

SVV

⋅+=

LongRunLongRun Power Management Power Management [[TransmetaTransmeta]]

Source: Crusoe™ LongRun™ Power Management White Paper

Page 14: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

14

SpeedStepSpeedStep Technology Technology [Intel][Intel]

Next generation Speedstep supports more V,F settings10ms performance switch time Software algorithms to dynamically change settings based on performance statistics

Frequency Voltage1.6 GHz (HFM) 1.484 V1.4 GHz 1.420 V1.2 GHz 1.276 V1.0 GHz 1.164 V800 MHz 1.036 V600 MHz (LFM) 0.956 V

Pentium M 1.6 GHz

Reducing Static Power withReducing Static Power withDual VDual Vtt AssignmentsAssignments

Transistor is assigned either a high or low Transistor is assigned either a high or low VtVt•• LowLow--VVtt transistor has reduced delay and transistor has reduced delay and

increased leakageincreased leakage

TradeTrade--off degrades for lower supply voltageoff degrades for lower supply voltage

Low-Vt; 0.9V High-Vt; 0.9V Low-Vt; 1.8V High-Vt; 1.8V

Leakage (norm) 1 0.06 1 0.07

Delay (norm) 1 1.30 1 1.20

Page 15: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

15

Dual VDual Vtt ExampleExampleDual VDual Vtt assignment approachassignment approach•• Transistor on critical path: low VTransistor on critical path: low Vtt

•• NonNon--critical transistor: high critical transistor: high VVtt

0

0.2

0.4

0.6

0.8

1

All Low Vt Dual Vt

Nor

mal

ized

Lea

kage

cur

rent (Leakage Reduction)

(1x)

(~2x)

State Dependence (State Dependence (IIsubsub))Simulation results of a 0.13um processSimulation results of a 0.13um process

Three OFF transistors in stackThree OFF transistors in stackOne OFF transistor in stackOne OFF transistor in stack

8X increase in leakage8X increase in leakage

Input ABC Output

Subthreshold Leakage (pA)

000 1 8.0836100 1 15.1873010 1 13.5167110 1 55.2532001 1 13.4401101 1 54.5532011 1 64.259111 0 191.2692

0

50

100

150

200

250

000 100 010 110 001 101 011 111

Input ABC

Subt

hres

hold

Lea

kage

(pA)

Source: F. Najm

Approach: rework FSM state Approach: rework FSM state assignentassignent or logicor logic

Page 16: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

16

Balloon Latch Balloon Latch [[ShigematsuShigematsu]]

OnOn--Chip Cache Leakage PowerChip Cache Leakage Power

Caches design with 70Caches design with 70--nm BPTM and subnm BPTM and sub--banking techniquebanking technique

leakage isleakage is57% of total cache power57% of total cache power

Rel

ativ

e Po

wer

Page 17: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

17

OnOn--Chip Cache Leakage PowerChip Cache Leakage Power

Large and fast cachesLarge and fast caches•• Improving memory system performanceImproving memory system performance•• Consuming sizeable fraction of total chip powerConsuming sizeable fraction of total chip power

StrongARM StrongARM –– ~60% for on~60% for on--chip L1 cacheschip L1 caches

More caches integrated on chip More caches integrated on chip •• 2x64KB L1 / 1.5MB L2 in Alpha 214642x64KB L1 / 1.5MB L2 in Alpha 21464•• 256KB L2 / 3MB(6MB) L3 in Itanium 2256KB L2 / 3MB(6MB) L3 in Itanium 2

Increasing onIncreasing on--chip cache leakage powerchip cache leakage power•• Proportional to Proportional to exp (1/Vexp (1/VTHTH)) ×× # of bits# of bits•• 1MB L2 cache leakage power 1MB L2 cache leakage power –– 87% in 70nm tech87% in 70nm tech

Drowsy Caches Drowsy Caches [Mudge][Mudge]

Put cache lines into Put cache lines into lowlow--power mode power mode when when idleidleCache energy Cache energy reductions of reductions of 54% to 54% to 58%58%Run time increase by Run time increase by 0.41% 0.41% for awake tag for awake tag (drowsy tag)(drowsy tag) Dynamic Dynamic

Leakage

LeakageDrowsy

0

20

40

60

80

100

Regular D$ Drowsy D$

Vdd (1V)VddLow (.3V)

Drowsy SRAM Cell

!drowsy

drowsy

Page 18: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

18

Sensing Communication

Computation

Power Supply

Storage

MEMS-based Sensors

Proximity

NovelLow-leakage Memories

Thin-film Batteries

SubthresholdProcessors

Research Topic:Research Topic:Subthreshold Sensor Processors Subthreshold Sensor Processors [Austin/Blaauw][Austin/Blaauw]

Energy Efficiency: A Key RequirementEnergy Efficiency: A Key Requirement

They live on a limited amount of energy generated from a small battery or scavenged from the environment.Traditionally the communication component is the most power-hungry element of the system. However, new trends are emerging:

Passive telemetry Self-powered RF Proximity comm.

Page 19: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

19

Performance Demands are LOWPerformance Demands are LOW

2965.013943.47

8036.77 8296.37

2253.56

183.25

4.10

1.00

10.00

100.00

1000.00

10000.00

Speed (Hz)

Voltage (V)

Platform

168k9M114M325M250M133M100M

0.2320.51.21.21.21.21.2

1st-gen1st-gen1st-genARM 1020T

ARM 920T

ARM 7TDMI

ARM 720T

The Basics of Subthreshold Circuit OperationThe Basics of Subthreshold Circuit Operation

A Short Animation A Short Animation ☺☺

Page 20: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

20

Episode 1: Inverter operation in Episode 1: Inverter operation in superthreshold domainsuperthreshold domain

SuperthresholdSuperthreshold

P

N

P

N

1.2V 0VOUTIN

Page 21: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

21

P

N

P

N

1.2V 0VOUTIN

SuperthresholdSuperthreshold

P

N

P

N

1.2V

0V

1.2V

0V

OUTIN

SuperthresholdSuperthreshold

Page 22: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

22

P

N

P

N

P

N

1.2V

0V

1.2V

0V

OUTIN

SuperthresholdSuperthreshold

NN

P

N

1.2V

0V

1.2V

0V

OUTIN

SuperthresholdSuperthreshold

P

Page 23: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

23

N

P

N

0V 1.2VOUTIN

SuperthresholdSuperthreshold

P

N

P

N

0V 1.2VOUTIN

SuperthresholdSuperthreshold

P

Page 24: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

24

N

P

N

0V 1.2VOUTIN

SuperthresholdSuperthreshold

P

P

N

P

N

OUTIN1.2V

0V

1.2V

0V

SuperthresholdSuperthreshold

Page 25: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

25

P

N

P

N

OUTIN1.2V 0V

SuperthresholdSuperthreshold

Episode 2: Inverter operation in Episode 2: Inverter operation in subthreshold domainsubthreshold domain

Page 26: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

26

P

N

P

N

0.2V 0VOUTIN

SubthresholdSubthreshold

P

N

P

N

0.2V 0VOUTIN

SubthresholdSubthreshold

Page 27: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

27

P

N

P

N

OUTIN0.2V

0V 0V

0.2V

SubthresholdSubthreshold

N

P

N

OUTIN0.2V

0V 0V

0.2V

P

SubthresholdSubthreshold

Page 28: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

28

N

P

N

OUTIN0.2V

0V 0V

0.2V

P

SubthresholdSubthreshold

N

P

N

OUTIN0V 0.2V

P

SubthresholdSubthreshold

Page 29: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

29

N

P

N

OUTIN0V 0.2V

P

SubthresholdSubthreshold

N

P

N

OUTIN0V 0.2V

P

SubthresholdSubthreshold

Page 30: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

30

P

N

P

N

OUTIN0.2V

0V

0.2V

0V

SubthresholdSubthreshold

P

N

P

N

0.2V 0VOUTIN

SubthresholdSubthreshold

Page 31: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

31

Energy Per Instruction AnalysisEnergy Per Instruction Analysis

Activity factor: average number of transistor switches per transistorper cycle Total circuit capacitance

Supply VoltageLeakage current

Clock period

EPI: Energy per Instruction

Cycles per Instruction

Energy per Cycle

Energy Per Instruction AnalysisEnergy Per Instruction Analysis

Effect of reducing the voltage

⇓ quad.

⇓ quad.

Edyn

???

⇓ quad.

Ecycle

⇑ ∼exp.⇑ exp.⇓ lin.Subthreshold

~const.⇑ lin.⇓ lin.Superthreshold

EleaktclkIleakActivity factor: average number of transistor switches per transistorper cycle Total circuit capacitance

Supply VoltageLeakage current

Clock period

EPI: Energy per Instruction

Cycles per Instruction

Energy per Cycle

Tension

Page 32: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

32

11stst--gen General Microarchitecture Overview and gen General Microarchitecture Overview and Exploration OptionsExploration Options

I-Mem8-bit words

ROM8-bit words

Prefetch B

uffer32 bits

Reg File Acc

32 bits

Shifterx1

D-Mem

ALU

IF-STAGE

CONTROL LOGIC

ID-STAGE EX/MEM-STAGE

8 x 16 bits16 x 8 bits32 x 4 bits

81632

8-bit16-bit32-bit

8-bit words16-bit words32-bit words

81632

EventScheduler

ExternalInterrupts

I-Mem8-bit words

ROM8-bit words

Prefetch B

uffer32 bits

Reg File Acc

32 bits

Shifterx1

D-Mem

ALU

IF-STAGE

CONTROL LOGIC

ID-STAGE EX/MEM-STAGE

8 x 16 bits16 x 8 bits32 x 4 bits

8 x 16 bits16 x 8 bits32 x 4 bits

81632

81632

8-bit16-bit32-bit

8-bit16-bit32-bit

8-bit words16-bit words32-bit words

8-bit words16-bit words32-bit words

81632

81632

EventScheduler

ExternalInterrupts

Number of stagesHarvard vs. Von-Neumann archALU width

Presence of instruction prefetch bufferPresence of explicit register file

Subliminal processors

Large solar cell

Solar cell for adders

level converter array

Discrete adders

Mux-based memories

Custom memories

Solar cell for processor

Discrete cells Solar cell for discrete cells

Test module

Test memory

Level converter array Subliminal processors

Large solar cell

Solar cell for adders

level converter array

Discrete adders

Mux-based memories

Custom memories

Solar cell for processor

Discrete cells Solar cell for discrete cells

Test module

Test memory

Level converter array

First Subliminal ChipFirst Subliminal Chip

Page 33: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

33

Pareto Analysis for Several ProcessorsPareto Analysis for Several Processors

2s_h_08w2s_h_16w

2s_h_32w

3s_h_08w

3s_h_16w

3s_h_32w

2s_h_08w_r

2s_h_16w_r2s_h_32w_r

3s_h_08w_r

3s_h_16w_r

3s_h_32w_r

2s_v_08w

2s_v_08w_r

2s_v_16w

2s_v_32w

3s_v_08w

3s_v_16w

1.40E-12

1.60E-12

1.80E-12

2.00E-12

2.20E-12

2.40E-12

2.60E-12

2.80E-12

3.00E-12

5.00E-06 1.00E-05 1.50E-05 2.00E-05 2.50E-05 3.00E-05 3.50E-05 4.00E-05

Inst Latency (1/perf == s/inst.)

Ener

gy (J

/inst

.)

2.663.59

Area = 2.14CPI = 2.88

1.783.62

1.374.99 1.10

6.14

2.334.39

1.775.17

# of stages = 3

Implemented design

architecture: Von Neumann (vs. Harvard)

w/ explicit register file

Bette

r

ALU width

Pareto Analysis of Sensor Network ProcessorsPareto Analysis of Sensor Network Processors

0.01 0 .1 1 100

2

4

6

8

10

12

14

16

18

20

22

24

Ener

gy/In

st (p

J)

M IP S

0.85pJ/[email protected]

Hempstead(Harvard)

cleverDust(Berkley)

SNAP/LE(Cornell)

Subliminal(Michigan)

2.25pJ/Inst@1MIPS

0 .01 0 .1 1 100

2

4

6

8

10

12

14

16

18

20

22

24

Ener

gy/In

st (p

J)

M IP S

0.85pJ/[email protected]/[email protected]

Hempstead(Harvard)

cleverDust(Berkley)

SNAP/LE(Cornell)

Subliminal(Michigan)

2.25pJ/[email protected]/Inst@1MIPS

Page 34: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

34

Lessons from 1Lessons from 1stst--generation Study (ISCA 2005)generation Study (ISCA 2005)

To reduce Energy per instructionMinimize CPI

To reduce Vmin and energy per cycle

Maximize Transistor utility

To reduce leakage energy per cycle

Minimize area

To minimize energy at subthreshold voltages, architects must:

As such, winning designs tend to be compromising designs that balance area, transistor utility and CPIThe memory comprises the single largest factor of leakage energy, therefore, efficient designs must reduce memory storage requirements.

22ndnd Generation Sensor Network ProcessorGeneration Sensor Network Processor

Imem4x16x2x12

Dmem128x8

Pref

etch

Buf

fer

2x2x

12

RegisterFile

Scheduler

32-bitTimer

PageControl

OpAControl

OpBControl

μOperationDecoder

RegisterWrite

Control

JumpControl

ALU

IF/ID Stage EX/MEM Stage WB Stage

FlagControl

Carry

FetchControl

ExternalInterrupts

Zero

8

8

8

8

12

24

8

8

Page 35: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

35

Ongoing WorkOngoing Work

To be deployed in an intra-ocular pressure sensor

Provides measurement of internal eye pressure

Integrated with a MEMS pressure sensor, wireless communication, and energy scavenging facilities

Intra-ocular Pressure Sensor

Tutorial ScheduleTutorial Schedule

Power Issues: Dynamic and Static PowerPower Issues: Dynamic and Static PowerLow Power Design TechniquesLow Power Design TechniquesReliability Issues: SER, Variability and DefectsReliability Issues: SER, Variability and Defects•• Soft Error Radiation OverviewSoft Error Radiation Overview•• Variability Sources and EffectsVariability Sources and Effects•• Silicon Defect TrendsSilicon Defect Trends

BreakBreakFault Tolerant Design TechniquesFault Tolerant Design TechniquesRobust Low Power Design TechniquesRobust Low Power Design Techniques

Page 36: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

36

Fault ClassesFault ClassesPermanent fault (hard fault)Permanent fault (hard fault)•• Irreversible physical changeIrreversible physical change•• Latent manufacturing defects, Latent manufacturing defects, ElectromigrationElectromigration

Intermittent faultIntermittent fault•• Hard to differentiate from transient faultsHard to differentiate from transient faults

Repeatedly occurs at the same locationRepeatedly occurs at the same locationOccurs in Occurs in burstybursty manners when fault is activatedmanners when fault is activatedReplacing the offending circuit removes faultsReplacing the offending circuit removes faults

Transient faults (Soft Errors)Transient faults (Soft Errors)•• Neutron/Alpha particle strikesNeutron/Alpha particle strikes•• Power supply and Interconnect noisesPower supply and Interconnect noises•• Electromagnetic interference Electromagnetic interference •• Electrostatic dischargeElectrostatic discharge

Introduction Introduction –– Soft ErrorsSoft ErrorsSoft errors, also called transient faults and single-event upsets(SEU)

Processor execution errors caused by high-energy neutrons resulting from cosmic radiation and alpha particles radiationAppears to be a reliability threat for future technology processors

When a particle strikes a circuit element a small amount of charge is deposited

Combinational logic node: a very short duration pulse ofcurrent is formed at the circuit node

State holding element (FF/SRAM cell): flip the stored value

Unlike permanent faults the effects of soft errors are transient

X

Q

FFQ

Page 37: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

37

Soft Errors (SER)Soft Errors (SER)Alpha particles stemming from Alpha particles stemming from radioactive decay of packaging radioactive decay of packaging materialsmaterialsNeutrons (cosmic rays) are Neutrons (cosmic rays) are always present in the always present in the atmosphereatmosphereSoft errors are transient nonSoft errors are transient non--recurring faults (also called recurring faults (also called single event upsets, single event upsets, SEUsSEUs) ) where added/deleted charge on a where added/deleted charge on a node results in a functional errornode results in a functional error•• Charge is added/removed by Charge is added/removed by

electron/hole pairs absorbed by electron/hole pairs absorbed by source/drain diffusion areassource/drain diffusion areas

Source: S. Mukherjee, Intel

Logic MaskingLogic Masking:: the fault gets blocked by a following gate whose output is completely determined by its other inputs

Timing MaskingTiming Masking:: the fault affects the input of a latch only in the period of time that the latch is not sensitive to its input

1 00

X

Soft Error MaskingSoft Error Masking

tsetup+thold

Clock

Masked Fault

Latched Fault

Page 38: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

38

Soft Error MaskingSoft Error MaskingElectrical MaskingElectrical Masking:: the fault’s pulse is attenuated by subsequent logic gates due to electrical properties, and does not affect any latch’s input

Microarchitectural MaskingMicroarchitectural Masking:: the fault alters a value of at least one flip-flop, but the incorrect values get overwritten without being used in any computation affecting the design’s output

Software MaskingSoftware Masking:: the fault propagates to the design’s output but is subsequently masked by software without affecting the application’s correct execution

Latch

AttenuatedPulse

How To Measure Reliability:How To Measure Reliability:Soft Error Rate (FIT)Soft Error Rate (FIT)

Failure In Time (FIT) : Failures in 10Failure In Time (FIT) : Failures in 1099 hourshours•• 114 FIT means 114 FIT means

1 failure every 1000 years1 failure every 1000 yearsIt sounds good, butIt sounds good, but

–– If 100,000 units are shipped in market, 1 endIf 100,000 units are shipped in market, 1 end--user per week will experience a failureuser per week will experience a failure

Mean Time to Failure : 1 / FITMean Time to Failure : 1 / FIT

Page 39: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

39

Soft Error ConsiderationsSoft Error ConsiderationsHighly elevation dependent (3Highly elevation dependent (3--5X higher in Denver vs. sea5X higher in Denver vs. sea--level, level, or 100X higher in airplane)or 100X higher in airplane)Critical charge of a node (Critical charge of a node (QQcritcrit) is an important value) is an important value•• Node requires Node requires QQcritcrit to be collected before an error will resultto be collected before an error will result•• The more charge stored on a node, the larger The more charge stored on a node, the larger QQcritcrit is (is (QQcritcrit must be must be

an appreciable fraction of stored Q)an appreciable fraction of stored Q)

•• Implies scaling problems Implies scaling problems caps reduce with scaling, voltage caps reduce with scaling, voltage reduces, so stored Q reduces as Sreduces, so stored Q reduces as S22 (~ 2X) per generation(~ 2X) per generation

Ameliorated somewhat by smaller collection nodes (S/D junctions)Ameliorated somewhat by smaller collection nodes (S/D junctions)But exacerbated again by 2X more devices per generationBut exacerbated again by 2X more devices per generation

Soft Error Rate Trends, ITRS03Soft Error Rate Trends, ITRS03

Page 40: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

40

Impact of Soft Errors in Processors Impact of Soft Errors in Processors [Iyer][Iyer]How do soft errors in processors propagate and impact applicatioHow do soft errors in processors propagate and impact applications?ns?

ApproachApproachFault injections (with Fault injections (with ii--MeasureMeasure, hardware level fault injection framework) in , hardware level fault injection framework) in combinational logic and flipcombinational logic and flip--flops of MIPS and Alphaflops of MIPS and Alpha--like processorslike processorsStudy fault propagation to the application level Study fault propagation to the application level

Major findings:Major findings:Nearly 5% of faults in combinational logic propagate to state ofNearly 5% of faults in combinational logic propagate to state of the processorthe processorErrors in Errors in ControlControl contribute to 79% of application hangscontribute to 79% of application hangsErrors in Errors in ExecutionExecution blocks a major factor blocks a major factor in application crashes (45%) and silent datain application crashes (45%) and silent datacorruption (40%)corruption (40%)Faults in combinational logic can cause double Faults in combinational logic can cause double and multiple bit errorsand multiple bit errors

Multiple Bit-flip Distribution

Single Bit-Flip Error; 83.11%

Double Bit-f lip Errors; 15.10%

Multiple Bit-f lip Errors; 1.79%

Multiple BitMultiple Bit--flip Distribution in Alpha processorflip Distribution in Alpha processor

SERA SER Analysis Tool SERA SER Analysis Tool [Shanbhag][Shanbhag]

StimulusVectors

Gate-levelVerilog Netlist

Inverter ChainCharacterization

ProcessFiles

Circuit Parser

Logic Simulator

Path Analyzer

SER Engine

SEROne-time processcharacterization

SER Peaking

32x32 array multiplier

GateGate--level SER analysis point tool (available from level SER analysis point tool (available from GSRC webGSRC web--site)site)

Fast: SpeedFast: Speed--up up ≥≥ 10106 6 over Monte Carloover Monte Carlo

Accurate: < 5% error over Monte CarloAccurate: < 5% error over Monte CarloCaptures SER dependence on: Captures SER dependence on: process, circuit and process, circuit and input vectorsinput vectors

ΔVdd = 20% → SER = 1.28X

Δtsetup = 20% → SER = 50X

Page 41: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

41

Effects Of VariabilityEffects Of VariabilityHighHigh--performance processors are performance processors are speedspeed--binnedbinned•• Faster == more $$$Faster == more $$$

•• These parts have small These parts have small LeffLeff

Exponential dependence of Exponential dependence of leakage on leakage on VthVth•• And And LeffLeff, through , through VthVth

Process SpreadSmaller Leff

Fast, high leakageLarger Leff

Slow, low leakage

Freq Constraint

Reject – too slow

Power Constraint

Reject – too leaky

DelayLeakage

Process SpreadSmaller Leff

Fast, high leakageLarger Leff

Slow, low leakage

Freq Constraint

Reject – too slow

Power Constraint

Reject – too leaky

DelayLeakage

Since leakage is now appreciable, parametric yield is being squeezed on both sides

Printing in the Printing in the SubwavelengthSubwavelength RegimeRegime

0.25µ 0.18µ

0.13µ 90-nm 65-nm

Layout

Figures courtesy Synopsys Inc.

Page 42: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

42

Variation: Across-Wafer Frequency

Figure courtesy S. Nassif, IBM

Random Dopant Fluctuations, IntelRandom Dopant Fluctuations, Intel’’s Views View

10

100

1000

10000

1000 500 250 130 65 32

Technology Node (nm)

Mea

n N

umbe

r of D

opan

t Ato

ms

UniformUniform NonNon--uniformuniform

Page 43: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

43

InterInter--die vs. Intradie vs. Intra--die Variationdie Variation

InterInter--die variation is not always larger than intradie variation is not always larger than intra--die die (ILD)(ILD)

Design/EDA for Highly Variable Design/EDA for Highly Variable TechnologiesTechnologies

Critical need: Move away from deterministic CAD flow Critical need: Move away from deterministic CAD flow and worstand worst--case corner approachescase corner approachesExamples:Examples:•• Probabilistic dualProbabilistic dual--VthVth insertioninsertion

LowLow--VthVth devices exhibit devices exhibit larglarg process spreads; speed process spreads; speed improvements and leakage penalties are thus highly variableimprovements and leakage penalties are thus highly variable

•• Parametric yield optimizationParametric yield optimizationMaking design decisions (in sizing, circuit topology, etc.) thatMaking design decisions (in sizing, circuit topology, etc.) thatquantitatively target meeting a delay spec AND a power spec quantitatively target meeting a delay spec AND a power spec with given confidencewith given confidence

•• Avoid designing to unrealistic worstAvoid designing to unrealistic worst--case specscase specs•• Use other design tweaks such as gate length biasing (next)Use other design tweaks such as gate length biasing (next)

Page 44: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

44

Noise Immune Layout FabricNoise Immune Layout Fabric

This layout style This layout style trades off trades off areaarea for:for:•• Noise immunity Noise immunity (both C and L)(both C and L)

•• Minimizes Minimizes variations (CMP)variations (CMP)

•• PredictablePredictable

•• Easy layoutEasy layout

•• Simplifies power Simplifies power distributiondistribution

Ref: Khatri, DAC99

Major area penalty (>60%)

Defects: The (Bumpy) Road Ahead for SiliconDefects: The (Bumpy) Road Ahead for Silicon

What is the failure model of silicon 2-3 generations out?What the literature says…

“Expected failure rate of 1012 hours/device”, this would give a high end NVidia graphics part an expected lifetime of less than 1 year“Failure rates higher than 1020 hours/device”, which eliminates the problem

What the experts say…Intel [Borkar] and IBM [Bernstein]: critical problem for future silicon

Key failure modesTransistor wear-out (aggravated by scaling)SER-related upsets (especially in logic)Early transistor failures (due to ineffective burn-in)Untestable defects (compounded by complexity)

Page 45: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

45

Silicon Defects: Sources and TrajectorySilicon Defects: Sources and Trajectory

Sources: gate wearout, NBTI, hot electrons, electroSources: gate wearout, NBTI, hot electrons, electro--metal migration, etcmetal migration, etc……

Grace PeriodInfant Period Breakdown Period

Time

FG

Failu

re R

ate (

FIT)

ti tB

Infant Periodwith burn-in

Gracefuldegradation

Y

Burn-in

Model Parameters:FG: grace period wear-out rateλL : avg latent manufacturing defectsm : maturing rateb : breakdown ratetB : breakdown start point

FG+109 λL/t · (1 - (t+1)-m) FG + (t - tB)b

Failures occur very soon and failure rate declines rapidly. Failures are caused by latent manufacturing defects.

Failures occur very soon and failure rate declines rapidly. Failures are caused by latent manufacturing defects.

Failure rate falls to a small constant value where failures occur sporadically due to the occasional breakdown of weak transistors or interconnect.

Failure rate falls to a small constant value where failures occur sporadically due to the occasional breakdown of weak transistors or interconnect.

Failures occur with increasing frequency over time due to age-related wear-out.

Failures occur with increasing frequency over time due to age-related wear-out.

Tutorial ScheduleTutorial Schedule

Power Issues: Dynamic and Static PowerPower Issues: Dynamic and Static PowerLow Power Design TechniquesLow Power Design TechniquesReliability Issues: SER, Variability and DefectsReliability Issues: SER, Variability and DefectsBreakBreakFault Tolerant Design TechniquesFault Tolerant Design Techniques•• Classical TechniquesClassical Techniques•• SER Specific TechniquesSER Specific Techniques•• FullFull--Spectrum TechniquesSpectrum Techniques•• Research Topic: SelfResearch Topic: Self--Healing SystemsHealing Systems

Robust Low Power Design TechniquesRobust Low Power Design Techniques

Page 46: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

46

Techniques For Improving ReliabilityTechniques For Improving ReliabilityFault avoidanceFault avoidance (Process / Circuit)(Process / Circuit)•• Improving materialsImproving materials

Low Alpha Emission interconnect and Packaging materialsLow Alpha Emission interconnect and Packaging materials

•• Manufacturing processManufacturing processSilicon On Insulator (SOI) Silicon On Insulator (SOI) Triple Well design process to protect SRAMTriple Well design process to protect SRAM

Fault toleranceFault tolerance (robust design in presence of Soft (robust design in presence of Soft Error) : Circuit / ArchitectureError) : Circuit / Architecture•• Error Detection & Correction relies mostly on Error Detection & Correction relies mostly on ““RedundancyRedundancy””

Space : DMR, TMRSpace : DMR, TMRTime : Temporal redundant sampling (RazorTime : Temporal redundant sampling (Razor--like)like)Information : Error coding (ECC)Information : Error coding (ECC)

DMR Error DetectionDMR Error Detection

Context:Context: DualDual--modular redundancy for computationmodular redundancy for computationProblem:Problem: Error detection across bladesError detection across blades

CPU

CPU

?

Page 47: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

47

Triple Modular Redundancy (von Neumann)Triple Modular Redundancy (von Neumann)

f (x, y)

f (x, y)

f (x, y)

majorityvote

x

y

zf (x, y)

x

y z

Voter assumed reliable!

⇒voter small

⇒coarse-grained

Error Coding : Error Coding : Information RedundancyInformation Redundancy

Coding: representation of informationCoding: representation of information•• Sequence of code words or symbolsSequence of code words or symbols•• ShannonShannon’’s theorem in 1948s theorem in 1948

In noisy channels, errors can be reduced to a certain degreeIn noisy channels, errors can be reduced to a certain degree

•• Golay(1949), Hamming(1950), Stepian(1956), Prange(1957), HuffmanGolay(1949), Hamming(1950), Stepian(1956), Prange(1957), Huffman

OverheadsOverheads•• Spatial overhead : Additional bits requiredSpatial overhead : Additional bits required

•• Temporal overhead : Time to encode and decodeTemporal overhead : Time to encode and decode

TerminologyTerminology•• Distance of codeDistance of code

Minimum hamming distance between any two valid Minimum hamming distance between any two valid codewordscodewords

•• Code Code separabilityseparability (e.g. Parity Code)(e.g. Parity Code)Code is separable if code has separate code and data fieldsCode is separable if code has separate code and data fields

Page 48: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

48

SERSER--Tolerant Circuit Design Tolerant Circuit Design [Shanbhag][Shanbhag]

Dual sampling skewed CMOS style

Employs skewed CMOS for logic and dual sampling FF (DSFF)Employs skewed CMOS for logic and dual sampling FF (DSFF)Both 0Both 0 1 1 and and 11 0 0 errors are eliminated if skewing factor errors are eliminated if skewing factor ≥≥ 4.4.Speed penalty Speed penalty

depends on depends on ∆∆ (maximum SET width)(maximum SET width)can be made a design parameter. can be made a design parameter. equals 300ps (for 0.18um process) if zero SER wanted.equals 300ps (for 0.18um process) if zero SER wanted.

Power penalty: 17% (DSFF) + 20% (Skewed CMOS)Power penalty: 17% (DSFF) + 20% (Skewed CMOS)

DSFF

Fingerprinting Fingerprinting [[FalsafiFalsafi/Hoe]/Hoe]

Hash updates to architectural stateHash updates to architectural stateFingerprints compared across DMR pairFingerprints compared across DMR pairBounded error detection latencyBounded error detection latencyReduced comparison bandwidthReduced comparison bandwidth

R1 R2 + R3R2 M[10]M[20] R1

Instructionstream

Streamof updates

...001010101011010100101010...

R1 R2 M[20]

= 0xC3C9

Fingerprint

Page 49: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

49

Recovery ModelRecovery Model

Checkpoint n

Time

Error undetected

Soft errorRecover to n

Error Undetected

Rollback-recovery to last checkpoint upon detection

Rest of System

Sphere of Replication

InputReplication

OutputComparison

Thread 1 Thread 2

Logical boundary of redundant execution within a system• Trade-off between information, time, & space redundancy

Compare & validate output before sending it outside the SoR

Simultaneous Redundant Simultaneous Redundant MultithreadhingMultithreadhing[Reinhardt][Reinhardt]

Page 50: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

50

FullFull--Spectrum Fault Tolerance:Spectrum Fault Tolerance:DIVA Checker DIVA Checker [Austin][Austin]

All core function is validated by checkerAll core function is validated by checker•• Simple checker Simple checker detectsdetects and and correctscorrects faulty results, restarts corefaulty results, restarts core

Checker relaxes burden of correctness on core processorChecker relaxes burden of correctness on core processor•• Tolerates design errors, electrical faults, defects, and failureTolerates design errors, electrical faults, defects, and failuress

•• Core has burden of accurate prediction, as checker is 15x slowerCore has burden of accurate prediction, as checker is 15x slower

Core does heavy lifting, removes hazards that slow checkerCore does heavy lifting, removes hazards that slow checker

speculativeinstructions

in-orderwith PC, inst,inputs, addr

IF ID REN REG

EX/MEM

SCHEDULER CHK CT

Performance Correctness

Core Checker

result

Checker Processor ArchitectureChecker Processor Architecture

IF

ID

CTOK

CoreProcessorPrediction

Stream

PC

=inst

PC

inst

EX

=regs

regs

core PC

core inst

core regs

MEM

=res/addr

addrcore res/addr/nextPC

result

D-cache

I-cache

RF

WT

Page 51: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

51

Check ModeCheck Mode

result

IF

ID

CTOK

CoreProcessorPrediction

Stream

PC

=inst

inst

EX

=regs

regs

core PC

core inst

core regs

MEM

=res/addr

addrcore res/addr/nextPC

result

D-cache

I-cache

RF

WT

Recovery ModeRecovery Mode

result

IF

ID

CT

PC inst

PC

inst

EX

regs

regs

MEM

res/addr

addr result

D-cache

I-cache

RF

Page 52: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

52

How Can the Simple Checker Keep Up? How Can the Simple Checker Keep Up?

Slipstream

Redundant Core Advance Core

Slipstream effects reduce power requirements of trailing carSlipstream effects reduce power requirements of trailing car•• Checker processor executes in the core processor slipstreamChecker processor executes in the core processor slipstream

•• fast moving air fast moving air ⇒⇒ branch/value predictions and cache prefetchesbranch/value predictions and cache prefetches•• Core processor slipstream reduces complexity requirements of Core processor slipstream reduces complexity requirements of

checkerchecker

Symbiotic effects produce a higher combined speedSymbiotic effects produce a higher combined speed

How Can the Simple Checker Keep Up? How Can the Simple Checker Keep Up?

Slipstream

Simple Checker Complex Core

Slipstream effects reduce power requirements of trailing carSlipstream effects reduce power requirements of trailing car•• Checker processor executes in the core processor slipstreamChecker processor executes in the core processor slipstream

•• fast moving air fast moving air ⇒⇒ branch/value predictions and cache prefetchesbranch/value predictions and cache prefetches•• Core processor slipstream reduces complexity requirements of Core processor slipstream reduces complexity requirements of

checkerchecker

Symbiotic effects produce a higher combined speedSymbiotic effects produce a higher combined speed

Page 53: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

53

Checker Performance ImpactsChecker Performance ImpactsChecker Checker throughputthroughput bounds core IPCbounds core IPC•• Only cache misses stall checker pipelineOnly cache misses stall checker pipeline•• Core warms cache, leaving few stallsCore warms cache, leaving few stalls

Checker Checker latencylatency stalls retirementstalls retirement•• Stalls decode when speculative stateStalls decode when speculative state

buffers fill (LSQ, ROB)buffers fill (LSQ, ROB)•• Stalled instructions mostly nuked!Stalled instructions mostly nuked!

Storage hazardsStorage hazards stall core progressstall core progress•• Checker may stall core if it lacks resourcesChecker may stall core if it lacks resources

FaultsFaults flush core to recover stateflush core to recover state•• Small impact if faults are infrequentSmall impact if faults are infrequent

0.970.980.991.001.011.021.031.041.05

Relat

ive C

PIUber-C

hecker

Pico-Check

er

12-cyc

le Check

er

1/4 Cach

e Size

1k Faults

Research Topic: SelfResearch Topic: Self--Repairing SystemsRepairing SystemsDefect-tolerant self-repairing systems need to support:

Error DetectionSystem Diagnosis (locate the origin of the error)System RepairSystem Recovery

Key idea:Error detection must be performance efficient

Continuously check execution for errors

Diagnosis, repair and recovery are insensitive on performanceGet invoked only when an error is detected (rare scenario)Trade-off performance for more cost efficient techniques

Page 54: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

54

Fault Modeling & Analysis InfrastructureFault Modeling & Analysis Infrastructure

High-performance, high-fidelity, fault modeling simulation infrastructure

Asynchronous fault injection atthe gate level

Fully models all the possibleways a fault can be masked

Statistical fault model

Model Stimuli

(TRIPS traces)

Structuraldesign

Fault-exposedmodel

Golden model(no fault injected)

Faultanalyzer

Time, location,duration

Fault islogic maskedtiming maskedarchitecture maskederror (fault manifests)

MonteCarlo simulationloop – 1000x

Defect model

Function test(full-cover. test)

Structuraldesign

Defect-exposedmodel

Golden model(no defect injected)

Defectanalyzer

Time, location

Defect is exposedprotectedunprotected but masked

MonteCarlo simulationloop – 1000x

Two different setups, one to evaluate the effects of transients, and one for permanent errors

Monte Carlo modeling framework with realistic workloads

Modeling & analyzing permanent errors

Modeling & analyzingtransient errors

SelfSelf--Repairing BulletProof Silicon Repairing BulletProof Silicon [Austin, Bertacco][Austin, Bertacco]

Goal:Goal: SingleSingle--defect tolerance for 5% area overheaddefect tolerance for 5% area overhead

Key ideas: Key ideas: •• No expensive computation checkingNo expensive computation checking•• Protect computation and test HwProtect computation and test Hw•• Repair by disabling redundant partsRepair by disabling redundant parts

Approach:Approach:1.1. Execute and protect stateExecute and protect state2.2. Test concurrently when Hw idleTest concurrently when Hw idle3.3. If If tests failstests fails →→ roll back stateroll back state

→→ disable component disable component →→ restartrestart

IF ID EX

MEM W

B

checkers + BIST

µprocessor pipeline

CIRCUIT ENVELOPE – logic-level testing and reconfiguration

ARCHITECTURAL ENVELOPE – Check-pointing and epoch restore

spec

ulat

ive

stat

e

non-

spec

ulat

ive

stat

e

epochs boundary

epochs boundary

Rec

onfig

urat

ion

Page 55: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

55

Tutorial ScheduleTutorial Schedule

Power Issues: Dynamic and Static PowerPower Issues: Dynamic and Static PowerLow Power Design TechniquesLow Power Design TechniquesReliability Issues: SER, Variability and DefectsReliability Issues: SER, Variability and DefectsBreakBreakFault Tolerant Design TechniquesFault Tolerant Design TechniquesRobust Low Power Design TechniquesRobust Low Power Design Techniques•• BetterBetter--Than Worst Case Design ConceptsThan Worst Case Design Concepts•• Example BTWC DesignsExample BTWC Designs•• Research Topic: Razor PipelineResearch Topic: Razor Pipeline

Power and Reliability: How are they related?Power and Reliability: How are they related?

The move to smaller features can help with power The move to smaller features can help with power –– with with qualificationsqualifications

Smaller features increase design marginsSmaller features increase design marginsreduce power savingsreduce power savingsreduce performance gainsreduce performance gainsreduced area benefitsreduced area benefits

Page 56: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

56

Design-TimeVerification

andOptimization

Traditional WorstTraditional Worst--Case DesignCase Design

L H

Time-to-Market

L H

Performance

Run-TimeVerification

TypicalCase

Optimization

BetterBetter--ThanThan--WorstWorst--Case (BTWC) DesignCase (BTWC) Design

L H

Time-to-Market

L H

Performance

L H

Performance

L H

Time-to-Market

Online

Checker

Hardware

Page 57: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

57

Algorithmic SERAlgorithmic SER--Tolerance Tolerance [Shanbhag][Shanbhag]

Energy savings

Voltage

Pow

er

Pmain

PTOTAL

PEC

1.0

1.0

Voltage Voltage OverscaleOverscale Main BlockMain BlockError Control via Error Control via EstimatorEstimatorEstimators: Estimators: Prediction, Reduced Prediction, Reduced Precision Replica, MAP, Error Precision Replica, MAP, Error Canceller and othersCanceller and othersEmploy two estimators in Employ two estimators in SEU/MEU scenarioSEU/MEU scenarioRobust to error frequencies up to:Robust to error frequencies up to:

1 in 100 samples for SEU1 in 100 samples for SEU1 in 1000 samples for MEU1 in 1000 samples for MEU

][nx ][nyaMainBlock

][̂ny>T

][nyeEstimator

| | > Th

][nx ][nya

][ˆ ny| | >Th.

Main Block

Estimator1

MU

X][1, nye

Error-Control Block

Estimator2

=

][2, nye

Timing Error Tolerant Links Timing Error Tolerant Links [De Micheli][De Micheli]

Aggressively clock onAggressively clock on--chips links with high frequency/low voltagechips links with high frequency/low voltageDoubleDouble--sample link outputsample link outputOnce speculatively, then again with reliable timingOnce speculatively, then again with reliable timing

Stall receiver for recovery data if samples disagreeStall receiver for recovery data if samples disagreeNonNon--speculative if receiver incurs additional delayspeculative if receiver incurs additional delayOtherwise, receiver must perform internal recoverOtherwise, receiver must perform internal recover

Pipelinebuffer iSENDER Main

flip-flop

Delayedflip-flop XOR

MUX

Delayed Clk

Clk

Input dataoutput

Error?

Pipelinebuffer i+1

Vdd Vdd

Frequency/Voltage Controller

f req

Page 58: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

58

Research Topic: Razor Error Resilient Circuits Research Topic: Razor Error Resilient Circuits [Austin/Blaauw][Austin/Blaauw]

InIn--situsitu detection/correction of detection/correction of timing errorstiming errors

Tune processor voltage based on errorsTune processor voltage based on errorsEliminate process, temperature, and noise Eliminate process, temperature, and noise margins (tune for nearmargins (tune for near--zero errors)zero errors)Purposely run Purposely run belowbelow critical voltage to critical voltage to capture capture datadata--dependent latency marginsdependent latency margins

Implemented with architecture Implemented with architecture and circuit supportand circuit support

DoubleDouble--sampling metastabilitysampling metastability--toleranttolerantRazor flipRazor flip--flops validate pipeline resultsflops validate pipeline resultsPipeline initiates recovery after timing Pipeline initiates recovery after timing errors, forward progress is guaranteederrors, forward progress is guaranteed

Error_L

Errorcomparator

RAZOR FF

clk_del

Main Flip-Flop

clk

Shadow Latch

Q1D101

recover

IF

Razo

r FF

ID

Razo

r FF

EX

Razo

r FF

MEM(read-only)

WB(reg/mem)

errorbubble

recover recover

Razo

r FF

Stab

ilizer

FF

PC

recover

flushID

bubble

errorbubble

flushID

errorbubble

flushID

FlushControl

flushID

error

1.4 1.5 1.6 1.7 1.8

1.4

1.5

1.6

1.7

1.8 Chips Linear Fit y=0.78685x + 0.22117

Voltage at First Failure

Volta

ge a

t 0.1

%Er

ror R

ate

Point of 0.1% Error Rate Vs Point of First Failure

Razor Prototype ChipRazor Prototype Chip4 stage 644 stage 64--bit Alpha pipelinebit Alpha pipeline

120 120 -- 160MHz operation, 0.18160MHz operation, 0.18μμmm

Percentage of FF Percentage of FF RazorizedRazorized: 9%: 9%Error free Razor overhead ~3%Error free Razor overhead ~3%

54% energy reduction54% energy reduction

Icache

Dcache

RF

IF ID EX MEM WB

3.3mm

3.0mm

Page 59: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

59

Eref

VoltageControl

FunctionΣ

.

.

.

Pipeline

reset

Vdd

Ediff = Eref - Esample

-

EsampleVoltage

Regulator

Ediff errorsignals

Configuration of Razor Voltage Control System

Configuration of the Razor Voltage ControllerConfiguration of the Razor Voltage Controller

Runtime Samples0 100 200 300 400 500 600

02468

10121416

1.351.401.451.501.551.601.651.701.751.80120MHz

27C

Perc

enta

ge E

rror

Rat

e

Volta

ge O

utpu

t of C

ontr

olle

rRunRun--Time Response of Razor Voltage ControllerTime Response of Razor Voltage Controller

Page 60: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

60

Energy/Performance CharacteristicsEnergy/Performance Characteristics

Decreasing Supply Voltage

Energy

Energy of ProcessorOperations, Eproc

Energy ofPipeline

Recovery,Erecovery

Total Energy,Etotal = Eproc + Erecovery

Optimal Etotal

PipelineThroughput

IPC

Energy of Processorw/o Razor Support

30-50%

1%

ConclusionsConclusions

Power Issues: Dynamic and Static PowerPower Issues: Dynamic and Static PowerLow Power Design TechniquesLow Power Design TechniquesReliability Issues: SER, Variability and DefectsReliability Issues: SER, Variability and DefectsBreakBreakFault Tolerant Design TechniquesFault Tolerant Design TechniquesRobust Low Power Design TechniquesRobust Low Power Design Techniques

Page 61: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

61

ReferencesReferences1.1. C. Constantinescu C. Constantinescu ‘‘Trend and Challenge in VLSI Circuit ReliabilityTrend and Challenge in VLSI Circuit Reliability’’ intelintel2.2. H. T. Nguyen H. T. Nguyen ‘‘A Systematic Approach to Processor SER Estimation and SolutionsA Systematic Approach to Processor SER Estimation and Solutions’’3.3. P. P. ShivakumarShivakumar et. al, et. al, ‘‘Modeling the effect of Technology trends on Soft Error Rate of CModeling the effect of Technology trends on Soft Error Rate of Combinational ombinational

LogicLogic’’4.4. P. P. ShivakumarShivakumar ‘‘FaultFault--TolernatTolernat Computing for Radiation EnvironmentComputing for Radiation Environment’’ Ph.D. Thesis Stanford UniversityPh.D. Thesis Stanford University5.5. M. M. NicolaidisNicolaidis ‘‘Time Redundancy Based SoftTime Redundancy Based Soft--Error Tolerance to Rescue Nanometer TechnologiesError Tolerance to Rescue Nanometer Technologies’’6.6. L. L. AnghelAnghel, et. al., et. al. ‘‘Cost Reduction and Evaluation of a Temporary Faults Detecting TeCost Reduction and Evaluation of a Temporary Faults Detecting Techniquechnique’’7.7. L. L. anghelanghel, et. al. , et. al. ‘‘Evaluation of Soft Error Tolerance Technique based on Time and/oEvaluation of Soft Error Tolerance Technique based on Time and/or Space Redundancyr Space Redundancy’’

ICSDICSD8.8. I. Koren, University of I. Koren, University of MassachsuttsMassachsutts ECE 655 Lecture Notes 4ECE 655 Lecture Notes 4--5 5 ‘‘CodingCoding’’9.9. ITRS 2003 Report ITRS 2003 Report 10.10. J. von Neumann, "Probabilistic logic and the synthesis of reliabJ. von Neumann, "Probabilistic logic and the synthesis of reliable organisms from unreliable le organisms from unreliable

components," components," 11.11. R. E. Lyons, et. al. R. E. Lyons, et. al. ‘‘The Use of TripleThe Use of Triple--Modular Redundancy to Improve Computer ReliabilityModular Redundancy to Improve Computer Reliability’’12.12. D. G. Mavis, et. al. D. G. Mavis, et. al. ‘‘Soft Error Rate Mitigation Techniques for Modern Microcircuits.Soft Error Rate Mitigation Techniques for Modern Microcircuits.’’ IEEE 40th Annual IEEE 40th Annual

International Reliability Physics Symposium 2002.International Reliability Physics Symposium 2002.13.13. C. Weaver, et. al. C. Weaver, et. al. ‘‘A Fault Tolerant Approach to Microprocessor DesignA Fault Tolerant Approach to Microprocessor Design’’ DSNDSN’’010114.14. J. Ray, et. al. J. Ray, et. al. ‘‘Dual Use of Superscalar Datapath for TransientDual Use of Superscalar Datapath for Transient--Fault Detection and RecoveryFault Detection and Recovery’’, Proceedings , Proceedings

of the 34th Annual Symposium on Microarchitecture (MICROof the 34th Annual Symposium on Microarchitecture (MICRO’’01). 01). 15.15. J. B. Nickel, et. al. J. B. Nickel, et. al. ‘‘REESE: A Method of Soft Error Detection in MicroprocessorsREESE: A Method of Soft Error Detection in Microprocessors’’, Proceedings of the , Proceedings of the

International Conference on Dependable Systems and Networks (DSNInternational Conference on Dependable Systems and Networks (DSN’’01).01).16.16. S. Reinhardt, et. al. S. Reinhardt, et. al. ‘‘Transient Fault Detection Simultaneous MultithreadingTransient Fault Detection Simultaneous Multithreading’’

ReferencesReferences1.1. D. D. SiewiorekSiewiorek ‘‘Fault Tolerance in Commercial ComputersFault Tolerance in Commercial Computers’’ CMUCMU2.2. W. Bartlett, et. al. W. Bartlett, et. al. ‘‘Commercial Fault Tolerance: A Tale of Two SystemsCommercial Fault Tolerance: A Tale of Two Systems’’ IEEE Dependable and Secure IEEE Dependable and Secure

Computing 2004 Computing 2004 3.3. T. T. SlegelSlegel et.alet.al ‘‘IBMIBM’’s S/390 G5 Microprocessor Designs S/390 G5 Microprocessor Design’’4.4. L. L. SpainhowerSpainhower, , et.alet.al, , ‘‘IBM S/390 Parallel Enterprise Server G5 fault tolerance: A histoIBM S/390 Parallel Enterprise Server G5 fault tolerance: A historical approachrical approach’’5.5. D. D. BossenBossen et.alet.al ‘‘Fault tolerant design of the IBM Fault tolerant design of the IBM pSeriespSeries 690 system using POWER4 processor 690 system using POWER4 processor

technologytechnology’’6.6. ‘‘Tandem HP HimalayaTandem HP Himalaya’’ White PaperWhite Paper7.7. Fujitsu SPARC64 V Microprocessor Provides Foundation for PRIMEPOFujitsu SPARC64 V Microprocessor Provides Foundation for PRIMEPOWER Performance and Reliability WER Performance and Reliability

LeadershipLeadership8.8. D. J. D. J. SorinSorin, et. al. , et. al. ‘‘SafetyNetSafetyNet: Improving the Availability of : Improving the Availability of SharedMemorySharedMemory Multiprocessors with Global Multiprocessors with Global

Checkpoint/Recovery.Checkpoint/Recovery.’’9.9. MilosMilos PrvulovicPrvulovic, et. al. , et. al. ‘‘ReVive:CostReVive:Cost--Effective Architectural Support for Rollback Recovery in SharedEffective Architectural Support for Rollback Recovery in Shared--

Memory MultiprocessorsMemory Multiprocessors’’10.10. J. J. SmolensSmolens, , et.alet.al ‘‘Fingerprinting: Bounding Fingerprinting: Bounding SoftErrorSoftError Detection Latency and BandwidthDetection Latency and Bandwidth’’11.11. D. D. SorinSorin, , et,alet,al ‘‘Dynamic Verification of EndDynamic Verification of End--toto--End Multiprocessor InvariantsEnd Multiprocessor Invariants’’

Page 62: Robust Low Power Computing in the Nanoscale Eraweb.eecs.umich.edu/~taustin/papers/SBCCI-robust-lowpower...1 Robust Low Power Computing in the Nanoscale Era Todd Austin University of

62

Questions?Questions?

??

??

?

? ?

? ?

?

??


Recommended