+ All Categories
Home > Documents > Section 1 Introduction and Technology Review

Section 1 Introduction and Technology Review

Date post: 08-Apr-2015
Category:
Upload: jakecohen7
View: 239 times
Download: 1 times
Share this document with a friend
75
EUV Sources for Lithography Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms
Transcript
Page 1: Section 1 Introduction and Technology Review

EUV Sourcesfor Lithography

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 2: Section 1 Introduction and Technology Review

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 3: Section 1 Introduction and Technology Review

Bellingham, Washington USA

EUV Sourcesfor Lithography

Vivek Bakshi

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 4: Section 1 Introduction and Technology Review

Library of Congress Cataloging-in-Publication Data EUV sources for lithography / Vivek Bakshi, editor. p. cm. Includes bibliographical references and index. ISBN 0-8194-5845-7 1. Ultraviolet radiation—Industrial applications. 2. Plasma (Ionized gases) 3. Lithography. I. Bakshi, Vivek.

QC459.E98 2005

621.36'4—dc22 2005025505

Published by SPIE—The International Society for Optical Engineering P.O. Box 10 Bellingham, Washington 98227-0010 USA Phone: +1 360 676 3290 Fax: +1 360 647 1445 Email: [email protected] Web: http://spie.org Copyright © 2006 The Society of Photo-Optical Instrumentation Engineers All rights reserved. No part of this publication may be reproduced or distributed in any form or by any means without written permission of the publisher. Copying of SPIE-copyrighted material in this book for internal or personal use, or the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $15.00 per SPIE-copyrighted article (or portion thereof) and should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923; payment also may be made electronically through CCC Online at http://www.directory.net/copyright/. Other copying for republication, resale, advertising, or promotion or any form of systematic or multiple reproduction of any SPIE-copyrighted material in this book is prohibited except with permission in writing from the publisher. The CCC fee code for users of the Transactional Reporting Service is 0-8194-5845-7/06/$15.00. Readers desiring to reproduce non-SPIE-copyrighted materials contained herein must contact the appropriate copyright holder for permission. The content of this book reflects the work and thought of the author(s). Every effort has been made to publish reliable and accurate information herein, but the publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon. Front cover images: Photographs of Xe DPP sources. Images in bottom corner show five views through collectors. Courtesy of Philips Extreme (large image in top corner and upper right image in bottom corner) and XTREME technologies GmbH (other four images in bottom corner). Back cover images: Top: HEIGHTS simulation of the density evolution near plasma pinching in XTREME technologies’ DPP EUV source, courtesy of Ahmed Hassanein, Argonne National Laboratory. Bottom: Iso-contour of the conversion efficiency for Sn LPP, courtesy of Katsunobu Nishihara, Osaka University. Printed in the United States of America.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 5: Section 1 Introduction and Technology Review

I dedicate this book to my parents,wife, and daughter

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 6: Section 1 Introduction and Technology Review

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 7: Section 1 Introduction and Technology Review

Contents

Preface xixVivek Bakshi

Introduction xxiKevin Kemp

List of Contributors xxiii

List of Abbreviations xxxi

Section I: Introduction and Technology Review 1

Chapter 1 EUV Source Technology: Challenges and Status 3Vivek Bakshi

1.1 Introduction 41.2 Conversion Efficiency of EUV Sources 41.3 EUV Source Power 91.4 Source Components and Their Lifetimes 191.5 Summary and Future Outlook 20References 21

Chapter 2 EUV Source Requirements for EUV Lithography 27Kazuya Ota, Yutaka Watanabe, Vadim Banine, and Hans Franken

2.1 Introduction and Background 272.2 Source Requirements 292.3 Component Degradation 382.4 Cost of Ownership 392.5 Conclusions 41Acknowledgments 41References 41

Section II: Fundamentals and Modeling 45

Chapter 3 Atomic Xenon Data 47John D. Gillaspy

3.1 Introduction 47

vii

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 8: Section 1 Introduction and Technology Review

viii Contents

3.2 Specification of the Subtypes of Fundamental Atomic DataNeeded 49

3.3 Overview and Current Status of Available Data for Xenon (q = 7to q = 18) 53

3.4 References to Data for the Less-Critical Charge States (q < 7or q > 18) of Xenon 54

3.5 Benchmarking Input Data 543.6 Benchmarking Output Data 553.7 Outlook and Future Data Needs 56Acknowledgments 57References (for main text) 57Appendix A: International SEMATECH’s Fundamental Data

Working Group 59Appendix B: Xenon Atomic Data 59

Chapter 4 Atomic Tin Data 113I. Yu. Tolstikhina, S. S. Churilov, A. N. Ryabtsev, andK. N. Koshelev

4.1 Introduction 1134.2 Theoretical Approach 1144.3 Results of the Calculations 1154.4 Registration of Sn Plasma Spectra 1154.5 Primary Classification on Charge States 1174.6 Conclusion 120Acknowledgments 120Appendix: Results of Theoretical Calculations of Sn Ion Spectra 121References 147

Chapter 5 Atomic Physics of Highly Charged Ions and theCase for Sn as a Source Material 149

Gerry O’Sullivan, Anthony Cummings, Padraig Dunne, PatrickHayden, Luke McKinney, Nicola Murphy, and John White

5.1 Introduction and Background 1495.2 The Case for Xenon 1515.3 Alternatives to Xenon; the Case for Tin 1565.4 Conclusions 167Acknowledgments 167References 168

Chapter 6 Radiative Collapse in Z Pinches 175K. N. Koshelev, H.-J. Kunze, R. Gayazov, V. Gomozov,V. V. Ivanov, V. G. Koloshnikov, E. D. Korop, V. Krivtsun,Yu. V. Sidelnikov, O. Yakushev, and G. G. Zukakishvili

6.1 Introduction 1756.2 Formation of Pinch Columns 176

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 9: Section 1 Introduction and Technology Review

Contents ix

6.3 Discharge Source for EUVL: High-Power, High-CEAlternative Concept Source 178

6.4 Neck Instabilities in Pinch Plasmas: Radiative Collapse 1796.5 Plasma-Column Energy Balance; Pease-Braginskii Current;

Critical Current for Heavy-Ion Plasmas 1806.6 Neck Development Scenario 1836.7 Experimental Observation of Neck Instabilities; Plasma

Outflow 1856.8 Dissipation of Electrical Energy in the Discharge 1866.9 Equilibrium Radius; EUV Source Size 1876.10 Equilibrium Radius versus Linear Density Trajectory 1896.11 Stability of Radiative-Collapse Trajectory, EUV Yield, and

Shot-to-Shot Reproducibility 1906.12 Axial Size of the EUV Source; Zippering Effect 1916.13 Conclusions 193Acknowledgments 193References 193

Chapter 7 Fundamentals and Limits of Plasma-based EUVSources 197

Rainer Lebert, Thomas Krücken, and H.-J. Kunze

7.1 Introduction 1977.2 Required Parameters of EUV Sources 1997.3 Fundamental Limits 2017.4 Fundamental Processes 2057.5 Factors Influencing the Radiative Yield 2087.6 Plasma Simulation: Tool for Source Optimization 2157.7 Atomic Physics, Radiation, and Ionization Modeling 2167.8 MHD Description of the Pinch Phase of the Discharge 2187.9 Other Important Issues 219Acknowledgments 219References 219

Chapter 8 Z∗ Code for DPP and LPP Source Modeling 223Sergey V. Zakharov, Vladimir G. Novikov, and Peter Choi

8.1 Introduction 2248.2 Fundamentals of the Physics of EUV-Emitting Plasmas 2258.3 Computational RMHD Code Z∗ 2368.4 EUV Radiation Source Simulations 2468.5 Summary 264Acknowledgments 267Appendix A: Analytical Solution for the Axially Inhomogenous

Capillary Discharge 267Appendix B: Estimations for the Motion Dynamics of a Sheath in

the Ionized Gas via the Snowplow Model 269

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 10: Section 1 Introduction and Technology Review

x Contents

Appendix C: Calculation of the Laser Energy Transport Process 271References 271

Chapter 9 HEIGHTS-EUV Package for DPP Source Modeling 277A. Hassanein, V. Morozov, V. Sizyuk, V. Tolkach, and B. Rice

9.1 Introduction 2779.2 Magnetohydrodynamics 2799.3 External Electric Circuit 2819.4 Detailed Radiation Transport 2829.5 Atomic Physics and Opacities 2869.6 Results and Discussion 2949.7 Conclusion 296Acknowledgments 296References 296

Chapter 10 Modeling LPP Sources 299Moza Al-Rabban, Martin Richardson, Howard Scott, FranckGilleron, Michel Poirier, and Thomas Blenski

10.1 Introduction 30010.2 EUVL Source Requirements 30110.3 Physical Processes in Laser Plasmas 30310.4 Modeling Laser-Target Interactions and Plasma Expansion 30610.5 Atomic Physics Modeling of Laser Plasmas 31210.6 Future Trends 329Acknowledgments 330References 330

Chapter 11 Conversion Efficiency of LPP Sources 339Katsunobu Nishihara, Akira Sasaki, Atsushi Sunahara, andTakeshi Nishikawa

11.1 Introduction 33911.2 Design Window for Practical Use 34111.3 Power Balance Model 34311.4 Atomic Models and Radiation Hydrodynamic Code 34811.5 Conversion Efficiency for Tin and Xenon 35311.6 Discussion and Summary 364Acknowledgments 365References 365

Section III: Plasma Pinch Sources 371

Chapter 12 Dense Plasma Focus Source 373Igor V. Fomenkov, William N. Partlo, Norbert R. Böwering, Oleg V.Khodykin, Curtis L. Rettig, Richard M. Ness, Jerzy R. Hoffman, IanR. Oliver, and Stephan T. Melnychuk

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 11: Section 1 Introduction and Technology Review

Contents xi

12.1 Introduction 37312.2 Overview of the Source 37412.3 Pulsed-Power Development 37512.4 EUV Output Energy and Conversion Efficiency 37612.5 Operation at High Repetition Rates 37612.6 Thermal Management 37812.7 EUV Source Size and Spatial and Angular Distribution 38012.8 EUV Spectra 38012.9 Spectral and Plasma Modeling 38212.10 Metal Target Elements 38312.11 Debris Mitigation and Contamination Studies 38512.12 EUV Collector 38612.13 Lifetime Limitations and Power Scaling 38712.14 Summary and Conclusion 388Acknowledgments 389References 389

Chapter 13 Hollow-Cathode-Triggered Plasma Pinch Discharge 395Joseph Pankert, Klaus Bergmann, Rolf Wester, Jürgen Klein, WilliNeff, Oliver Rosier, Stefan Seiwert, Christopher Smith, Sven Probst,Dominik Vaudrevange, Guido Siemons, Rolf Apetz, Jeroen Jonkers,Michael Loeken, Günther Derra, Thomas Krücken, and Peter Zink

13.1 Introduction 39513.2 Physics of EUV Sources based on Hollow-Cathode-Triggered

Gas Discharges 39613.3 The Philips HCT Source: Design and Results 40113.4 Summary and Outlook 410Acknowledgments 410References 410

Chapter 14 High-Power GDPP Z-Pinch EUV Source Technology 413Uwe Stamm, Guido Schriever, and Jürgen Kleinschmidt

14.1 Introduction 41314.2 Physics of the Z-Pinch Discharge and EUV Generation 41814.3 Emitter Materials for 13.5-nm Z-Pinch Sources 42114.4 Discharge Electrode System, Source Collector, and

Electrode Lifetime 42314.5 Pulsed Power Excitation of Z Pinches 42714.6 Discharge-Electrode Thermal Management Technology 43114.7 Debris Mitigation and Collector-Optics Protection 43314.8 First Commercial Sources for Exposure Tools—EUV

Source XTS 13-35 43514.9 Scaling of Z-Pinch Power and Lifetime Performance to

β-Tool and HVM Requirements 439

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 12: Section 1 Introduction and Technology Review

xii Contents

14.10 Path to Meet Remaining Challenges for HVM GDPPSources—Lifetime Improvement of Discharge ElectrodeSystem and Source Collector Optics for Tin Fuel 445

14.11 Summary and Conclusion 448Acknowledgments 448References 449

Chapter 15 Star Pinch EUV Source 453Malcolm W. McGeoch

15.1 Generic EUV Source Factors 45315.2 Directed Discharges 45915.3 Current Star Pinch Performance 46515.4 Scaling to High-Volume Manufacturing 471References 473

Chapter 16 Xenon and Tin Pinch Discharge Sources 477Vladimir M. Borisov, Andrey I. Demin, Alexander V. Eltsov, AlexanderS. Ivanov, Yuriy B. Kiryukhin, Oleg B. Khristoforov, Valentin A.Mishchenko, Alexander V. Prokofiev, Alexander Yu. Vinokhodov, andVladimir A. Vodchits

16.1 Introduction 47716.2 Pinch Effect 47816.3 EUV Source Using Xe 48116.4 Some Approaches to Meet HVM Requirements 48816.5 Pinch Discharges Based on Sn Vapor and Gas Mixtures 49116.6 Excimer-Laser-Initiated Pinch Discharge in Sn 49516.7 Conclusions 500Acknowledgments 501References 501

Chapter 17 Capillary Z-Pinch Source 505Yusuke Teramoto, Hiroto Sato, and Masaki Yoshioka

17.1 Introduction 50517.2 Discharge Head and Magnetic Pulse Compression Generator 50617.3 Diagnostics 50717.4 Experimental Results 50917.5 Conclusions 520Acknowledgments 521References 521

Chapter 18 Plasma Capillary Source 523Željko Andreic, Samir Ellwi, and H.-J. Kunze

18.1 Introduction 52318.2 Theoretical Modeling 52418.3 Gas-Filled Capillaries 524

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 13: Section 1 Introduction and Technology Review

Contents xiii

18.4 Ablative Capillary Discharges 52618.5 Different Additives 53118.6 Conclusion 532Acknowledgments 532References 533

Section IV: Laser-Produced Plasma (LPP) Sources 535

Chapter 19 Technology for LPP Sources 537Uwe Stamm and Kai Gäbel

19.1 Introduction 53719.2 Physics of LPP-based EUV Generation 54119.3 Laser Target Modifications and Target Handling 54419.4 Laser-Driver Technology for LPP EUV Sources 54619.5 CE and Output Power—Experimental Data 55119.6 Etendue, Source Size, and Source Collector 55319.7 Scaling of Performance to HVM 55619.8 Summary and Conclusion 558Acknowledgments 558References 559

Chapter 20 Spatially and Temporally Multiplexed LaserModules for LPP Sources 563

Samir Ellwi, Andrew J. Comley, and Michael Brownell

20.1 Introduction 56320.2 Laser Technology 56420.3 Target Design and Vacuum Environment 57120.4 Conclusion 574Acknowledgments 575References 575

Chapter 21 Modular LPP Source 577Martin Schmidt, Benoit Barthod, Tibério Ceccotti,Guy Cheymol, Jean-François Hergott, Olivier Sublemontier,Pierre-Yves Thro, Philippe Cormont, Jacky Skrzypczak, andThierry Auguste

21.1 Introduction 57721.2 Designing a Modular LPP Source 57821.3 The ELSAC LPP Source Developed by Exulite 59421.4 Conclusion 601Acknowledgments 601References 602

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 14: Section 1 Introduction and Technology Review

xiv Contents

Chapter 22 Driver Laser, Xenon Target, and SystemDevelopment for LPP Sources 607

Akira Endo

22.1 Introduction 60722.2 High-Power Driver Laser 60822.3 Xenon Targets 61022.4 Light-Source EUV Characteristics 61122.5 Summary 615Acknowledgment 615References 616

Chapter 23 Liquid-Xenon-Jet LPP Source 619Björn A. M. Hansson and Hans M. Hertz

23.1 Introduction 62023.2 Liquid-Xenon-Jet Laser Plasma Generation 62423.3 Source Requirements and Design Example 62923.4 Source Characterization 63023.5 Lifetime 63623.6 Summary 640Acknowledgments 641References 641

Chapter 24 LPP Source Development and Operation in theEngineering Test Stand 649

John E. M. Goldsmith, Glenn D. Kubiak, and William P. Ballard

24.1 Introduction 64924.2 Early Source Development at Sandia 65124.3 ETS Source Development 65324.4 Integration of the High-Power Source into the ETS 65724.5 ETS Operation with the High-Power Source 66124.6 Conclusion 663Acknowledgments 665References 665

Chapter 25 Xenon Target and High-Power Laser ModuleDevelopment for LPP Sources 669

Richard Moyer, Harry Shields, Steven Fornaca, Randall St. Pierre,Armando Martos, James Zamel, Fernando Martos, Samuel Ponti,R. D. McGregor, Mark Michaelian, Jeffrey Hartlove, Stuart McNaught,Lawrence Iwaki, Rocco Orsini, Michael Petach, Mark Thomas,Armando Villarreal, and Vivek Bakshi

25.1 Introduction 66925.2 Laser Module 66925.3 Xenon Target Development 674

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 15: Section 1 Introduction and Technology Review

Contents xv

25.4 System Development and Performance 68225.5 Conclusions 685Acknowledgments 685References 685

Chapter 26 Laser Plasma EUV Sources based on DropletTarget Technology 687

Martin Richardson, Chiew-Seng Koay, Kazutoshi Takenoshita,Christian Keyser, Simi George, Moza Al-Rabban, and Vivek Bakshi

26.1 Introduction 68726.2 Laser Interaction with Mass-Limited Spherical Targets 69126.3 Plasma Dynamics of Droplet Laser Plasmas 69526.4 EUV Emission from Laser Plasma Droplet Sources 70126.5 Ion Emission from Droplet Laser Plasmas 70426.6 Particle Emission from Laser Plasmas 70726.7 Inhibition of Ion and Particle Emission 71026.8 High-Power and Long-Life Target Scenarios 71326.9 Summary 714Acknowledgments 714References 715

Section V: EUV Source Metrology 719

Chapter 27 Flying Circus EUV Source Metrology and SourceDevelopment Assessment 721

Fred Bijkerk, Santi Alonso van der Westen, Caspar Bruineman,Robert Huiting, René de Bruijn, and Remko Stuik

27.1 Historical Overview of Metrology Development andStandardization 721

27.2 Metrology Concept 72227.3 EUV Source Metrology Calibration Procedures 72327.4 FC Source Progress Assessment 72527.5 Diagnostic Extensions and New Developments 72727.6 Summary and Future Directions 729Acknowledgments 730References 731

Chapter 28 Plasma Diagnostic Techniques 735Eric C. Benck

28.1 Introduction 73528.2 Surface Accumulators 73628.3 Plasma Imaging 73828.4 Electron Diagnostics 74228.5 Ion Diagnostics 74528.6 Neutral-Atom Detectors 752

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 16: Section 1 Introduction and Technology Review

xvi Contents

28.7 Summary 754Acknowledgments 754References 754

Chapter 29 Metrology for EUVL Sources and Tools 759Steve Grantham, Charles Tarrio, Robert Vest, and Thomas Lucatorto

29.1 Introduction 76029.2 NIST EUV Sources for Metrology 76029.3 Inband EUV Power Instrumentation 76429.4 Reflectometry 76529.5 Detector Characterization 76929.6 Calibration of EUV Radiometry Tools 77729.7 Conclusion 780References 780

Chapter 30 Calibration of Detectors and Tools for EUV-SourceMetrology 785

Frank Scholze and Gerhard Ulm

30.1 Introduction 78530.2 Synchrotron Radiation Beamlines for EUV Metrology 78630.3 Instrumentation for Detector Calibration and Optics

Characterization 79230.4 Semiconductor Photodiodes as Reference Detector Standards 79730.5 Spectrally Filtered Tools and Spectrographs 80730.6 Conclusions and Future Needs 813Acknowledgments 815References 815

Section VI: Other Types of EUV Sources 821

Chapter 31 Electron-based EUV Sources for At-WavelengthMetrology 823

André Egbert and Boris N. Chichkov

31.1 The EUV Tube—an Old Solution for New Applications 82331.2 Characteristics of the EUV Tube 82531.3 Applications of the EUV Tube 83331.4 Summary and Outlook 839Acknowledgments 839References 839

Chapter 32 Synchrotron Radiation Sources for EUVLApplications 841

Obert R. Wood, II and Alastair A. MacDowell

32.1 Electron Storage Rings and Synchrotron Radiation 84132.2 Characteristics of Synchrotron Radiation 845

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 17: Section 1 Introduction and Technology Review

Contents xvii

32.3 Survey of Current Synchrotron Radiation Facilities 84832.4 Selected Applications of Synchrotron Radiation in EUVL 84932.5 Conclusions and Suggestions for Future Work 864References 865

Section VII: EUV Source Components 871

Chapter 33 Grazing-Incidence EUV Collectors 873Piotr Marczuk and Wilhelm Egle

33.1 Introduction 87333.2 EUV Collectors: General Considerations 87533.3 Grazing-Incidence EUV Collectors 87633.4 Summary, Trends, and Challenges 890Acknowledgments 890References 891

Chapter 34 Collection Efficiency of EUV Sources 893Günther Derra and Wolfgang Singer

34.1 Introduction 89334.2 Etendue of Illumination Systems 89434.3 Determination of EUV Source Power 89834.4 Example Measurements at the HCT Pinch 90434.5 Conclusions 910Acknowledgments 912References 912

Chapter 35 Electrode and Condenser Materials for PlasmaPinch Sources 915

A. Hassanein, J. P. Allain, T. Burtseva, Z. Insepov, J. N. Brooks,I. Konkashbaev, V. Morozov, V. Sizyuk, V. Tolkach, T. Sizyuk,B. Rice, V. Safronov, and V. Bakshi

35.1 Introduction 91635.2 Electrode Thermal Response 91735.3 Materials Selection for Plasma Pinch Sources 92535.4 Testing of Materials in Plasma-Gun Facilities 93235.5 Modeling and Testing Condenser-Optic Response 94635.6 Conclusions 953References 953

Chapter 36 Origin of Debris in EUV Sources and Its Mitigation 957David N. Ruzic

36.1 Introduction 95836.2 Source Terms 95836.3 Standard Mitigation Techniques 96936.4 Mitigation through Plasma-based Secondary Ionization 976

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 18: Section 1 Introduction and Technology Review

xviii Contents

36.5 Mitigation through Manipulating the Optical Elements 985Acknowledgments 991References 991

Chapter 37 Erosion of Condenser Optics Exposed to EUVSources 995

Leonard E. Klebanoff, Richard J. Anderson, Dean A.Buchenauer, Neal R. Fornaciari, and Hiroshi Komori

37.1 Introduction 99537.2 Early Work on Condenser Erosion 99837.3 Condenser Erosion Observations in the ETS 100337.4 Condenser Erosion Study Systems After the ETS 100737.5 Erosion Studies of EUVA 101637.6 Work in Other Laboratories 1028Acknowledgments 1028References 1029

Chapter 38 Potential Energy Sputtering of EUVL Materials 1033Joshua M. Pomeroy, Laura P. Ratliff, John D. Gillaspy, andSaša Bajt

38.1 Introduction 103338.2 Interactions of HCIs with Solids 103438.3 Experimental Studies of PE Damage to EUVL Devices 103738.4 Implications and Outlook 104138.5 Summary 1041Acknowledgments 1041References 1042

Index 1045

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 19: Section 1 Introduction and Technology Review

Preface

Until recently, EUV source power was the number one challenge to implementingEUV lithography (EUVL) in the high-volume manufacturing of computer chips.But due to the dedicated efforts of a few dozen research groups around the world,EUV source technology continues to advance. Today, with tremendous improve-ments in source power and other characteristics, source power is no longer theleading challenge. EUV sources have evolved from a laboratory concept to reality,with alpha-level EUV sources being delivered for integration in alpha-level EUVscanners.

This reference book contains 38 chapters contributed by leading researchersand suppliers in the field of EUV sources for EUVL. The chapter topics are in-tended to cover the needs of practitioners of the technology as well as readers whowant an introduction to EUV sources. The book begins with in-depth coverage ofEUV source requirements and the status of the technology, followed by a reviewof fundamental atomic data and descriptions of theoretical models of discharge-produced plasma (DPP) and laser-produced plasma (LPP) based EUV sources,prominent DPP and LPP designs, and alternative technologies for producing EUVradiation. Also covered are topics in EUV source metrology, EUV source com-ponents (collectors, electrodes), debris mitigation, and mechanisms of componenterosion in EUV sources.

As EUV source technology has progressed, researchers and commercial sup-pliers around the world have published more than 100 papers per year, and theamount of technical data on EUV source technology continues to increase. My ef-fort as volume editor has been to produce an authoritative reference book on EUVsource technology, which has not existed until now. In the future one may need toconsult the proceedings of SEMATECH’s EUV Source Workshops and SPIE’s Mi-crolithography conference for the most recent performance improvements in EUVsources, but this text will still deliver the in-depth technical background informa-tion on particular technical approaches and on EUV source technology in general.

The primary strength of this book is that the contributions came from leadingexperts. The choice of having many authors per section has produced a comprehen-sive and true reference book, covering a range of technical options and opinions.I have done my best to make each chapter a complete reference in itself, thoughsome sections—usually the introductory sections of chapters—inevitably overlap.For example, although each chapter mentions the requirements for a source, the

xix

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 20: Section 1 Introduction and Technology Review

xx Preface

reader is encouraged to consult Chapter 2 to understand the details of EUV sourcerequirements. Likewise, many authors refer to certain issues such as debris gener-ation in their chapters; however, the reader is directed to Chapter 37 for a compre-hensive reading on the fundamentals of debris generation and mitigation.

This project has been successful due to the dedication and hard work of manytechnologists worldwide. Therefore, I would like to acknowledge and thank the au-thors who have worked very hard to produce a reference chapter on their technicalwork. Their quality manuscripts made my job as an editor much easier. This bookis essentially the fruit of their labor.

I would like to thank my colleagues at SEMATECH’s member companies, aswell as the authors in this volume who took the time to review the chapters by theircolleagues. I would especially like to thank some of the referees who reviewedmultiple chapters: Vadim Banine, Vladimir Borisov, Peter Choi, Akira Endo, IgorFomenkov, Samir Ellwi, Björn Hansson, Ahmed Hassanein, Lennie Klebanoff,Konstantin Koshelev, Thomas Krücken, Hans J. Kunze, Rainer Lebert, Mal-colm McGeoch, Katsunobu Nishihara, Gerry O’Sullivan, Joseph Pankert, MartinRichardson, David Ruzic, Uwe Stamm, Yusuke Teramoto, and Sergey Zakharov.

I would also like to acknowledge the contributions of my family, whose influ-ence, encouragement, and support have allowed me to undertake such a project.First of all, my father, Mr. Om Prakash Bakshi, MA, set a very high standard forwritten communication and the pursuit of excellence, which still today I can onlystrive to meet. My mother, Mrs. Pushpa Bakshi, MA, retired lecturer of the Punjabilanguage, always set the example of hard work and taught me a pragmatic approachtoward solving everyday problems, which still guides me. My wife, Laura Coyle,encouraged me to undertake this intellectual pursuit and has always been an exam-ple of innovation and uncompromising attention to quality and detail for achievingperfection, as evident in her own achievements. Laura’s and my daughter Emily’sencouragement have allowed me to continue and complete this project. For thesereasons, I have dedicated this book to my parents and my wife and daughter.

I would like to thank SPIE acquisitions editor Timothy Lamkins, with whom Iworked to generate the concept of this book. I would also like to thank SPIE editorMargaret Thayer, who made one of the largest book projects ever undertaken bySPIE Press a very smooth process. I very much appreciate her support and hardwork for making this book project a reality.

Finally, I would like to thank my former manager, Kevin Kemp, for his guid-ance and support in this project, and my employer, SEMATECH, which exempli-fies industry cooperation in the semiconductor community. SEMATECH has cre-ated a global platform to facilitate consensus on the direction of technology and topromote cooperative work in the pre-competitive arena of computer chip manufac-turing. Hopefully, this book will set an example of how a large number of expertsand competitors can cooperate to produce a reference work to benefit an entireindustry.

Vivek BakshiDecember 2005

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 21: Section 1 Introduction and Technology Review

Introduction

In semiconductor manufacturing, progress is measured in terms of the industry’scontinued ability to adhere to Moore’s Law, which states that the number of tran-sistors on a chip doubles about every two years. The International TechnologyRoadmap for Semiconductors (ITRS) dictates expected performance specificationsfor chip manufacturing technology to ensure continued adherence to this law. Ac-complishing these specifications in turn requires the development and perfectionof new technologies at a pace that is unmatched by any other industry. No singlecompany can hope to do this alone: The increasing complexity of the technicalchallenges and the rising cost of development call for an unprecedented level ofresource and risk sharing among semiconductor manufacturers, tool and materialssuppliers, and research institutions and consortia.

Among the technical challenges facing the semiconductor industry, lithographypresents some of the most formidable problems, particularly the search for a next-generation lithography solution that can provide for high-volume manufacturingof computer chips at the 32 nm node and beyond. Extreme ultraviolet lithography(EUVL) is the leading candidate to succeed optical lithography at the currentlyused wavelength of 193 nm. However, the technical challenges of source power,source component and optics lifetime, resist performance, and mask defectivitystill must be addressed to ensure the cost-effective and timely implementation ofEUVL. Furthermore, the industry infrastructure in these key areas needs to be de-veloped rapidly to support planned manufacturing at the 32 nm generation.

Source power and associated source component lifetime are among the mostcritical of all the EUVL challenges. The amount of available source power trans-lates directly to the wafer throughput that can be achieved by an EUV exposuretool. Source component lifetime affects the cost of maintaining the tool, includ-ing the amount of time that a tool must be taken out of productive service formaintenance. Both these factors in turn drive the per-wafer processing cost for thetechnology. The past four to six years have seen a concerted effort on the partof suppliers and researchers to achieve the power levels and component lifetimesrequired to produce commercial EUV sources for lithographic applications. Thisvolume celebrates the successes along this path and provides a reference for prac-titioners in the field and other interested readers.

SEMATECH is a consortium of the world’s leading semiconductor manufactur-ers, and is a powerful catalyst for accelerating the commercialization of technology

xxi

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 22: Section 1 Introduction and Technology Review

xxii Introduction

innovations into manufacturing solutions for the semiconductor industry. Its litho-graphy division conducts targeted research projects to accelerate technology andinfrastructure development to meet the lithography requirements of the ITRS. Italso organizes numerous technical workshops and symposia involving technolo-gists and decision-makers from around the world to foster global, pre-competitivecooperation and to drive consensus solutions for future semiconductor manufac-turing technology. Continued progress in the development of EUVL is a primeexample of SEMATECH’s efforts in this regard, and this book is a direct result ofsuch collaboration.

Kevin KempDirector, Lithography Division

SEMATECH

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 23: Section 1 Introduction and Technology Review

List of Contributors

J. P. AllainArgonne National Laboratory, USA

Moza Al-RabbanQatar University, QatarUniversity of Central Florida, USA

Richard J. AndersonSandia National Laboratories, USA

Željko AndreicUniversity of Zagreb, Croatia

Rolf ApetzPhilips Extreme UV GmbH, Germany

Thierry AugusteEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Saša BajtLawrence Livermore NationalLaboratory (LLNL), USA

Vivek BakshiSEMATECH, USA

William P. BallardSandia National Laboratories, USA

Vadim BanineASML, The Netherlands

Benoit BarthodEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Eric C. BenckNational Institute of Standards andTechnology, USA

Klaus BergmannFraunhofer Institut für Lasertechnik,Germany

Fred BijkerkFOM-Institute for Plasma PhysicsRijnhuizen, The Netherlands

Thomas BlenskiDSM/DRECAM/SPAM, CEA-Saclay,France

Vladimir M. BorisovTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Norbert R. BöweringCymer, Inc., USA

J. N. BrooksArgonne National Laboratory, USA

Michael BrownellPowerlase Ltd., UK

Caspar BruinemanScientec Engineering, The Netherlands

Dean A. BuchenauerSandia National Laboratories, USA

T. BurtsevaArgonne National Laboratory, USA

xxiii

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 24: Section 1 Introduction and Technology Review

xxiv List of Contributors

Tibério CeccottiEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Guy CheymolEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Boris N. ChichkovLaser Zentrum Hannover e.V.,Germany

Peter ChoiEPPRA sas, France

S. S. ChurilovInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Andrew J. ComleyPowerlase Ltd., UK

Philippe CormontEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Anthony CummingsUniversity College Dublin, Ireland

René de BruijnXTREME technologies, Germany

Andrey I. DeminTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Günther DerraPhilips GmbH Research Laboratoriesand Philips Extreme UV GmbH,Germany

Padraig DunneUniversity College Dublin, Ireland

André Egbertphoenix|euv Systems + ServicesGmbH, Germany

Wilhelm EgleCarl Zeiss Laser Optics GmbH,Germany

Samir EllwiPowerlase Ltd., UK

Alexander V. EltsovTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Akira EndoEUVL System DevelopmentAssociation (EUVA), Japan

Igor V. FomenkovCymer, Inc., USA

Steven FornacaNorthrop Grumman Corporation, USA

Neal R. FornaciariSandia National Laboratories, USA

Hans FrankenASML, The Netherlands

Kai GäbelXTREME technologies, Germany

R. GayazovInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Simi GeorgeUniversity of Central Florida, USA

John D. GillaspyNational Institute of Standards andTechnology (NIST), USA

Franck GilleronCEA/DIF, France

John E. M. GoldsmithSandia National Laboratories, USA

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 25: Section 1 Introduction and Technology Review

List of Contributors xxv

V. GomozovInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Steve GranthamNational Institute of Standards andTechnology (NIST), USA

Björn A. M. HanssonRoyal Institute of Technology, Sweden

Jeffrey HartloveNorthrop Grumman Corporation, USA

A. HassaneinArgonne National Laboratory, USA

Patrick HaydenUniversity College Dublin, Ireland

Jean-François HergottEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Hans M. HertzRoyal Institute of Technology, Sweden

Jerzy R. HoffmanCymer, Inc., USA

Robert HuitingFOM-Institute for Plasma PhysicsRijnhuizen, The Netherlands

Z. InsepovArgonne National Laboratory, USA

Alexander S. IvanovTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

V. V. IvanovInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Lawrence IwakiNorthrop Grumman Corporation, USA

Jeroen JonkersPhilips Extreme UV GmbH, Germany

Christian KeyserNaval Research Laboratories, USA

Oleg V. KhodykinCymer, Inc., USA

Oleg B. KhristoforovTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Yuriy B. KiryukhinTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Leonard E. KlebanoffSandia National Laboratories, USA

Jürgen KleinFraunhofer Institut für Lasertechnik,Germany

Jürgen KleinschmidtXTREME technologies, Germany

Chiew-Seng KoayUniversity of Central Florida, USA

V. G. KoloshnikovInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Hiroshi KomoriEUVL System DevelopmentAssociation (EUVA), Japan

I. KonkashbaevArgonne National Laboratory, USA

E. D. KoropInstitute for Spectroscopy RussianAcademy of Sciences, Russia

K. N. KoshelevInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 26: Section 1 Introduction and Technology Review

xxvi List of Contributors

V. KrivtsunInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Thomas KrückenPhilips Research Laboratories,Germany

Glenn D. KubiakSandia National Laboratories, USA

H.-J. KunzeRuhr University, Germany

Rainer LebertAIXUV GmbH, Germany

Michael LoekenPhilips Extreme UV GmbH, Germany

Thomas LucatortoNational Institute of Standards andTechnology (NIST), USA

Alastair A. MacDowellLawrence Berkeley NationalLaboratory (LBNL), USA

Piotr MarczukCarl Zeiss Laser Optics GmbH,Germany

Armando MartosNorthrop Grumman Corporation, USA

Fernando MartosNorthrop Grumman Corporation, USA

Malcolm W. McGeochPLEX LLC, USA

R. D. McGregorNorthrop Grumman Corporation, USA

Luke McKinneyUniversity College Dublin, Ireland

Stuart McNaughtNorthrop Grumman Corporation, USA

Stephan T. MelnychukCymer, Inc., USA

Valentin A. MishchenkoTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Mark MichaelianNorthrop Grumman Corporation, USA

V. MorozovArgonne National Laboratory, USA

Richard MoyerNorthrop Grumman Corporation, USA

Nicola MurphyUniversity College Dublin, Ireland

Katsunobu NishiharaOsaka University, Japan

Takeshi NishikawaOkayama University, Japan

Willi NeffFraunhofer Institut für Lasertechnik,Germany

Richard M. NessCymer, Inc., USA

Vladimir G. NovikovKeldysh Institute of AppliedMathematics Russian Academy ofSciences, Russia

Ian R. OliverCymer, Inc., USA

Rocco OrsiniNorthrop Grumman Corporation, USA

Gerry O’SullivanUniversity College Dublin, Ireland

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 27: Section 1 Introduction and Technology Review

List of Contributors xxvii

Kazuya OtaNikon Corporation, Japan

Joseph PankertPhilips Extreme UV GmbH, Germany

William N. PartloCymer, Inc., USA

Michael PetachNorthrop Grumman Corporation, USA

Michel PoirierDSM/DRECAM/SPAM, CEA-Saclay,France

Samuel PontiNorthrop Grumman Corporation, USA

Joshua M. PomeroyNational Institute of Standards andTechnology (NIST), USA

Sven ProbstFraunhofer Institut für Lasertechnik,Germany

Alexander V. ProkofievTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Laura P. RatliffNational Institute of Standards andTechnology (NIST), USA

Curtis L. RettigCymer, Inc., USA

B. RiceIntel Corporation, USA

Martin RichardsonUniversity of Central Florida, USA

Oliver RosierFraunhofer Institut für Lasertechnik,Germany

David N. RuzicUniversity of Illinois atUrbana-Champaign, USA

A. N. RyabtsevInstitute for Spectroscopy RussianAcademy of Sciences, Russia

V. SafronovTroitsk Institute for Innovation andFusion Research (TRINITI), Russia

Akira SasakiAdvanced Photon Research Center,Japan

Hiroto SatoEUVL System DevelopmentAssociation (EUVA), Japan

Martin SchmidtEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Frank ScholzePTB, X-ray Radiometry Department,Germany

Guido SchrieverXTREME technologies, Germany

Howard ScottLawrence Livermore NationalLaboratory (LLNL), USA

Stefan SeiwertFraunhofer Institut für Lasertechnik,Germany

Harry ShieldsNorthrop Grumman Corporation, USA

Yu. V. SidelnikovInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Guido SiemonsPhilips Extreme UV GmbH, Germany

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 28: Section 1 Introduction and Technology Review

xxviii List of Contributors

Wolfgang SingerCarl Zeiss SMT AG, Germany

T. SizyukArgonne National Laboratory, USA

V. SizyukArgonne National Laboratory, USA

Jacky SkrzypczakEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Christopher SmithFraunhofer Institut für Lasertechnik,Germany

Uwe StammXTREME technologies, Germany

Randall St. PierreNorthrop Grumman Corporation, USA

Remko StuikLeiden Observatory University ofLeiden, The Netherlands

Olivier SublemontierEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

Atsushi SunaharaInstitute for Laser Technology, Japan

Kazutoshi TakenoshitaUniversity of Central Florida, USA

Charles TarrioNational Institute of Standards andTechnology (NIST), USA

Yusuke TeramotoEUVL System DevelopmentAssociation (EUVA), Japan

Mark ThomasNorthrop Grumman Corporation, USA

Pierre-Yves ThroEXULITE ProjectDSM/DRECAM/SPAM, CEA, France

V. TolkachArgonne National Laboratory, USA

I. Yu. TolstikhinaP. N. Lebedev Physical InstituteRussian Academy of Sciences, Russia

Gerhard UlmPTB, X-ray Radiometry Department,Germany

Santi Alonso van der WestenFOM-Institute for Plasma PhysicsRijnhuizen, The Netherlands

Dominik VaudrevangePhilips Extreme UV GmbH, Germany

Robert VestNational Institute of Standards andTechnology (NIST), USA

Armando VillarrealNorthrop Grumman Corporation, USA

Alexander Yu. VinokhodovTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Vladimir A. VodchitsTroitsk Institute of Innovation andFusion Research (TRINITI), Russia

Yutaka WatanabeCanon Inc., Japan

Rolf WesterFraunhofer Institut für Lasertechnik,Germany

John WhiteUniversity College Dublin, Ireland

Obert R. Wood, IISEMATECH, USA

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 29: Section 1 Introduction and Technology Review

List of Contributors xxix

O. YakushevInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Masaki YoshiokaUshio Inc., Japan

Sergey V. ZakharovEPPRA sas, FranceRRC Kurchatov Institute, Russia

James ZamelNorthrop Grumman Corporation, USA

Peter ZinkPhilips Research Laboratories,Germany

G. G. ZukakishviliInstitute for Spectroscopy RussianAcademy of Sciences, Russia

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 30: Section 1 Introduction and Technology Review

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 31: Section 1 Introduction and Technology Review

List of Abbreviations

AA average atomACR absolute cryogenic radiometerADM angular distribution monitorAEM Auger electron microscopyAES Auger electron spectroscopyAFM atomic force microscopyAIM aerial-image microscopeALS Advanced Light Source (U.S.)ANL Argonne National Laboratory (U.S.)AO acousto-opticalarb. arbitraryASD axially symmetrical dischargea.u. arbitrary unitsBCA binary collision approximationBW bandwidthCBM carbon-based materialsCBS collision-based spectroscopyCCD charge-coupled deviceCE conversion efficiencyCES charged-exchange spectroscopyCF ConFlatCFC carbon-fiber compositeCI configuration interactionCM collisional mixingCO condenser opticCoO cost of ownershipCOR condenser-optic regionCR collisional radiativeCRE collisional radiative equilibriumCRM collisional radiative modeCTE coefficient of thermal expansioncw continuous waveCXRO Center for X-ray Optics (at LBNL, U.S.)DCA direct configuration accounting

xxxi

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 32: Section 1 Introduction and Technology Review

xxxii List of Abbreviations

DCU dual-crystal unitDF Dirac-FockDL diffraction limitDLC diamondlike carbonDMD defect-mediated desorptionDPF dense plasma focusDPP discharge-produced plasmaDPSS diode-pumped solid stateDRT discrete-ordinate methodDTA detailed term accountingDUV deep ultravioletDWA distorted-wave approximationEBIT electron-beam ion trapEDX energy dispersive x-ray spectroscopyEM electromagneticEO electro opticalEOS equation of stateES electrostatic analyzerESA spherical-sector electrostatic energy analyzerESIEA electrostatic ion energy analyzerESR electrical substitution radiometerETS Engineering Test StandEUV extreme ultravioletEUVA Extreme Ultraviolet Lithography System Development

Association (Japan)EUVL extreme ultraviolet lithographyEUV LLC EUV Limited Liability CorporationFAC Flexible Atomic CodeFC Flying CircusFDWG Fundamental Data Working Group (of SEMATECH)FFS flat-field spectrographFMEA failure-mode and effect analysisFOM Fundamenteel Onderzoek der Materie (The Netherlands)FT foil trapFWHM full width at half maximumGA Gibbsian adsorptionGDPP gas-discharge produced plasmaGEA gridded energy analyzerGIM grazing-incidence mirrorHCI highly charged ionsHCT hollow-cathode triggeredHEDP high-energy-density physicsHEW half energy widthHF Hartree-Fock

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 33: Section 1 Introduction and Technology Review

List of Abbreviations xxxiii

HFR Hartree-Fock approximation with relativistic extensionsHFS Hartree-Fock-SlaterHLI Helmholtz-Lagrange invariantHULLAC Hebrew University Lawrence Livermore Atomic CodeHV high voltageHVE high-voltage electrodeHVM high-volume manufacturingIBA inverse bremsstrahlung absorptionIC integrated circuitICE intrinsic conversion efficiencyIDEA interferometric data evaluation algorithmsIDEAL Illinois Debris-Mitigation for EUV Applications Laboratory

(U.S.)IEA ion energy analyzerIEUVI International EUV InitiativeIF intermediate focusIGBT insulated gate bipolar transistorIMPACT Interaction of Materials with charged Particles And Components

TestingIP ion probeIR infraredIRD International Radiation DetectorsISMT International SEMATECHITRS International Technology Roadmap for SemiconductorsKIAM Keldysh Institute of Applied Mathematics (Russia)LBNL Lawrence Berkeley National Laboratory (U.S.)LEISS low-energy ion scattering spectroscopyLER line edge roughnessLLNL Lawrence Livermore National Laboratory (U.S.)LPL Laser Plasma Laboratory (U.S.)LPP laser-produced plasmaLTE local thermodynamic equilibriumMCDF multiconfiguration Dirac-FockMCHF multiconfiguration Hartree-FockMCP microchannel plateMCRT Monte Carlo radiation transportMCS multicomponent systemMET microexposure toolMETI Ministry of Economy, Trade, and Industry (Japan)MHD magnetohydrodynamicsMHRDR magnetohydroradiative-dynamic researchML multilayerMLM multilayer mirrorMO master oscillator

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 34: Section 1 Introduction and Technology Review

xxxiv List of Abbreviations

MOPA master oscillator–power amplifierMPC magnetic pulse compressionMSEM modified semiempirical methodMo/Si molybdenum on siliconMTBF mean time between failureMTTR mean time to repairNA numerical apertureNEDO New Energy and Industrial Technology Development

Organization (Japan)NGC Northrop Grumman Corporation (U.S.)NGL next-generation lithographyNIST National Institute of Standards and Technology (U.S.)NLTE non-local thermodynamic equilibriumNSLS National Synchrotron Light Source (U.S.)OOB out-of-bandORNL Oak Ridge National Laboratory (U.S.)PBN pyrolytic boron nitridePE potential energyPMMA poly(methyl methacrylate)PO projection opticsPOM polyacetalPOPA power-oscillator–power-amplifierPREUVE PRoject Extreme UltraviolEt (France)PS preferential sputteringPSPDI phase-shifting point-diffraction interferometerPTB Physikalisch-Technische Bundesanstalt (Germany)PV peak to valleyPVD physical vapor depositionPZT lead zirconium titanateQCM quartz crystal microbalanceQCM-DCU quartz crystal microbalance–dual-crystal unitRAL Rutherford Appleton Laboratory (U.K.)RC radiative collapseRC resistive capacitance (time constant)RDE rotating-disk electrodeRED radiation-enhanced diffusionRES radiation-enhanced sublimationrf radio frequencyRGA residual gas analyzerRIS radiation-induced segregationRMDU rotating multidischarge unitRMHD radiative magnetohydrodynamicsRTE radiation transport equationSBS stimulated Brillouin scattering

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 35: Section 1 Introduction and Technology Review

List of Abbreviations xxxv

SCDF single-configuration Dirac-FockSCO superconfiguration codeSCOPE Surface Cleaning of Optics by Plasma Exposure (U.S.)SEM scanning electron microscopySHG second-harmonic generatorSHM screened hydrogenic modelSIMS secondary-ion mass spectroscopyslm standard liters per minuteSOSA spin-orbit split arraySPF spectral purity filterSRC Semiconductor Research Corporation (U.S.)SRIM Stopping and Range of Ions in MatterSTA supertransition arraySTE self-trapped excitonSTM scanning tunneling microscopeSURF II Synchrotron Ultraviolet Radiation Facility (at NIST)TBD to be determinedTDLDA time-dependent local density approximationTE thermal equilibriumTEM transmission electron microscopyTF Thomas-FermiTGS transmission grating spectrographTMP turbomolecular pumpTOF time-of-flightTPS Thomson parabola spectrometerTRINITI Troitsk Institute of Innovation and Fusion Research (Russia)TRIM Transport of Ions in MatterTVD total variation diminishingTWG Technical Working GroupUHV ultrahigh vacuumUTA unresolved transition arrayVNL Virtual National Laboratory (U.S.)VUV vacuum ultravioletWDS wafer dose sensorWS working standardXPS x-ray photoelectron spectroscopy

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 36: Section 1 Introduction and Technology Review

Chapter 1

EUV Source Technology:Challenges and Status

Vivek Bakshi

Contents

1.1 Introduction 41.2 Conversion Efficiency of EUV Sources 4

1.2.1 DPP versus LPP 41.2.2 Xe, Sn, and Li conversion efficiency 61.2.3 Utility requirements 7

1.3 EUV Source Power 91.3.1 Measurements 91.3.2 Factors influencing effective EUV light collection 9

1.3.2.1 Geometrical collector efficiency 91.3.2.2 Collector reflectivity 111.3.2.3 Gas transmission 111.3.2.4 Spectral purity filter transmission 111.3.2.5 Etendue mismatch 131.3.2.6 Other factors affecting source power requirements 13

1.3.2.6.1 Resist sensitivity 131.3.2.6.2 Mirror reflectivity 141.3.2.6.3 Other factors 14

1.3.3 Power for DPP sources 141.3.3.1 Xe DPP 151.3.3.2 Sn DPP 151.3.3.3 Li DPP 16

1.3.4 Power for LPP sources 161.3.4.1 Laser power 161.3.4.2 Xe LPP 181.3.4.3 Sn LPP 181.3.4.4 Li LPP 19

1.4 Source Components and Their Lifetimes 191.5 Summary and Future Outlook 20References 21

3

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 37: Section 1 Introduction and Technology Review

4 Chapter 1

1.1 Introduction

Extreme ultraviolet lithography (EUVL) is the leading technology being consid-ered for printing circuits at the 32-nm node1 and below in a high-volume manufac-turing (HVM) environment fab. In EUVL, a 13.5-nm-radiation wavelength gener-ated by an EUV source is used to print circuits. Because light radiation is stronglyabsorbed at this wavelength, the entire EUVL scanner system must be in a vacuumenvironment, and all optics must be reflective, not refractive. Based on the HVMrequirements of 100-wafer/h throughput and other system requirements for optics,resist sensitivity, and overhead (Table 1.1), a power requirement of 115 W has beenspecified for HVM EUVL scanners. Besides power, EUV sources must meet ad-ditional specifications. The production-level requirements in Table 1.1 have beenjointly agreed upon by major scanner manufacturers.2,3

Discharge-produced plasma (DPP) and laser-produced plasma (LPP) are theleading technologies for generating high-power EUV radiation at 13.5 nm. In bothtechnologies, hot plasma of ≈20–50 eV of the chosen fuel material is generated,which produces EUV radiation. In DPP, magnetic pinching of low-temperatureplasma generates the high-temperature plasma. In LPP, the target material is heatedby a laser pulse to generate high-temperature plasma. Xenon, tin, and lithium arethe fuel materials of choice for EUV sources.

The cost-effective implementation of EUVL in HVM presents many technicalchallenges, of which the EUV source power has remained the greatest one untilrecently. In the fall of 2004, significant progress in EUV source power was re-ported at the EUVL Symposium in Miyazaki, Japan, making source power a lesserconcern. The current challenges for implementing EUVL in HVM are listed inTable 1.2.

Today worldwide, more than eight suppliers and consortia are working to de-velop high-power EUV sources for EUVL. In addition, some suppliers are workingto develop low-power EUV sources that are finding applications in metrology tosupport EUVL. This chapter presents the status of high-power EUV source tech-nology and summarizes the technical challenges that must be overcome to meet thespecifications for high-power EUV sources in HVM.

1.2 Conversion Efficiency of EUV Sources

1.2.1 DPP versus LPP

The conversion efficiency (CE) is the ratio of energy radiated by the EUV source ina 2% bandwidth (BW) around 13.5 nm to the input energy to the EUV source. TheCE is used to estimate the utility requirements, choose the fuel, and understand thelimits of power scaling. The fundamental CE for a fuel represents the upper limitof CE for that particular fuel.

For DPP, the input energy is the electrical energy consumed by the entire system(energy dissipated in the plasma plus energy lost in the electrical system). However,

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 38: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 5

Tab

le1.

1E

UV

sour

cere

quire

men

tsan

dte

chno

logy

stat

us.

Bes

trep

orte

dva

lues

Req

uire

men

ts

EU

Vso

urce

spec

ifica

tions

Xe

DPP

SnD

PPX

eL

PPSn

LPP

Alp

haB

eta

Prod

uctio

n

Stat

usas

ofQ

120

05Q

120

05Q

120

05Q

120

0520

0520

0720

09W

avel

engt

h(n

m)

13.5

13.5

13.5

13.5

13.5

13.5

13.5

Thr

ough

put(

waf

ers/

h)20

6010

0E

UV

pow

erat

inte

rmed

iate

focu

s(W

)25

502.

33

10a

30a

115b

Rep

etiti

onfr

eque

ncy

(kH

z)2

6.5

4.5

2a5a

7–10

a

Inte

grat

eden

ergy

stab

ility

(%)

25

5a1a

0.3b

Sour

cecl

eanl

ines

sT

BD

TB

D>

30,0

00h

Col

lect

orlif

etim

e(1

09pu

lses

)10

15

TB

D1

(1m

onth

)a10

(3m

onth

s)b

80(1

2m

onth

s)c

Ele

ctro

delif

etim

e(1

09pu

lses

)0.

35>

1N

/AN

/A1

(1m

onth

)a10

(3m

onth

s)a

80(1

2m

onth

s)b

Proj

ectio

nop

tics

lifet

ime

(h)

30,0

00E

tend

ueof

sour

ceou

tput

(mm

2sr

)<

1T

BD

aT

BD

a<

3.3b

Max

.sol

idan

gle

toill

umin

ator

(sr)

TB

Da

TB

Da

0.03

–0.2

b

Spec

tral

puri

ty,1

30–4

00nm

TB

DT

BD

TB

Dc

Spec

tral

puri

ty,>

400

nmT

BD

TB

DT

BD

c

Spec

tral

puri

ty,2

0–13

0nm

TB

DT

BD

TB

D

aN

opr

oble

ms.

bC

halle

nges

rem

ain.

cPo

tent

ials

how

stop

per;

sign

ifica

ntte

chni

calc

halle

nges

rem

ain.

TB

D=

tobe

dete

rmin

ed.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 39: Section 1 Introduction and Technology Review

6 Chapter 1

Table 1.2 Challenges for Implementation of EUVL in HVM by 2009a .

Ranked issues

1 Availability of defect-free masks2 Lifetime of source components and collectors3 Resist resolution sensitivity and line edge roughness (LER)

Unranked issues

Reticle protection during storage handling and useSource powerProjection and illuminator optics quality and lifetime

aList generated by EUVL Symposium Organization Committee, November2004, Miyazaki, Japan.

sometimes the CE values presented in the literature take into account only theenergy deposited in the plasma. In DPP, some of the energy is lost in the electricalcomponents; therefore, the reported CE will depend on system-specific details.Without those details, it is difficult to separate the CE from the fundamental CElimits for a given fuel. Reference 4 gives an example of the CE for the entire systemas well as the fundamental CE. For a given fuel, it is possible to optimize the systemoperation to maximize its CE.5 One may note that many times the highest CEreported for a fuel and source design combination does not correspond to optimaloperating conditions. In this situation, it is best to use the CE for optimal operatingconditions to get a realistic utility consumption estimate and understand the limitsof power scaling. For the LPP system, the laser power and EUV output in the2% BW around 13.5 nm is used to estimate the CE. However, for LPP systems,the overall conversion for the entire system is much less than for DPP becauseof the low wall-plug-to-laser-light CE of a laser system, which is typically lessthan 10%.

1.2.2 Xe, Sn, and Li conversion efficiency

For Xe plasma, only the Xe10+ ionic stage is responsible for the emission in the13.5-nm radiation bandwidth,6 which results in a 1% or less CE. Although Xe as afuel has been favored for being a noble gas, its low CE requires a high energy inputto meet HVM EUV source power requirements. Such inputs are prohibitive due tolimits on thermal management for DPP, and due to lack of high-power lasers forLPP, precluding Xe as the fuel of choice for high-power EUV sources.

As suppliers learn to optimize their systems, measured CEs have continued toincrease. Historical data for such an increase are not given in this section, but canbe reviewed in the technology description of various source designs.7 Althoughmodeling has predicted a wide range of fundamental CE limits for Xe (2–4%),8

experimentally only a 1% CE has been observed for Xe plasmas.Today CEs for a Xe DPP system are reported in the ranges of 0.45%,4 0.5%,10

and 1%.11 One must be cautious in accepting high CE numbers, since (for example)

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 40: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 7

for a given DPP design they may require a source size larger than allowed by theetendue requirements of the system. Therefore, the maximum CE may correspondto the available power at the source and not to the acceptable power at the EUVLscanner.

In the case of LPP, the source size is smaller (on the order of 100 × 100 µm);thus, etendue mismatch is not a concern (see Sec. 1.3.2.5 for details on this topic).For Xe LPP, CEs of 0.7%,12 0.8%,13 and 0.8–1%11 have been reported. It hasbeen shown that for Xe LPP, the transient nature of the Xe+10 population may belimiting the CE, and pulse shaping and pulse trains may help increase it.14 Theabove values of the CE are for LPPs produced using Nd:YAG lasers. For LPP sys-tems using pulsed CO2 lasers, CEs of 0.7%15 and 0.8%12 have been reported. Fora given system, in the case of LPP, the CE weakly depends on the laser wave-length.16

For Sn, multiple ionic stages, Sn+8 to Sn+12, contribute to emissions around13.5 nm, resulting in a higher CE;17 much higher theoretical estimates for the CEfor Sn (4–7.5%) have been reported.8 Recent work also predicts CEs of 3.5–6%for Sn-based EUV sources.18 A factor of 3–4 for Sn over Xe is usually quoted inthe literature for experimental measurements of the CE.19,20 For Sn DPP EUVsources, 2% CE has been reported,10,21 with a goal of 3% CE on the supplierroadmap.11 Such goals can be achieved by reducing the etendue mismatch andoptimizing the system design.22 Higher CE values have been reported for Sn LPP:2.5% using Sn-doped droplet targets,23 and likewise 2.5% using a Sn tape witha 25% Sn concentration.13,24 Based on current experimental data, a 3% CE canbe expected for mass-limited Sn targets.23 Much higher CEs have been obtainedusing solid Sn targets (viz., 3%25 and 5%23). Note, however, that solid Sn targetsare probably not practical for use as fuel in an EUV source, because they generatelarge amounts of debris. In fact, for all Sn-based EUV sources, debris mitigationcontinues to be a serious challenge.

Li is a third material of choice that was recently revisited by EUV source sup-pliers for both LPP9 and DPP systems.26 In the past, very low CEs of 0.1% forcapillary discharges27 and 0.23% for dense plasma focus (DPF) systems28 werereported. However, recently much higher CE measurements of 2.5–3% have beenreported for Li-based LPP EUV sources,9 and 2.5% CE is expected for Li-basedDPP EUV sources.26

1.2.3 Utility requirements

Utility requirements for EUV sources and for a wafer manufacturing fab in generalshould be considered, since these requirements allow an understanding of whysome potential EUV source technologies may not be cost-effective. Although firmnumbers are not available for laser utility requirements and full-scale scanners,utility consumption estimates can still point out potential issues.

EUVL is expected to be implemented in a 300-mm HVM fab. Based on cur-rent data, the utility requirements in 300-mm fabs have been estimated.29,30 In a

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 41: Section 1 Introduction and Technology Review

8 Chapter 1

HVM 300-mm fab (defined as 30,000–40,000 wafer starts per month), the aver-age power requirement for the entire fab is 50 MW. For a typical fab, 40% ofthe energy consumed is used by tools. In today’s fabs, 193-nm scanners are theleading-edge-technology scanners. On average in an HVM fab, 10 scanners ofleading-edge technology are needed for patterning critical layers. The utilities fora 193-nm scanner are rated for 75 kW, which makes consumption by 10 scanners750 kW, or 4% of the total tool power consumption. For this discussion, the powerrating for equipment has been used for the actual power consumption by the tool.In reality, the actual power consumption is less; however, data on it are not readilyavailable.

A 40-W, 193-nm laser used in a 193-nm scanner has utilities rated for 50 kW.32

An HVM Sn DPP EUV source is expected to consume 30 kW of power; there-fore, for DPP EUV sources, no increase in utilities (power consumption or coolingrequirements) is expected. Today’s EUVL microsteppers are rated for 100 kW ofpower usage. Their Xe DPP EUV sources generate 1 W of power using ≈2 kW ofpower input (based on a 0.5% CE for Xe), or 2% of the energy. For an alpha-levelEUVL scanner with 25 W of a Sn DPP source, utilities are rated for 150 kW. Agood estimate assumes that for a production-level EUVL scanner, ≈ 150 kW ofenergy will be required, meaning only a modest increase in power consumptionis expected in the HVM environment for one EUVL scanner, and ≈750 kW for10 EUV scanners.

The utility estimate for EUVL scanners will be different if LPP-based EUVsources are used. The power scaling for DPP sources is limited due to thermalmanagement limits on electrode cooling. If future applications of EUVL demandhigher power, LPP can provide the needed power scaling. However, the utility re-quirements for LPP raise concern, depending on the type of laser employed by theEUV source.

For an Nd:YAG laser, the wall-plug-to-laser-light CE (wall-plug efficiency) isestimated to be 10%, which means 120 kW of power will be needed to generate12 kW of laser light, which is the amount necessary to produce the required 115 Wof power—hence increasing the power requirement for EUV sources by 70 kWover that of a light source for a 193-nm scanner. For 10 scanners this will mean anadditional 700 kW of power, which, although a substantial increase, may be accept-able. However, high-power Nd:YAG lasers do not seem to be technically feasible orcost-effective.12 Suppliers are looking at a pulsed CO2 laser, which is expected todemonstrate ≈8% wall-plug efficiency. The main concern for utility requirements,however, comes with excimer lasers. Present wall-plug efficiency estimates rangefrom 0.5%31 to 2%,32 or a power input from 600 kW to 2.4 MW to generate 12 kWof laser power. This would mean additional power requirements of 550 kW to 2.35MW per EUV source, or 5.5 to 23.5 MW for 10 such EUV sources, as comparedto 193-nm light sources. Such estimates of additional utility requirements couldrender excimer-laser-driven LPP EUV sources not cost-effective.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 42: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 9

1.3 EUV Source Power

1.3.1 Measurements

The EUV source requirements are specified at the intermediate focus (IF) in the 2%BW around 13.5 nm. However, most measurements are now performed by directlyobserving the EUV source. Consequently, the power estimated at the IF requiresthe estimation of losses between the source and IF. Metrology for measurements atIF is still being developed.33–35 Since measurements at IF are more cumbersomeand IF metrology equipment is more expensive, power measurements are likely tocontinue to be taken directly at source.

Absolute EUV power is measured using one or more multilayer mirrors andEUV diodes, although absolutely calibrated charge-coupled devices (CCDs) com-bined with spectrometers can also perform these measurements. Both mirror anddiodes are calibrated at beamline. SEMATECH has sponsored the Flying Cir-cus (FC) project to independently assess source performance,4 cross-calibratethe suppliers’ EUV sensors, and cross-calibrate other commercial EUV energysensors.4,36,37 Such measurement campaigns have resulted in confidence in thesource power measurements and source performance data. For FC, an accuracyof better than 3% is estimated for absolute EUV power measurements on abeamline.38 These absolute accuracies are good for the Xe-based system only. ForSn-based EUV sources, because of varying spectral correction factors, an FC-typedevice with special 2%-BW mirrors has been used. The special multilayer mirrors,designed to simulate the performance of the 11-mirror system, allow one to esti-mate the EUV radiation in the 2% BW around 13.5 nm, without spectral featureinformation for the Sn source in a wide-spectral-range calibration.39

1.3.2 Factors influencing effective EUV light collection

All of the EUV radiation emitted by sources cannot be collected and transmittedto IF. The following sections review various factors that affect the collection ofEUV light at IF. Table 1.3 summarizes these discussions of EUV source technologylimits.

1.3.2.1 Geometrical collector efficiency

Due to geometrical constraints, in today’s system designs, a collector for a DPPEUV source collects less light than a collector for an LPP source. Currently, a1.8-sr collector consisting of concentric hyperbolic-elliptical mirror shells (Woltertype 1 grazing-incidence optics) is being used for DPP EUV sources.40,41 For thesecollectors, EUV light undergoes two reflections before it is collected at IF. Thesecollectors are produced by coating a nickel shell with palladium (Pd) or other mate-rials like gold (Au), rhodium (Rh), or ruthenium (Ru); some new proprietary alloysare also proposed. For DPP EUV sources, suppliers have been proposing a collec-tor of 3.14 sr, which will collect 50% of the light. However, no such design has yet

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 43: Section 1 Introduction and Technology Review

10 Chapter 1

Tab

le1.

3E

UV

sour

cete

chno

logy

limits

.

DPP

LPP

Xe

SnX

eSn

Toda

yU

ltim

ate

Toda

yU

ltim

ate

Toda

yU

ltim

ate

Toda

yU

ltim

ate

Inpu

tpow

er(W

)20

000

3000

020

000

3000

025

0015

000

1000

1500

0C

onve

rsio

nef

ficie

ncy

(%)

1.00

1.00

2.00

3.00

0.80

1.20

2.50

3.00

Pow

erat

the

sour

ce(W

)20

030

040

090

020

180

2545

0C

olle

ctio

n(s

r,ou

tof

2πsr

)1.

83.

141.

83.

143.

145

3.14

5C

olle

ctio

nab

ility

(%of

2πsr

)29

5029

5050

8050

80C

olle

ctor

tran

smis

sion

(%)

6570

6570

6570

6570

Deb

ris

miti

gatio

ntr

ansm

issi

on(%

)80

8080

8010

010

010

010

0G

astr

ansm

issi

on(%

)85

8585

8585

8585

85SP

Ftr

ansm

issi

on(%

)40

7040

7040

7040

70E

tend

uem

atch

(%)

7510

075

100

100

100

100

100

Eff

ectiv

eco

llect

ion

capa

bilit

y(%

)4

174

1711

3311

33Po

wer

atin

term

edia

tefo

cus

(W)

850

1515

02

603

149

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 44: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 11

been realized, and doing so will be challenging. Separate studies are underway42

to investigate the collection limit of collectors. Carl Zeiss and Media Lario are theleading suppliers for these grazing-angle collectors.

For LPP, collectors are made from Mo/Si multilayers, which are protected by athin coating layer (e.g., Ru). Today suppliers have demonstrated 3.14-sr collectors,and work is underway to design and manufacture a 5-sr collector, which will have80% CE.11

1.3.2.2 Collector reflectivity

Collector materials have limited reflectivity, contributing to loss of EUV light in thesystem. For Pd-coated shells used as collectors for DPP, the reflectivity at 13.5 nmdecreases linearly from 85% to 65% for a grazing incidence angle of 5 to 15 deg.40

Due to the finite size of plasma and the multishell collector design, the modeled ef-fective reflectivity needs to be verified. Such measurements will become availableas IF metrology is further developed. For now, a rough estimate of the upper limitof reflectivity of 70% is used. For LPP collectors based on multilayers, an upperlimit of 70% is likewise projected. Note that, as the collector degrades, its effectivereflectivity will decrease.

1.3.2.3 Gas transmission

Absorption of EUV light by a background gas such as Xe is expected in DPP andLPP systems. Although absorption-versus-pressure data are readily available,43

suppliers do not provide pressure data because of pressure gradients and the pro-prietary nature of such data. From the FC2 experiment,36 for 2.1 mTorr of Xe, aloss of 15% for a 900-mm distance in an EUV source can be expected. Suppliersusually expect a 15% loss, or 85% transmission, for Xe, which indicates the pathlength and gas pressure of EUV radiation to the IF.

For Sn-based DPP systems, the choice of carrier gas is not publicly known.Experiments with various Sn halides have shown that absorption by carrier gasescan be a problem.44 An 85% value is used in Table 1.3, but measurements need tobe performed to assess the practical transmission for Sn-based DPP EUV sources.

1.3.2.4 Spectral purity filter transmission

EUV sources radiate over a range from the EUV to the infrared (IR). The multi-layer optics in the EUVL scanner (Fig. 2.6) and grazing-angle collector also reflectin most wavelength regions. This combination of source emission and optics re-flectivity will result in a wide spectrum of radiation being transmitted through thesystem and reaching the wafer. Radiation out of a 2% BW around 13.5 nm is calledout-of-band (OOB) radiation. The main radiation bands of concern are 130–400 nmand >400 nm. In the 130–400-nm band, multilayers have significant reflectivity,transmitted radiation will cause flare at the wafer, and the longer-wavelength radi-ation will heat the optics, reticle, and wafers, causing overlay problems45 and the

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 45: Section 1 Introduction and Technology Review

12 Chapter 1

need to cool the optics. In addition, there is some concern about the ionization ofenvironmental contamination by vacuum ultraviolet (VUV) light.46

Today, complete source spectral purity requirements for OOB radiation are notagreed on among major scanner manufacturers. For 130–400 nm, spectral purityrequirements are <3–7% (compare the power in this region with the power inthe 2% BW around 13.5 nm), and spectral purity for the region >400 nm is notspecified. The spectral requirements outside the 2% BW in 18–130 nm have notbeen addressed by scanner manufacturers, because the multilayer reflectivity in thatrange is very small. One of the scanner manufacturers has made public its estimatefor the entire OOB requirements.45 By assuming that a total OOB radiation at thewafer will need to be <10%, together with OOB radiation of <1% in the <400-nm region and total OOB radiation absorption by a single mirror of <10%, it isestimated that a specification of <3% OOB radiation will be needed in the regionof 130–400 nm, 10% in 400–800 nm, and 0.2% in >800 nm to address flare andthermal issues. Remember that these specifications for OOB are at the IF, and notall of the OOB emitted at the source will be collected there, due to etendue limitsand the finite reflectivity of optical elements between the source and IF.

Until recently, the potential need for a spectral purity filter (SPF) has not beenwidely acknowledged, causing the neglect of precise measurement of OOB radia-tion and development of SPF technology. In a recent industry panel discussion, allmajor scanner manufacturers publicly acknowledged the need for SPFs and agreedthat even if they are not included in the final design, it is too risky to assume thatSPFs will not be needed.47 In a recent industry forum,48 the majority of stakehold-ers (scanner manufacturers and source suppliers) agreed that SPFs will be needed.

The experimental data available for Xe DPP4,50 show that OOB radiation atthe source is more than allowed by specifications at IF. It has been shown that inthe 5–18-nm region 46%, and in the 18–80 nm region, 9.8% of the total radiationis expected,49 which may not meet the OOB requirements for an EUV source.Measurements4 have shown that in the 130–400-nm region, the OOB radiation is14%. For Sn LPP, data are available for a mass-limited droplet-based LPP system.OOB radiation in the 130–325-nm region was found to be negligible. However, inthe 325–850-nm region it is 13%, compared to 9% measured for Xe DPP4 in thesame region. Absolute spectral measurements of OOB radiation for various fuelsare needed. The source operation parameters (temperature and density) will affectthe OOB radiation; hence systematic measurements of OOB radiation are neededas a function of those parameters.

In today’s EUVL microsteppers, a thin film is used as the SPF, which alsodoubles as a debris filter and vacuum barrier. Although such filters work well atlower EUV powers, they will not be able to tolerate the thermal load at high EUVpower. Any SPF designed for high-power manufacturing will need to address thethermal load. Recent work has shown the experimental SPF efficiency based on thediffraction rating to be 55%, and the theoretical efficiency to be 60%.50 LawrenceBerkeley National Laboratory (LBNL) has measured the efficiency of its multilayerSPFs to be 40%51 but predicts that an SPF efficiency >70% is well within reach

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 46: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 13

(see Refs. 50 and 51 and the references cited in the latter). Note that LBNL’s SPFsare based on multilayer mirrors and the efficiency numbers refer to the percentagereflectivity of a multilayer, which is estimated to have an upper limit of absolutereflectivity of 70% at 13.5 nm. It is assumed that a multilayer SPF will replace oneof the mirrors in the EUVL scanner, and its insertion will cause an additional lossfactor of 30%.

1.3.2.5 Etendue mismatch

EUV sources have etendue limitations; there is an upper limit to the size of thesource that can be accepted by the scanner. The current maximum acceptableetendue is 3.3 mm2 sr, with a maximum solid angle of input to the illuminatorof 0.03–1.2 sr. Because the acceptable source size specifications may vary amongscanner manufacturers, the collectable source power for a given source will varyfor different EUVL scanners.

Today a typical DPP source supplier expects for its source a 50–100% etenduematch.11,15,52 The main problem for DPP is that the source size increases as theoutput power increases, limiting the maximum power that can be collected by aDPP source. It has been reported that the Sn pinch is smaller in size than the Xepinch;19 however, Sn DPP sources will also have the problem of increasing sourcesize with increasing source power.

The LPP source, in contrast, is small (≈100 × 100 µm); for it, the source sizeshould not be an issue.11,15,52 The full-spectrum source size and inband source sizealso raise some questions, and measurements are not conclusive. Measurements53

have found 18% larger inband size than full-spectrum size for a Xe DPP source,53

although for a Xe LPP source it was found that the inband source size could besmaller in size than the full-spectrum source size.54

1.3.2.6 Other factors affecting source power requirements

Because the requirement for 115 W of power arises from a throughput model (seeTable 2.3), the EUV source power requirements can increase, depending on howsome of the requirements change. It is important to consider some of the majorfactors that may increase or decrease source power requirements.

1.3.2.6.1 Resist sensitivity. The EUVL scanner throughput model assumes anEUV resist sensitivity of 5 mJ/cm2. Although this is the only characteristic men-tioned in the throughput model, an EUV resist must meet many additional speci-fications [e.g., line edge roughness (LER) and resolution]. There is a trade-off be-tween sensitivity, LER, and resolution.55 For example, increasing absorption willimprove the resist sensitivity but will worsen the profile and resolution. Becausethe resist sensitivity depends on how many times a resist molecule causes a re-action, more reaction means increased sensitivity but also higher LER. Today itappears that the alpha specification for resist sensitivity (5 mJ/cm2) for a given res-olution cannot be met without sacrificing LER (see Table 1.4). Data 1 is for one

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 47: Section 1 Introduction and Technology Review

14 Chapter 1

Table 1.4 EUV resist performance.

Parameter Alpha specs Data 1 Data 2 Data 3

Resolution 1:1 45 50 48 48(nm)Sensitivity <5 20 7.4 2.7(mJ cm2)LER (nm) <4 ≈4 5.9 11.1

type of resist; data 2 and 3 are for another type. Resist performance needs to im-prove; otherwise, higher source power may be necessary to achieve the requiredthroughput.

1.3.2.6.2 Mirror reflectivity. The current throughput model assumes 67.5% re-flectivity for multilayers. It has been shown that a higher reflectivity of 70% ispossible,56 and a reflectivity >67% that can be obtained and sustained can reducepower requirements. At the same time, the reflectivity of collectors and mirrorsdecreases as they erode. Currently, a 10% reflectivity loss is accepted as the end oflifetime for optics; present lifetime estimates for mirrors are 1000 h.57 Therefore,this factor can also weigh against the source power requirements. More sourcepower can be demanded to compensate for loss of reflectivity in the mirrors andcollector optics in an EUVL scanner.

1.3.2.6.3 Other factors. Other factors that can potentially affect power require-ments are overhead time and number of mirrors. According to the EUVL scannerthroughput model, out of a total of 36 s spent per wafer in the EUV scanner, 27 sis devoted to overhead time, and only 9 s is spent on exposing the photoresist onthe wafer. Ota et al.2 discuss the basis of the overhead time chosen in the through-put model. A small decrease in overhead time can mean a decrease in the requiredsource power. Also, if the number of mirrors for certain scanner designs increasesas EUVL is implemented at smaller nodes, more power will be needed.

1.3.3 Power for DPP sources

Table 1.3 shows the technology limits for various EUV source technologies. Weshould mention that a “showstopper” is defined as a technical problem that cannotbe solved in a cost-effective and timely manner; a technical challenge, on the otherhand, is one that can be so addressed. In many cases, one has to work on meetinga technical challenge to know that it is a showstopper.

Table 1.3 estimates the average value for sources of power loss between thesource and IF. The actual values will depend on the source design and will needto be measured. In general, power values expected for today are conservative, andtechnology limit values estimate the upper limit for obtainable power from a giventechnology.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 48: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 15

1.3.3.1 Xe DPP

EUV sources for existing EUV microsteppers are based on Xe DPP technology.They provide about 1 W of power at the IF.11 However, the showstopper for XeDPP is thermal extraction. It is now widely agreed that this technology cannotmeet the power need for HVM sources, since the upper limit for thermal mitigationis expected to be 30 kW. All current Xe DPP projects10,11 are now focused ondelivering a low-power source for an alpha-level scanner.

The current data for thermal extraction indicate that up to 20 kW10,11 of inputpower can be mitigated. The use of porous-metal cooling technology,58 in whichhigh-pressure water is forced through porous metal electrodes to cool them, hasallowed increased power input to the sources. An additional increase in the ther-mal mitigation is expected from design changes and the new electrode coolingtechnologies. To reduce the thermal heat load on the electrodes, the distance be-tween the plasma and electrode also needs to be increased to allow higher powergeneration.11,15,22 An electrode configuration called Star Pinch has been demon-strated to allow a higher thermal load than other DPP sources,59 and for this elec-trode configuration a 1010-pulse lifetime has been predicted by the supplier. In-put energy to a DPP source is dissipated in various components of the system,from which the heat must be extracted. According to calorimetric measurementsfor the Star Pinch configuration,59 in the cathode 8%, anode 6%, foil comb 13%,and chamber 73% of the input power is dissipated. Electrode thermal extractionis therefore only part of the issue, but still the most important part, since risingtemperatures can increase the erosion of electrodes and reduce their lifetime. Sput-tering is the next leading cause of erosion of electrodes.

For Xe DPP, it is reasonable to expect that close to 1% can be achieved for theupper limit of the CE, something already seen with Xe LPP. Today most suppliersare working with 1.8-sr collectors and plan to integrate 3.14-sr collectors in thenear future. In Table 1.3, the debris mitigation device transmission is simply anestimate; the same is true to for SPF filters available today. With a 75% etenduematch expected, a 4% effective collection can be expected today for Xe DPP, whichcan potentially increase to 17% as the SPF and collector efficiency improve. Sincepower measurements are made directly at the source, 200 W in 2π sr with 4%collection will mean that a minimum of 8 W of power can be expected at the IF.With 30-kW power input and 17% collection, a maximum of 50 W of power at theIF can be expected for Xe DPP.

In summary, thermal extraction is the showstopper for Xe DPP technology,limiting it to 50 W of maximum power at IF. Remaining challenges for Xe DPP-based EUV sources are debris mitigation, collector lifetime, and collector cooling.

1.3.3.2 Sn DPP

For Sn DPP, a higher CE of 2.5% has been measured10 and up to 3% CE has beenpredicted.60 The same constraints on collection efficiency for Xe DPP apply toSn DPP systems. Current collection efficiency is estimated to be 4%, and 17% isexpected in the near future. With 400 W of power measured in 2π sr at the source,

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 49: Section 1 Introduction and Technology Review

16 Chapter 1

a minimum of 15 W of power can be expected at IF. An upper limit of 150 W at IFis expected for a 30-kW power input.

In Sn DPP systems, debris mitigation is the potential showstopper. For a typicalpinch, 1×1015 Sn atoms are needed.61 This means delivery and extraction of up to500 g of Sn a day for a Sn DPP system. Unlike Xe, Sn will deposit on the collectorand other optics, causing serious lifetime issues, and therefore must be mitigated.Because the collector lifetime is the most important component, success of debrismitigation is mainly reflected in the increased lifetime of Sn collectors. The cur-rent best estimate for the collector lifetime is 100 million to 10 billion pulses.21 Thesupplier that made these estimates has shown a 5% loss of reflectivity for a samplecollector material over 100 million pulses for its debris mitigation system. The sup-plier has also shown that it can reclaim collector reflectivity, for up to 100 cleaningcycles, with only 4% loss in the reflectivity after 100 cycles. Thus, the supplier isexpecting to demonstrate a collector lifetime of up to 10 billion pulses. Althoughsuch performance meets the needs for an alpha-level EUVL scanner, much workis still needed for a manufacturing scanner to meet the expected requirements of80 billion pulses. In addition, debris mitigation techniques for a Sn DPP sourcemay have lower transmission than for a Xe DPP source, lowering the overall col-lection efficiency. In summary, the critical issues being faced by Sn DPP are debrismitigation, collector lifetime, and collector thermal load.

1.3.3.3 Li DPP

Recently a high-power Li DPP system was proposed.26 With the present estimateof a 2.5% CE for a Li DPP source, the collection efficiency and technology limitsare expected to be similar to those of a Sn DPP system. However, no power perfor-mance data are currently available to assess the potential technical challenges orshowstoppers.

1.3.4 Power for LPP sources

1.3.4.1 Laser power

High-power lasers are the key enablers of LPP EUV source technology. Conse-quently, their limitations must be fully understood to understand the limitationsof LPP EUV sources. A high average power (15-kW range) and high peak power(hundreds-of-millijoules to 1-J pulses with a width of ≈10 ns)62–64 are needed. Itis also believed that an ≈10-ns pulse length may be needed for Xe LPP only, whilelarge pulse lengths of ≈100 ns may be sufficient for Sn LPP.

No single laser module is expected to meet these laser power requirements.Laser modules will need to be multiplexed to deliver such power. Multiplexinghigh-power lasers has been demonstrated, in which three 1-kW lasers were spa-tially and temporally multiplexed.13,24 Although there is no hard technical basisfor predicting how many chains can be used for multiplexing, it is a good estimatethat up to 15 kW of laser power can be achieved. In principle, higher laser power

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 50: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 17

can be achieved by further multiplexing, thus giving this technology the scalabilityto enable higher EUV power than current specifications.

Nd:YAG lasers, CO2 lasers, and excimer lasers are being considered for LPP-based EUV sources for HVM. Since a weak dependence of CE on laser wavelengthhas been shown,16 the ultimate choice will most probably be decided by the costof ownership (CoO) of lasers.

A supplier developed a 1.5-kW Nd:YAG laser module using master oscillator–power amplifier (MOPA) architecture62 and demonstrated reliable operation of1.5-kW lasers. The supplier was then able to combine up to three such chainsand deliver 4.5 kW of power. Such laser power output is the current record for thehigh-power EUV source industry, but the system was not run long enough to obtainreliability data.65 A second supplier has demonstrated Nd:YAG lasers with 2.5-kWpower, 6 ns, and a 10-kHz system that yields 4 W of power at IF.12 Plans exist to in-crease the power to 5 kW to demonstrate 10-W systems. However, because furtherincreases in Nd:YAG laser power are not deemed feasible and cost-effective,12 thissupplier plans to use pulsed CO2 lasers as drivers for high-power sources. A thirdsupplier has demonstrated a 1.2-kW, 16.6-ns Nd:YAG pulse laser,11 which gives a1% CE and produces 12 W of power at IF. A fourth supplier13 has demonstratedoperation of a 1-kW Nd:YAG laser module. It expects to increase the laser powerper module to 1200 W. In addition, this supplier has demonstrated multiplexing ofthree lasers to realize 3 kW of laser power and believes that higher power can beachieved by multiplexing.

Reliable CO2 lasers with continuous output of 10–20 kW are commerciallyavailable. However, they need to be switched to produce the desired pulse shapes.A 30–40% switching efficiency is expected for these lasers. Initial experimentshave shown CEs of 0.6%12 and 0.7%15 with targets for CO2 laser pulses.

In addition, excimer lasers have been proposed as the driver for LPP sources foran HVM environment.16,32 The excimer drive laser in such a source uses a triple-harmonic Nd:YLF seed laser that has been frequency-shifted to match the XeFemission at 351 nm. Operation has been demonstrated at 4 kHz with a 100-mJ pulseenergy per power amplifier, which provides output power of 800 W using a singlelaser frame with two excimer power amplifiers. With input power of 50 kW, it hasa 1.6% wall-plug light efficiency. The supplier roadmap shows an increase to twolaser frames and increased operation frequency to 8 kHz per amplifier or 32 kHzoverall, with a pulse energy of 220 mJ to deliver 7 kW of power. Based on an outputof 3.5 kW per frame with 150-kW input power, the supplier expects to achieve 2%wall-plug CE for its XeF excimer drive lasers. This supplier has also demonstratedthe feasibility of a sub-100-µm Sn droplet delivery system operating at 36 kHzthat can use its high-frequency laser system. There is, however, disagreement onthe wall-plug efficiency for excimer lasers; estimates as low as 0.5% have beenproposed as realistic.31

The laser power density of 1 × 1011 W/cm2 is now believed to be the optimumfor generating Sn LPP plasmas with Nd:YAG lasers. This was recently demon-strated in experiments66 by scanning the Sn targets through the minimal focus of a

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 51: Section 1 Introduction and Technology Review

18 Chapter 1

laser beam (with a maximum intensity of 1×1012 W/cm2) and seeing double peakscorresponding to CE maxima.66 Experimental and modeling efforts are underwayto understand these power density limits in order to develop ways to achieve themaximum CE for Sn LPP.66 Experimental and modeling results16 indicate that therequired optimum laser power density increases with decreasing laser wavelength.

1.3.4.2 Xe LPP

Today, for Xe LPP with 2.5-kW Nd:YAG lasers, Xe jet target, and 0.8% CE, asupplier has measured 9.1 W of power at the source and expects 2 W to be collectedat the IF.12 A second supplier, using a 1.2-kW Nd:YAG laser, a xenon droplettarget, and 1% CE, has measured 12 W at the source. A third supplier, using a1-kW Nd:YAG laser and xenon jet, has measured 0.8% CE and 8 W at the source.It has also demonstrated a combined laser system, with 0.5% CE and 3 kW, with15 W measured at the source.13,24

Assuming 15 kW to be the highest feasible laser power that can be made avail-able, this technology has the potential of delivering a minimum of 60 W of powerat IF. Due to the low CE of Xe fuel, similar to that in Xe DPP, this technology isnot expected to deliver the power required for EUV sources for HVM.

1.3.4.3 Sn LPP

Due to its higher efficiency, Sn is also favored as a fuel in LPP EUV sources. Inaddition to high-power lasers, the potential technical challenges for Sn LPP are Sndelivery and Sn debris mitigation. In LPP, the geometrical arrangement allows for alarge collection angle; however, this geometry also does not allow debris mitigationdevices (e.g., a foil trap) between the source and the collector. For Xe LPP, progresshas been made in mitigating debris (high-energy Xe ions) using gas curtains.15,49

However, for Sn, which is a metal, Sn debris mitigation may be a showstopper forLPP.

The most promising approach to addressing Sn debris is the use of an enclosedSn source,68 in which heated Sn is contained in a cavity, the laser beam entersthrough heated silicon windows, and EUV exits through other windows. In thepast, development of such metal sources for EUV applications has been difficultfor cadmium targets,69 because silicon windows degraded and metal eventuallyleaked out.

At this time, the highest reported power is 25 W at the source, with a 2.5%CE for Sn tape-based targets.13 Other researchers have reported higher CEs for SnLPP70 but with much lower laser power. Today the expected collection efficiencyis 11%, but progress in collector design and improved SPF will increase that to33%. This means that for a 15-kW laser, up to 149 W of power can be obtainedat the IF for Sn LPP. In summary, the remaining technical challenges for Sn LPPtechnology are laser power, debris mitigation, and collector lifetime.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 52: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 19

1.3.4.4 Li LPP

Li LPP was recently chosen by a supplier as the technology for achieving high-power EUV sources.9,16,71 The supplier has demonstrated a 2.5% CE using a 351-nm excimer laser. The initial spectra have shown smaller OOB radiation than forSn LPP. Due to their smaller atomic weight, Li ions cause less erosion of the col-lector mirrors, and the deposited Li metal can be removed by heating the mirrorsto 400◦C. The same supplier has also demonstrated a coating for collector mirrorsthat may be able to control the diffusion of Li into the mirrors. In addition, a Lidroplet delivery system with droplets of 50-µm diameter and operation frequencyof 48 kHz has been demonstrated. No EUV source power results have been yetreported.

Similarly to Sn LPP, 149 W of power can be estimated for a 15-kW power input.However, if SPFs are not necessary for Li LPP, higher EUV source power may beachieved. Remaining challenges for Li LPP technology are the laser power andadditional system issues that may surface as the source performance data becomesavailable for Li LPP-based EUV sources.

1.4 Source Components and Their Lifetimes

An important factor in the viability of EUV sources is the lifetime of their compo-nents. Electrode lifetime is important for DPP, and collector lifetime is importantfor DPP and LPP.

The critical component lifetime is measured as the number of pulses that acomponent can accept without its performance degrading to an unacceptable level.For collectors, up to 10% loss of reflectivity is accepted.72 For electrodes, choosinglifetime measurement criteria is not straightforward. A loss of 10% power in thesource has been proposed as a criterion for replacing electrodes.73

Previously, scanner suppliers had lifetime requirements for components of30,000 h tied to cleanliness requirements for sources. Recently, however,72 theyhave clarified this requirement to say that the lifetime of critical components willbe decided by the CoO. This means that if collectors and electrodes can be cost-effectively replaced with an acceptable component cost, down time, and requalifi-cation time, the lifetime of the source components can be lower. This is good newsfor source suppliers, since the lifetime of 30,000 h could be a showstopper forEUV sources.74 Since there are no widely accepted CoO models of EUV sourcesfor EUV scanners today, an agreement was reached between scanner manufactur-ers and source suppliers in an industry forum on this topic.3 Currently, the generalguideline for lifetime requirements (Table 1.1) sets the lifetime of an alpha tooloperating at 2 kHz at 1 month or 10 billion pulses, of a beta tool operating at 5 kHzat 3 months or 10 billion pulses, and of a production tool at 12 months or 80 billionpulses.

Today, for Xe DPP for microsteppers, the electrode lifetime is >80 h or 300million pulses.11 A much higher electrode lifetime of 10 billion pulses was pre-viously projected for another design of Xe DPP.60 For Sn DPP, an electrode life-

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 53: Section 1 Introduction and Technology Review

20 Chapter 1

time of >1 billion pulses has been reported.21 Thermal management and increasedplasma-to-electrode distance will enable the collector lifetime to be improved. Re-cently an innovative electrode design involving rotating electrodes and a laser-triggered vacuum arc for tin delivery has been proposed to demonstrate >1-billion-pulse lifetime for the electrodes, and this design can potentially allow the supplierto meet the lifetime specifications of electrodes in Sn DPP.21 The cost and time toreplace electrodes are lesser issues than the lifetime of collectors.

Collector lifetime is generally measured with a sample made of collector mate-rials using measurements of its reflectivity over time or a quartz crystal microbal-ance (QCM).11 For Xe DPP, lifetimes of 500 million10 to 1 billion11 pulses havebeen demonstrated, and a range of 5–10 billion is expected.11

Collector lifetime for Sn DPP is a major challenge. Lifetimes of 10 million21 to100 million11 pulses have also been demonstrated by an in situ cleaning method torestore the reflectivity of collectors for up to 100 cycles with only 4% reflectivityloss. This means that a lifetime up to 10 billion pulses or longer can be achieved.

Compared to DPP, in the current designs the distance between the source andcollector in LPP is larger. For Xe LPP, a lifetime of 5 billion pulses has beendemonstrated. There are currently no data for the Sn LPP collector lifetime. It hasbeen shown16 that for a Li LPP, a lifetime of 45 billion pulses can be expected, andthe supplier hopes that its technology will also be applicable for Sn LPP. Althoughcollector lifetime remains the second challenge for the implementation of EUVL,it appears that eventually this will be a CoO issue and not a potential showstopperfor EUV sources.

1.5 Summary and Future Outlook

Today, Sn DPP technology is the leading technology for high-power EUV sources;sources based on this technology can deliver up to 400 W of EUV power at thesource, and the estimated collectable power at the IF ranges from 15 to 50 W. Thelower estimates correspond to the assumptions that SPF will be needed and thatthe current etendue match and capacity of collectors and SPF will be maintained.The highest estimate corresponds to a 100% etendue match with the performanceof collectors and SPF expected in the future. Based on 30 kW of maximum inputpower and an increased CE of 3%, Sn DPP can be expected to deliver up to 150 Wof power at IF. If the input power can be further increased, the source power at IFmay also be increased. With present data on tin debris mitigation and an estimatedcollector lifetime of 10 billion pulses, we can expect that collector lifetime willbe a CoO issue. The existing technical challenges facing Sn DPP EUV sourcesare the need for collector cooling, continued progress in debris mitigation, anddevelopment of suitable technology.

Xe as the fuel is not expected to deliver the required power for HVM by eitherDPP or LPP technology. However, we may see Xe DPP sources in alpha- or beta-level scanners. For LPP technology in general, high-power lasers are the leading

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 54: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 21

technical challenge and a potential showstopper. LPP technology has the advan-tage of potential power scaling; however, the current lack of high-power lasers hasreduced confidence in this technology. Li is a new candidate fuel material. Demon-strating a 2.5% CE with the potential for low damage to the collector and in situcollector cleaning, Li LPP technology has the potential for success. However, thecurrent lack of EUV source power data for Li LPP makes it difficult to predict thepotential of Li as a fuel for EUV source technology. Although high-frequency Sndroplet targets are in operation today, debris mitigation is still a significant techni-cal challenge for Sn LPP.

References

1. International Technology Roadmap for Semiconductors (ITRS). Available atwww.sematech.org.

2. See Chapter 2 of this volume.3. V. Bakshi, EUV Source Technology Status, IEUVI Source TWG, San Fran-

cisco, CA, private communication (2004).4. S. A. van der Westen, C. Bruineman, F. Bijkerk, and V. Bakshi, “Flying Cir-

cus 2 (FC2): Calibration of an extreme ultraviolet (EUV) source at PLEXLLC,” ISMT Technology Transfer Report 04024490A-TR (2004). Availableat www.sematech.org.

5. A. Hassanein, V. Sizyuk, V. Tolkach, et al., “Simulation of DPP/LPP hy-drodynamics and radiation transport for EUV lithography,” EUVL Sym-posium, Miyazaki, Japan (November 2005). Proceedings available atwww.sematech.org.

6. See Chapter 3 of this volume.7. See Section III, DPP EUV Sources, and Section IV, LPP EUV Sources, of this

volume.8. V. Bakshi, J. Gillaspy, and B. Rice, “EUV modeling source workshop sum-

mary,” EUV Source Modeling Workshop, Antwerp, Belgium (September2003). Proceedings available at www.sematech.org.

9. I. Fomenkov, W. Partlo, and N. Böwering, “Progress in development of a highpower source for EUV lithography,” EUV Source Workshop, Miyazaki, Japan(November 2004). Proceedings available at www.sematech.org.

10. J. Pankert, “Philips’s EUV source: main messages,” EUV SourceWorkshop, Miyazaki, Japan (November 2004). Proceedings available atwww.sematech.org.

11. U. Stamm, J. Kleinschmidt, K. Gäbel, et al., “EUV source development atXTREME Technologies: An update,” EUV Source Workshop, San Jose, CA(February 2005). Proceedings available at www.sematech.org.

12. A. Endo, “Performance and concepts of EUVA LPP and GDPP technologies,”EUV Source Workshop, San Jose, CA (February 2005). Proceedings availableat www.sematech.org.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 55: Section 1 Introduction and Technology Review

22 Chapter 1

13. S. Ellwi, “Performance of kilowatt-class laser modules in scaling up laser pro-duced plasma (LPP) EUV source,” EUV Source Workshop, San Jose, CA(February 2005). Proceedings available at www.sematech.org.

14. H. Milchberg, “Modeling laser heating of condensed xenon and extreme ultra-violet (EUV) emissions,” ISMT Technology Transfer Report 04024496A-TR(2004). Available at www.sematech.org.

15. U. Stamm, “EUV source development at XTREME Technologies—an up-date,” EUV Source Workshop, Miyazaki, Japan (November 2004). Proceed-ings available at www.sematech.org.

16. I. V. Fomenkov, D. W. Myers, B. A. Hansson, D. C. Brandt, A. Ershov, andB. Klene, “EUV source system development update: Advancing along the pathto HVM source,” EUV Source Workshop, San Jose, CA (February 2005). Pro-ceedings available at www.sematech.org.

17. See Chapter 4 of this volume.18. A. Cummings, G. O’Sullivan, P. Dunne, E. Sokell, N. Murphy, and J. White,

“Conversion efficiency of a laser-produced Sn plasma at 13.5 nm, simulatedwith a one-dimensional hydrodynamics model and treated as a multicompo-nent blackbody,” J. Phys. D: Appl. Phys. 38, 604–616 (2005).

19. J. Pankert, “Philips EUV results and roadmap,” EUV Source Workshop, SantaClara, CA (February 2004). Proceedings available at www.sematech.org.

20. J. Pankert, “Status of Philips Extreme’s EUV source,” Proc. SPIE 5374, 152–159 (2004).

21. J. Pankert, “Philips EUV source: Update and issues,” EUV Source Workshop,San Jose, CA (February 2005). Proceedings available at www.sematech.org.

22. A. Hassanein, “Modeling of discharge produced plasma for EUV extremesource—II,” SEMATECH monthly report (April 2005).

23. M. Richardson, “The UCF tin-doped droplet source,” EUV Source Workshop,San Jose, CA (February 2005). Proceedings available at www.sematech.org.

24. S. Ellwi, PowerLase, private communication.25. Y. Shimada, H. Nishimura, M. Nakai, et al., “Characterization of extreme ultra-

violet emission from laser-produced spherical tin plasma generated with mul-tiple laser beams,” Appl. Phys. Lett. 86, 051501 (2005).

26. M. McGeoch, “PLEX source update,” EUV Source Workshop, San Jose, CA(February 2005). Proceedings available at www.sematech.org.

27. M. A. Klosner, H. A. Bender, W. T. Silfvast, and J. J. Rocca, “Intense plasmadischarge source at 13.5 nm for extreme-ultraviolet lithography,” Opt. Lett.22(1), 34–36 (1997).

28. W. Partlo, I. Fomenkov, R. Olive, and D. Birx, “Development of an EUVL(13.5 nm) light source employing a dense plasma focus in lithium vapor,” Proc.SPIE 3997, 136–156 (2000).

29. P. Naughton, Freescale Semiconductors, private communication.30. W.Worth, International SEMAETCH Manufacturing Initiative (ISMI), private

communication.31. D. Brandt, Cymer, private communication.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 56: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 23

32. M. Gower, Exitech, private communication.33. H. Kanazawa, M. Amemiya, K. Fujimoto, J. Ito, and Y. Watanabe, “EUV

source evaluation at intermediate focus,” EUVL Symposium, Miyazaki, Japan(November 2004). Proceedings available at www.sematech.org.

34. T. Missalla and M. Schurmann, “Characterization of intermediate focus,” EUVSource Workshop, San Jose, CA (February 2005). Proceedings available atwww.sematech.org.

35. L. Schmaenok, “Intermediate focus metrology development results,” EUVSource Workshop, Santa Clara, CA (February 2004). Proceedings availableat www.sematech.org.

36. S. A. van der Westen, R. de Bruijn, F. Bijkerk, and V. Bakshi, “Flying Circus2 milestone #2 report: Diagnostic performance,” ISMT Technology TransferReport 03044396A-ENG (2003). Proceedings available at www.sematech.org.

37. S. A. van der Westen, R. de Bruijn, F. Bijkerk, et al., “Crosscalibration ofextreme ultraviolet (EUV) energy sensors,” ISMT Technology Transfer Report04024498A-TR (2004). Available at www.sematech.org.

38. S. Grantham, “EUV source metrology for EUV source development,”ISMT Technology Transfer Report 04024494A-TR (2004). Available atwww.sematech.org.

39. L. A. Shmaenok, N. N. Salashchenko, N. I. Chkhalo, et al., “Multilayerbased instrumentation developments for EUVL source metrology,” EUVSource Workshop, Santa Clara, CA (February 2003). Proceedings availableat www.sematech.org.

40. P. Marczuk, W. Egle, W. Hafner, and A. Matthes, “EUV collectors: Design,development, fabrication and testing,” Proc. SPIE 5193, 39–49 (2003).

41. P. Marczuk, “Collector optics for EUV lithography,” EUV Source Workshop,Santa Clara, CA (February 2004). Proceedings available at www.sematech.org.

42. R. Bristol, “What is needed to enable source for PI1268? An Intel perspec-tive,” EUV Source Workshop, Santa Clara, CA (February 2004). Proceedingsavailable at www.sematech.org.

43. CXRO Web site, http://www-cxro.lbl.gov.44. G. Derra, P. Zink, T. Krücken, A. Weber, and J. Pankert, “Tin delivery systems

for gas discharge sources,” EUV Source Workshop, San Jose, CA (February2005). Proceedings available at www.sematech.org.

45. Y. Watanabe, “Out of band radiation” (out-of-band panel discussion presenta-tion), EUV Source Workshop, Miyazaki, Japan (November 2004). Proceedingsavailable at www.sematech.org.

46. H. Kondo, “Out of band radiation panel discussion summary” (out-of-bandpanel discussion presentation), EUV Source Workshop, Miyazaki, Japan (No-vember 2004). Proceedings available at www.sematech.org.

47. V. Bakshi, “Out of band radiation panel discussion summary” (out-of-bandpanel discussion presentation), EUV Source Workshop, Miyazaki, Japan (No-vember 2004). Proceedings available at www.sematech.org.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 57: Section 1 Introduction and Technology Review

24 Chapter 1

48. IEUVI Source TWG meeting, San Jose, CA, March 3, 2005, private commu-nication.

49. U. Stamm, “Gas discharge and laser produced plasma sources at XTREMETechnologies,” EUV Source Workshop, Santa Clara, CA (February 2003). Pro-ceedings available at www.sematech.org.

50. H. Kierey, K. Heidemann, and B. Kleemann, “EUV spectral purity filters: Op-tical and mechanical design, grating fabrication and testing,” Proc. SPIE 5193,70–78 (2004).

51. P. P. Naulleau, C. S. Williams, and D. A. Tichenor, “Theoretical efficiencyanalysis of a condenser-embedded grating-based spectral purity filter for EUVlithography,” Opt. Commun. 214, 31–38 (2003).

52. U. Stamm, J. Kleinschmidt, and K. Gäbel, “EUV source power and lifetime:The most critical issues for EUV lithography,” Proc. SPIE 5037, 119–129(2003).

53. S. A. van der Westen, C. Bruineman, E. Louis, et al., “FC Flying Circus 2:Status and update,” EUV Source Workshop, Santa Clara, CA (February 2004).Proceedings available at www.sematech.org.

54. F. Bijkerk, S. A. van der Westen, R. de Bruijn, et al., “FC2 project status andmetrology survey,” EUV Source Workshop, Santa Clara, CA (February 2003).Proceedings available at www.sematech.org.

55. R. Brainard, K. Dean, and T. Koehler, “EUV resist performance trade-offs,”EUV Source Workshop, San Jose, CA (February 2005). Proceedings availableat www.sematech.org.

56. J. A. Folta, S. Bajt, T. W. Barbee, Jr., et al., “Advances in multilayer reflec-tive coatings for extreme ultraviolet lithography,” Proc. SPIE 3676, 702–709(1999).

57. P. Clarke, “EUVL alpha tools to ship to IMEC, Albany in Q1, says Intel,” EETimes, April 14, 2005. Available at www.eetimes.com.

58. I. Fomenkov, R. Ness, I. Oliver, et al., “Performance and properties of a highpower light source for EUV lithography,” EUV Source Workshop, Santa Clara,CA (February 2004). Proceedings available at www.sematech.org.

59. M. McGeoch and C. Pike, “Star pinch power and lifetime scaling,” EUVSource Workshop, Santa Clara, CA (February 2004). Proceedings availableat www.sematech.org.

60. K. Nishihara, “On the conversion efficiency of LPP-EUV light source,” EUVSource Workshop, Santa Clara, CA (February 2004). Proceedings available atwww.sematech.org.

61. J. Pankert, “Philips EUV lamp,” EUV Source Workshop, Antwerp, Belgium(September 2003). Proceedings available at www.sematech.org.

62. R. Moyer, R. Pierre, and J. Zamel, “Multi-kilowatt solid state lasers for ex-treme ultraviolet light sources,” HPAPP-5, Solid State and Diode Laser Tech-nology Review, SSDLTR-2003.

63. R. Moyer, “Laser produced plasma EUV source program,” EUV SourceWorkshop, Santa Clara, CA (February 2003). Proceedings available atwww.sematech.org.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 58: Section 1 Introduction and Technology Review

EUV Source Technology: Challenges and Status 25

64. H. Shields, S. W. Fornaca, M. B. Petach, et al., “Xenon target performancecharacteristics for laser-produced plasma EUV sources,” Proc. SPIE 4688, 94–101 (2002).

65. R. Moyer, Northrop Grumman Corporation, private communication.66. M. Richardson, C.-S. Koay, S. George, et al., “The tin-doped micro-

droplet laser-plasma EUV source,” 3rd International Symposium on EUVLithography, Miyazaki, Japan (November 2004). Proceedings available atwww.sematech.org.

67. M. Al-Rabban, M. Richardson, T. Blenski, et al., “Modeling laser plasmas forEUV,” 3rd International Symposium on EUV Lithography, Miyazaki, Japan(November 2004). Proceedings available at www.sematech.org.

68. S. Bloom, “EUV source concept: Scalable DPSS laser and contained targetgenerator,” EUV Source Workshop, Santa Clara, CA (February 2004). Pro-ceedings available at www.sematech.org.

69. O. Wood, “Advanced micro devices,” private communication.70. C.-S. Koay, S. George, K. Takenoshita, et al., “High conversion efficiency mi-

croscopic tin-doped droplet target laser-plasma source for EUVL,” Proc. SPIE5751, 279–292 (2005).

71. D. W. Myers, I. V. Fomenkov, B. A. M. Hansson, B. C. Klene, andD. C. Brandt, “EUV source system development update: Advancing along thepath to HVM,” Proc. SPIE 5751, 248–259 (2005).

72. Y. Watanabe, “Joint requirements—ASML, Nikon, and Cannon,” EUV SourceWorkshop, Santa Clara, CA (February 2004). Proceedings available at www.sematech.org.

73. V. Bakshi, “EUV Source Workshop summary,” EUV Source Workshop,Antwerp, Belgium (September 2003). Proceedings available at www.sematech.org.

74. P. Clarke, “Meeting showed EUVL problems diminishing, claims SEMAT-ECH,” Silicon Strategies, February 26, 2004.

Vivek Bakshi is a Senior Member of the Lithography Divisiontechnical staff at SEMATECH. He received a M.Sc. in Physicsfrom the Indian Institute of Technology at Kanpur, India, in 1985and a Ph.D. in Physics from the University of Idaho in 1988. Hedid his postdoctoral work at the University of Texas at Austin,where he also held the position of Research Associate. He was aVisiting Assistant Professor at the University of Texas at Arling-

ton for three years before joining SEMATECH in 1996. He is currently the projectleader for the EUV Source and EUV Source Metrology projects at SEMATECHand has managed projects in the areas of plasma etch, advanced process control,and 300-mm tool performance assessment. He has co-authored more than 90 tech-nical publications, including refereed and trade journal articles. Since 2004, he haschaired SEMATECH’s EUV Source Workshops and has served as editor for theworkshop proceedings.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 59: Section 1 Introduction and Technology Review

Chapter 2

EUV Source Requirements for EUVLithography

Kazuya Ota, Yutaka Watanabe, Vadim Banine, and Hans Franken

Contents

2.1 Introduction and Background 272.1.1 Joint specifications 272.1.2 Definition of EUV source 28

2.2 Source Requirements 292.2.1 Choice of wavelength 292.2.2 Source power 312.2.3 Repetition frequency 332.2.4 Imaging 342.2.5 Source cleanliness 362.2.6 Etendue of source output and positioning stability 362.2.7 Spectral purity 38

2.3 Component Degradation 382.4 Cost of Ownership 392.5 Conclusions 41Acknowledgments 41References 41

2.1 Introduction and Background

2.1.1 Joint specifications

Joint specifications for EUV sources were first presented by ASML, Canon, andNikon in February 2002 to accelerate source development by source suppliers, andthe joint specifications have been updated periodically. The latest requirements areshown in Table 2.1, which was presented at the EUV Source Workshop in Miyazaki(Japan) on November 5, 2004.1

These specifications are defined at/after the intermediate focus (IF), which isexplained in the next subsection. Table 2.2 shows how major requirements changedfrom 2002 to 2004. Requirements for wavelength, EUV inband power, and etendue

27

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 60: Section 1 Introduction and Technology Review

28 Chapter 2

Table 2.1 Joint requirements for EUV sources (February 2004).

Source characteristics Requirements

Wavelength (nm) 13.5EUV power (inband) (W) 115∗Repetition frequency (kHz) >7–10‡

Integrated energy stability (%) ±0.3, 3σ over 50 pulsesSource cleanliness (hours) >30,000†

Etendue of source output (mm2 sr) <3.3‡

Max. solid angle input to illuminator (sr) 0.03–0.2‡

Spectral purity:130–400 nm (DUV/UV) (%) <3–7‡

>400 nm (IR/visible) at wafer (%) TBD‡

∗At intermediate focus (IF).†After IF.‡Design dependent.

Table 2.2 Changes in joint requirements.

Source Feb. Oct. Feb. Sept. Feb. Nov.characteristics 2002 2002 2003 2003 2004 2004

Wavelength (nm) 13–14 13.5 13.5 13.5 13.5 13.5

EUV power 47–120 80–120 115 115 115 115(inband) (W)

Repetition 5 6 7–10 7–10 7–10 7–10frequency (kHz)

Etendue of source 1 1–3.3 1–3.3 1–3.3 1–3.3 3.3output (mm2 sr)

Max. solid angle 0.2 0.03–0.2 0.03–0.2 0.03–0.2 0.03–0.2 0.03–0.2input to illuminator (sr)

of source output were agreed on at the workshop, but requirements for repetitionfrequency and maximum solid angle input to illuminator are not yet agreed on,because they depend on the tool design.

2.1.2 Definition of EUV source

Two kinds of plasmas emit EUV light: laser-produced plasma (LPP) and gas-discharge plasma (GDP). There are various types of GDPs according to thearrangement of the electrodes. Furthermore, several materials (Xe, Sn, etc.) areused for the plasma. Thus, even if only the plasma is considered, there are manypotential candidates for the EUV source to be used for high-volume manufacturing(HVM). Collector optics is used to collect EUV light that radiates from the plasmaand to focus the light at the IF. There are two kinds of mirror for the collector:the normal-incidence multilayer mirror and the grazing-incidence total-reflectionmirror. Furthermore, there are many types of collector that are being developed.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 61: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 29

Figure 2.1 Definition of EUV source.

The EUV source is defined as the IF where the EUV light is focused, so thatthe appropriate exposure tool, and particularly its illuminator, does not depend onthe variety of EUV source as described above. The IF is the illuminator entrance(see Fig. 2.1). The characteristics of EUV light at the IF should not depend on themethod of generating the plasma or on its material, but must satisfy the overalljoint requirements.

The lifetime of the source components, including the collector optics, is animportant factor in the cost of ownership (CoO) of the EUV source. Debris short-ens the lifetime of the collector. The material, size, energy, and state of the debrisdepend on the method of generating the plasma and on its material. Therefore, adebris mitigation system is an indispensable component, and its structure must beoptimized for each EUV source.

Light emitted from a plasma has a wide-ranging spectrum, from EUV to IR.A spectral filter may be needed for the EUV source to satisfy the requirement ofspectral purity for its application. It is known that the spectra of light from LPPsand GDPs are different. The spectral filters for LPP and GDP may therefore differbecause they must be optimized.

2.2 Source Requirements

2.2.1 Choice of wavelength

The optics used in the EUVL tools is based on multilayer mirrors (MLMs). Differ-ent combinations of multilayer pairs are possible. The most common for the EUVregion are Mo/Si and Mo/Be pairs. The Mo/Be mirrors’ spectral range is largerthan that of the Mo/Si mirrors. The cutoff wavelength for Mo/Si mirrors is about12.5 nm in the shortwave region. No source, though, has been found so far thatcan make effective use of this fact. Strong emission in the 11-nm region has beendemonstrated for LPP Xe sources; see Fig. 2.2. Nevertheless, because the spectralwidth of the ML mirror in the shorter wavelength region is narrower than in the

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 62: Section 1 Introduction and Technology Review

30 Chapter 2

longer wavelength region, the total power at the wafer for Mo/Be mirrors does notexceed that of Mo/Si mirrors. Coupled with the manufacturing and safety problemsof Mo/Be mirrors, that has led to a choice of wavelength in favor of Mo/Si mirrors.

A more quantitative choice relates to the final adjustment of the system wave-length within a given spectral window of the Mo/Si mirror. The source emissioncharacteristics play a major role in that choice.

A number of sources have potential for EUVL.2–7 Due to their emission char-acteristics, the working materials that are used in those sources are usually Xe, Sn,and Li. Li, being a line emitter,7,8 is the most sensitive to the choice of operatingwavelength of the lithographic tool. Li radiates at 13.50 nm with a linewidth of0.03 nm. The choice of a central wavelength differing from 13.50 nm by even asmall amount can eliminate the possibility of using Li as a working material in thesource for EUVL. On the other hand, a nonoptimal choice of the wavelength of thesources with other radiators means loss of power as well. The amount of energylost due to nonoptimal spectral alignment can be evaluated. This type of analysishas been done for a white-light source with a wavelength-independent spectrum.9

In this case, the integrated reflectivity of the system, with 10 mirrors, is only 5%lower for 13.5 nm than for 14.4 nm, as mentioned by Stuik et al. in Ref. 9. How-ever, the final analysis has been done with a combination of the optical throughputand the light-source spectrum in Ref. 10.

Figure 2.2 presents a calculated near-normal-incidence reflectivity, based onthe model of Ref. 11, for an 11-mirror reflective system. In contrast with a whitespectrum, real Xe-, Sn-, and Li-based sources8 have a maximum near 13.5 nm.Alteration of the peak wavelength by 0.5 nm might cause light losses of 60%–100%. The light loss induced by placing the tool wavelength at 13.5 nm for Xe andSn emitters does not exceed 5%–10%.

Figure 2.2 Calculated near-normal-incidence reflectivity of an 11-mirror system, based onthe model of CXRO,11 vs. spectra of Sn, Li, and Xe, as acquired in a joint investigation byASML-ISAN.8

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 63: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 31

Thus, depending on the type of light source, it is possible to achieve only a 5%–10% increase in the optical throughput of a system by accurate spectral matchingfor emitters other than Li. The same shift for a Li-based source would make its usein EUVL impossible. That is not desirable at this early stage of development ofEUVL. Currently, therefore, 13.5 nm is the wavelength of choice for EUVL.

2.2.2 Source power

The output power is the most important characteristic for EUV sources, becauseit affects the wafer throughput of EUV exposure tools directly. A typical EUVwafer throughput model is shown in Table 2.3. The energy required for expos-ing a wafer is obtained from field and wafer parameters. Assuming a field size of25 mm × 25 mm and 89 fields in a wafer, 78.7% of the wafer area is exposed.A 25-mm field height is formed by masking a 26-mm field with an aperture, so3.8% (= 1/26) of the light power is blocked. Assuming the resist sensitivity to be5.0 mJ/cm2, the energy needed to expose all fields in a wafer is 2.9 J.

On the other hand, the power at the wafer is obtained from the source power, il-luminator conditions, reticle conditions, and projection optics (PO) box conditions.

Table 2.3 Typical wafer throughput model.

Throughput wafers/h 100

Time per itemTotal time per wafer sec 36.0Stage overhead sec 27.0Exposure time sec 9.0

Field and wafer parametersWafer diameter mm 300Fraction of wafer exposed % 78.7Penalty for not using full field height % 96.2Resist sensitivity mJ/cm2 5.0

Intermediate derivatives at waferTotal energy per wafer J 2.9Power at wafer W 0.321

PO boxReflectivity, mirror % 67.5Number of near-normal mirrors 6Bandwidth mismatch loss % 5.0Polarization loss % 5.0Gas absorption PO % 5.0Total transmission PO % 8.1

ReticleReflectivity reticle % 65.0Power at reticle W 6.1

IlluminatorTotal transmission % 8.4

GeneralOverall component degradation % 37.0

Power: captured clean inband photons W 115.2

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 64: Section 1 Introduction and Technology Review

32 Chapter 2

The total transmission of the illuminator and that of the PO box are assumed to be8.4% and 8.1%, respectively. The overall component degradation factor includesthe collector reflectance degradation, MLM reflectance degradation, spectral puritytransmission degradation, and so on. It is expected that 115-W light power will beattenuated to 0.321 W from the IF point to the wafer as a result.

The exposure time per wafer can be calculated by dividing the total exposureenergy at the wafer by the exposure power at the wafer. The stage overhead time in-cludes the scanning-stage acceleration and deceleration times, the wafer alignmenttime, the wafer exchange time, and so on. It should be noticed that the requiredscanning length for a reticle stage is longer than the 25-mm field size, because the2-mm slit width and ≈3-mm arc height are included. The time for running this5-mm extra length might be counted as a part of the exposure time, but in thismodel it is included in the stage overhead.

Next, how to improve the wafer throughput is discussed. The source outputpower, the illuminator transmittance, the PO-box transmittance, and the resist sen-sitivity are all equivalent in affecting the exposure time. If the resist sensitivityis improved by a factor of 2, the required source power is reduced by half. Thescanning-stage acceleration also affects the wafer throughput, but its contributionis not the same as that of the above-mentioned factors. How each factor affects thewafer throughput is reviewed here.

A simplified wafer throughput model, which is different from the model de-scribed above, is now introduced (see Fig. 2.3).13 The time required to process awafer by a wafer scanner is described with the following expression:

T = TscanN + Toh

= N(tacc + tsettle + texp + tsettle + tdec) + Toh

= N

[2P

awWR+ 2tsettle + (L + H)WR

P

]+ Toh,

where Tscan = scanning time per field, N = field number per wafer, Toh = overheadtime (wafer exchange, wafer alignment, etc.), tacc = acceleration time, tdec =

Figure 2.3 Exposure field and illumination ring-field.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 65: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 33

Figure 2.4 Relationship between wafer throughput and stage acceleration.

deceleration time, texp = field exposure time (even velocity), tsettle = stage settlingtime (after accelerating and before decelerating), P = EUV intensity on wafer,aw = acceleration of wafer stage, W = field width, L = field height, H = archeight + slit width, and R = photoresist sensitivity.

In this model, the time for stepping between fields is not calculated, because thestepping movement is assumed to finish within the scanning deceleration and theacceleration time. The wafer throughput is obtained from the expression 3,600/T ,where T is in seconds. The relationship between the wafer throughput and thereticle stage acceleration is shown in Fig. 2.4. In this calculation, the photoresistsensitivity is 5 mJ/cm2, the stage settling time is 25 ms, and the overhead timeis 11.5 sec. Results for EUV intensities on wafers of 160, 320, and 640 mW areshown, while 321 mW was assumed in the typical throughput model in Table 2.3.The field size is 25 mm × 25 mm, and the number of fields is 89.

Figure 2.4 shows that wafer throughputs of more than 100 per hour cannotbe obtained if the EUV intensity on wafer is 160 mW, even if the reticle stageacceleration is higher than 6 G. If the EUV intensity is 640 mW, a reticle stageacceleration higher than 4 G is needed to take full advantage of the additionalEUV power.

2.2.3 Repetition frequency

The repetition frequency is determined from a specification of dose uniformity.The dose uniformity depends not only on the integrated energy stability of theEUV source, but also on the control and measurement accuracy of the dose in theexposure tool.

The power at the wafer is 0.321 W in the typical wafer throughput model. Theslit exposure area on the wafer is assumed to be 2×25 mm2. Therefore, the averageEUV power in the slit is 642 mW/cm2. The exposure time is 7.8 ms at a point on awafer if the resist sensitivity is 5 mJ/cm2.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 66: Section 1 Introduction and Technology Review

34 Chapter 2

In a case where the energy stability budget of the EUV source for dose controlis 0.2% (3σ), 0.25% (3σ), or 0.3% (3σ), EUV light must expose the point with112.5, 72, or 50 pulses, respectively, if the integrated energy stability over 50 pulsesis 0.3% (3σ). To deliver more than those numbers of pulses in 7.8 ms, repetitionfrequencies more than 14.4, 9.2, and 6.4 kHz are needed, respectively. It is stated inthe joint requirements that the repetition frequency must be higher than 7–10 kHz,depending on the design of the exposure tool.

The width of the slit is limited because the number of mirrors in the projectionoptics is limited. The width is assumed to be 2 mm in the typical wafer throughputmodel. If the width changes, the requirement of the integrated energy stability orthe repetition frequency may change.

2.2.4 Imaging

The source-induced dose repeatability has a significant influence on the qualityof the imaging (critical-dimension control). Figure 2.5 presents an example of a

Figure 2.5 Source-induced repeatability diagram.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 67: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 35

simplified model based on critical-dimension control, which is determined by thesource repeatability.

If a dose accuracy of 10% is necessary from the critical-dimension-controlpoint of view, then source-induced dose repeatability can contribute only 1% orless, as shown in Fig. 2.5. An accurate analysis of the nature of dose repeatabilitybudgets is given in Ref. 9. The main components in the dose repeatability budgetare:

• the intensity pulse-to-pulse repeatability, and• the source pulse-to-pulse spatial stability.

The influence of pulse-to-pulse variation of intensity on the dose repeatability (Di)

depends on the number of pulses in the slit and the magnitude of this variation(3σi):

Di = 3σi√N

. (2.1)

Depending on the illuminator design, every movement of the source leads to anintensity shift in the slit. This means that some parts of the slit may receive anincorrect amount of energy. The influence of the spatial stability on the dose re-peatability (Dsp) depends on:

• the slit-related spatial stability factor f2 (1/3 has been assumed here),• the magnitude of this variation (3σsp), expressed as a percentage of the

source size, and• the number of pulses in the slit (in the case of random positional variation).

The dose repeatability for critical illumination is given by

Dsp = 2 × 3σspf2√N

. (2.2)

The following equation can be used to calculate the number of pulses in the slit:

N = wfq

v, (2.3)

where fq is the source repetition rate, w is the slit width, and v is the scan speed. Inthe case of a real optical design, the relations between these factors can be different.Table 2.4 summarizes the values used in Fig. 2.5.

Using Eq. (2.3), the number of pulses in the slit can be calculated to be 100.The dose-induced repeatability budget is split evenly between dose repeatability(induced by spatial pulse-to-pulse repeatability) and repeatability of the pulse-to-

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 68: Section 1 Introduction and Technology Review

36 Chapter 2

Table 2.4 Values assumed in the example of Fig. 2.5.

W 1.5 mmV 150 mm/sfq 10 kHzSource-induced repeatability budget 1%

pulse intensity. The different contributions are summed quadratically, since theyare independent. Using Eqs. (2.1) and (2.2), it is possible to calculate the restric-tions on spatial stability and the variation of the intensity of the source. The num-bers listed in Fig. 2.5 are the 3σ values.

It is apparent that the higher the repetition rate of the source, the better the doserepeatability. Thus, when choosing between two sources (other factors being thesame), the source with a higher repetition rate and therefore lower energy per pulseis preferable.

2.2.5 Source cleanliness

Next to output, cleanliness is the most critical characteristic of an EUV source,because it affects the wafer throughput and the CoO directly. It is desirable tominimize debris from the target, nozzle, or electrode reaching the IF. Residualdebris hits and damages illuminator mirrors, and the mirror reflectivity will drop inproportion to the amount of debris and its kinetic energy. It is anticipated that thecollector mirror that faces the source emission point will be damaged by debris andwill be replaced periodically. But other illuminator mirrors after the IF cannot bereplaced easily, because they are integrated into a wafer exposure tool and alignedprecisely with each other.

More than 30,000 light-on hours of source cleanliness after the IF is requiredto obtain a 10-year lifetime of the illuminator, where the exposure time is ex-pected to be 1/3 of the wafer processing time. The amount of debris that isdischarged through the opening between the source and the wafer exposure toolshould be controlled. In addition, CxHy and H2O, which flow into the wafer ex-posure tool through the opening, should be controlled because they contaminatethe illuminator mirrors and the projection mirrors. In order to achieve the re-quired source cleanliness, metrology and evaluation techniques are very impor-tant.

2.2.6 Etendue of source output and positioning stability

Etendue is an optical invariant and is called by many different names.14 One ofthe names is “light-gathering power.” As implied by this terminology, when lightenters an optical system with smaller etendue from another optical system withlarger etendue, the former optical system fails to transmit some part of the light.Thus, an illuminator cannot transmit all light from an IF with larger etendue thanthat of the illuminator. Therefore, a given EUV power with a larger etendue than

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 69: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 37

that of the requirement is substantially equivalent to a smaller EUV power withthe etendue of the requirement. The light that cannot be transmitted through theilluminator becomes thermal energy, and extra cooling is needed. The etendue atthe IF must be smaller than that of the requirement.

When area A on a wafer is exposed with uniform intensity and uniform numer-ical aperture, NA, the etendue, EP, of the projection optics is obtained by

EP = A2π(1 −

√1 − NA2 )≈ πA · NA2.

This is the etendue of the light exposed on the wafer and is also the etendue ofthe light that is outgoing from the reticle and is transmitted through the projectionoptics. On the other hand, the etendue, EI, of the light that impinges on the reticleis obtained by

EI = π(Am2)(σ · NA

m

)2

= πσ2A · NA2,

where σ is the coherence factor and m is the magnification. When there is an in-tegrator in the illuminator, EI is the etendue of the light that is outgoing fromthe integrator and transmitted through a downstream part of the illuminator af-ter the integrator. It is found that EP is different from EI unless σ is 1. Thismeans that etendue is not necessarily conserved before and after the reticle. Thezeroth-order diffraction light from the reticle is reflected at the same angle as inci-dent light. However, the first-order diffraction light is reflected at a different anglefrom that of the zeroth order. Therefore, the etendue becomes bigger after the ret-icle.

Whether an integrator is necessary or unnecessary in an EUV illuminator de-pends on the characteristics of the EUV source (e.g., the spatial uniformity andangular uniformity) and their temporal stability. The required characteristics of theintegrator also depend on the characteristics of the EUV source. When an integratoris used in the illuminator, the integrator enlarges the etendue.

If the area A is 50 mm2, NA is 0.25, and σ is 0.6, then EP is 9.8 mm2 srand EI is 3.5 mm2 sr. The coherence factor is changed for various device patternsand may become smaller. In such a case, EI becomes smaller than 3.5 mm2 sr.If an integrator is used, the etendue of the light that falls on the integrator (i.e.,the etendue of the light that is outgoing from the IF and is transmitted through anupstream part of the illuminator before the integrator) may be much smaller thanEI; it is 1 mm2 sr in one optical design of the illuminator. It is shown in the jointrequirements that the etendue must be smaller than 1–3.3 mm2 sr.

If the center of gravity of EUV light at the IF moves, the movement results inboth etendue enlargement and deterioration of illumination uniformity. If the rangeof the movement is less than 10% of the beam size, it seems to cause few problems.However, the required positioning stability has not yet been agreed on in the jointrequirements.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 70: Section 1 Introduction and Technology Review

38 Chapter 2

2.2.7 Spectral purity

The DUV/VUV power output from the source is required to be weak, becauseit behaves as a flare at the wafer; namely, it exposes the photoresist everywhereand affects critical-dimension control. The critical-dimension error budget must bediscussed in order to decide the allowance of DUV/VUV intensity at the wafer, buta provisional number, less than 1% of EUV, is established here. A wafer heatedby IR/visible light expands thermally, and the overlay accuracy is degraded by thewafer expansion. The allowable IR/visible light intensity at the wafer is assumedto be less than 10% of EUV intensity here.

The allowable DUV/VUV power and IR/visible power at the IF can be calcu-lated using the spectral transmittance of illumination and projection optics and theallowable intensity at the wafer, as is done above.

The spectral reflectivity of a multilayer coated mirror is shown in Fig. 2.6.The expected reflectivity at 13.5 nm is 67.5%, which is indicated by a horizon-tal solid line. The average reflectivity from 130 to 400 nm is about 60%, and itis much smaller than the EUV reflectivity (67.5%). But the reflectivity for somewavelengths is higher than 67.5%, and this band is dominant when the allowableDUV/VUV power is calculated.

The reflectivity for IR is very high; more than 90% is expected. IR light istransmitted from the IF point to the wafer with little attenuation. In order to keepthe ratio of the IR/visible light intensity to EUV intensity below 10% at the wafer,the allowable IR/visible light power at the IF point may need to be 0.2% or less ofthe EUV inband power.

2.3 Component Degradation

The throughput of an exposure tool should meet the specification even at the endof life of every component. Table 2.5 shows the conditions for the component

Figure 2.6 Spectral reflectivity of a multilayer coated mirror.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 71: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 39

Table 2.5 Conditions of component degradation calculation.

Collector end-of-life transmission 90%Electrode end-of-life efficiency 90%ML end-of-life transmission 85%Filter end-of-life transmission 95%System end-of-life transmission 65%Remarks:• End-of-life means replace or clean component• Anticipated required margin 90%

degradation calculation. The collector transmission, electrode efficiency, multi-layer transmission, and filter transmission are end-of-life degradation factors thatare assumed to be 90%, 90%, 85%, and 95%, respectively. To obtain the multilayertransmission, it is assumed that the reflectivity of each MLM decreases by 1% onaverage. The system end-of-life transmission is the total degradation calculatedfrom the other four degradation factors and is 65%. Besides the above conditions,there may be some unexpected component degradation. This anticipated requiredmargin is thought to be 90%. In the typical wafer throughput model, we assumedthe margin is 95%. Therefore, in the typical throughput model we concluded thatintensity of EUV light is decreased 37% by overall component degradation.

Components must be replaced or cleaned and recovered at the end of their life.Multilayer mirrors, except the first few mirrors of the illuminator, are assumed notto be replaceable. The lifetimes of the other MLMs must be the same as that ofthe exposure tool itself. The collector and electrode must be easily replaceable, inview of their present achieved lifetimes.

The exchange time and cost of the electrode, the collector, debris mitigation,and the spectral purity filter should be included in the total maintenance time andcost. The mean time between failure (MTBF), the mean time to repair (MTTR),the scheduled downtime for routine maintenance, and the maintenance cost for theEUV source should be about the same as or modestly greater than those of presentexcimer ArF and KrF lasers for use in lithography.

The lifetime of source components has to be determined from not only thesource power but also all other requirements: etendue, stability, etc.

2.4 Cost of Ownership

The purchase of photolithography equipment is a complex decision. Many aspectsneed to be weighed against one another in order to justify the preference for aspecific supplier. In view of the ever-increasing purchasing cost per unit, this eval-uation needs to be performed very accurately. One important parameter is the costof ownership (CoO) of the exposure tool, to which the operating cost of the sourceis a considerable contributor. The cost of source operation involves many aspects.Roughly they can be split into operating costs, consumables, and clean-room areacosts.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 72: Section 1 Introduction and Technology Review

40 Chapter 2

Examples of operating costs are those of wall-plug power, fuel material such asXe or Sn, various gases, and cooling water. Consumables are parts that need to beexchanged due to operational wear or as part of preventive maintenance. Examplesfor DPP systems are the electrode set, collection optics, and parts of the mitigationsystem. For LPP systems parts of the drive laser must be exchanged on a regularbasis, and within the discharge volume, the target delivery systems and collectionoptics must be considered as replaceable parts as well.

Looking at today’s status of development, it is not possible to give an accu-rate estimate of what the CoO of the first tools will be. Large error bars will bethere, and even some of the future spare parts are still in the definition phase rightnow.

A simplified model (2.4) similar to Ref. 12 can be used for the CoO of thesource. It is apparent that the total CoO will depend on such quantities as thepower of the source, optical system throughput, and resist sensitivity, and on thethroughput as well. But once a certain throughput for certain system parametersis evaluated, the formula for the CoO per wafer level exposure can be writtenas

CoO = size · (price per m2)

throughput · (hours/year)+ initial cost

throughput · (hours/year)·(

1

5+ 1

10+ 1

25

)

+ consumables

throughput · (hours/year). (2.4)

Real values, which include detailed analyses of the system, should be usedfor this calculation. The throughput has a major influence on the CoO ofthe complete system; let us take it fixed at, say, 100 wafers/h and calcu-late an example CoO of the source as a function of initial source cost andsource consumables cost. An example similar to that in Ref. 12 is consid-ered, and the following values on a yearly basis are used in the calcula-tion:

• $500/m2 has been assumed for the cost of the clean-room floor.• The number of hours per year equals 24 × 365 × 65% utilization = 5700 h.• The fraction 1/5 represents 5-year depreciation; 1/10, 10% interest; and

1/25, a 4% service cost.• Footprint + service area: 20 m2.• Throughput: 100 wafers/h.

Figure 2.7 presents the results of these analyses. It is clear that the main driver ofthe CoO is the purchasing price of the source itself. At the same time one shouldnot disregard the price of consumables in the evaluations.

The target consumables–spare-part cost should be optimized to a value wherepresent photolithography tools are operational in the field.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 73: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 41

Figure 2.7 CoO of the source as a function of initial costs and consumables, where wlestands for wafer level exposure.

2.5 Conclusions

The joint requirements for EUV sources have been specified by exposure-tool man-ufacturers, and their bases have been explained above. They will be helpful forsource developers and source suppliers. More detailed requirements or specifica-tions for EUV sources are needed for exposure-tool manufacturers; many of themdepend on both exposure-tool design and source design. Information exchange be-tween exposure-tool manufacturers and source suppliers will be needed individu-ally. However, source development is still the most critical issue for EUVL. Theilluminator transmission and the sensitivity of the photoresist, which determine therequired source power, need to be improved to relax the requirement for sourcepower.

Acknowledgments

The authors thank Roel Moors for useful discussions.

References

1. ASML, Canon, and Nikon, “Joint requirements,” EUV Source Work-shop, Miyazaki, Japan (November 2004). Proceedings available atwww.sematech.org.

2. U. Stamm, I. Ahmad, I. Balogh, et al., “High power discharge and laserproduced plasma sources for EUV lithography,” 2nd International EUVLSymposium, Antwerp, Belgium (September 2003). Proceedings available atwww.sematech.org.

3. A. Endo, T. Abe, T. Suganuma, et al., “Laser-produced-plasma light sourcedevelopment for EUV lithography at EUVA,” 2nd International EUVL

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 74: Section 1 Introduction and Technology Review

42 Chapter 2

Symposium, Antwerp, Belgium (September 2003). Proceedings available atwww.sematech.org.

4. V. Banine, K. Koshelev, and E. Kieft, “Extreme ultraviolet sources for lithog-raphy applications,” 2nd International EUVL Symposium, Antwerp, Belgium(September 2003). Proceedings available at www.sematech.org.

5. J. Pankert, “Philips’ EUV lamp: Status and roadmap,” 2nd International EUVLSymposium, Antwerp, Belgium (September 2003). Proceedings available atwww.sematech.org.

6. I. Fomenkov, S. Melnychuk, O. Khodykin, et al., “Performance of a denseplasma focus light source for EUV Lithography,” 2nd International EUVLSymposium, Antwerp, Belgium (September 2003). Proceedings available atwww.sematech.org.

7. W. Partlo, I. Fomenkov, and D. Birx, “EUV (13.5 nm) light generation using adense plasma focus device,” Proc. SPIE 3676, 846–858 (1999).

8. V. Banine, J. Benschop, M. Leenders, and R. Moors, “Relationship betweenan EUV source and the performance of an EUV lithographic system,” Proc.SPIE 3997, 126–136 (2000).

9. R. Stuik, E. Louis, A. Yakshin, et al., “Peak and integrated reflectivity, wave-length and gamma optimization of Mo/Si and Mo/Be multi-layer, multi-element optics for extreme ultraviolet lithography,” J. Vac. Sci. Technol. B17(6), 2998–3002 (1999).

10. V. Banine and R. Moors, “Plasma sources for EUV lithography exposuretools,” J. Phys. D: Appl. Phys. 37, 3207–3212 (2004).

11. Center for X-Ray Optics, LBNL, “X-Ray Interactions With Matter,”http://www-cxro.lbl.gov/optical_constants.

12. V. Y. Banine, J. P. H. Benschop, and H. G. C. Werij, “Comparison of extremeultraviolet sources for lithography applications,” Microelec. Eng. 53, 681–684(2000).

13. K. Ota, K. Tanaka, and H. Kondo, “Throughput model consideration and im-pact of throughput improvement request on exposure tool,” 2nd InternationalEUVL Symposium, Antwerp, Belgium (September 2003). Proceedings avail-able at www.sematech.org.

14. W. H. Steel, “Luminosity, throughput, or etendue?” Appl. Opt. 13, 704(1974).

Kazuya Ota is a staff scientist in the EUVL Development De-partment at Nikon, responsible for determining EUV exposure-tool specifications and components. Ota graduated in physics fromNagoya University and began his career in 1983 as a design engi-neer developing a wafer alignment system for wafer scanners. Otacarried out aspherical surface metrology using interferometry atthe ASET EUVL Laboratory from 1998 to 2001.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms

Page 75: Section 1 Introduction and Technology Review

EUV Source Requirements for EUV Lithography 43

Yutaka Watanabe is a manager within Canon Inc.’s Nanotech-nology and Advanced System Research Laboratories. He is re-sponsible for EUV sources and metrology using EUV light. Dr.Watanabe received both a Ph.D. degree (1985) and a M.S. degree(1981) in physics from Osaka University.

Vadim Banine received a master’s degree in 1987 atMoscow Physical Technical Institute (MPTI), Russia. Hisarea of specialization was general and plasma physics. Hereceived a Ph.D. degree in 1995 and did his postdoc-toral work in 1995–1996 at the Technical University ofEindhoven, The Netherlands, where his area of specializa-tion was metrology of the combustion plasmas. He has

worked at ASML from 1997 until the present as the EUV laboratoriesleader. His main areas of expertise are general and plasma physics, vac-uum systems, lithography tools, metrology, and the organization of researchprojects.

Hans Franken received a master’s degree in 1983 at TechnicalUniversity, Eindhoven, The Netherlands. His areas of specializa-tion were mechatronics, control electronics, and IC circuit design.He has designed physiotherapeutic equipment. He has worked atASML from 1985 until the present in several capacities: elec-tronics design engineer, system designer, and development projectleader in several programs. He is now working in the EUV pro-

gram. His main areas of expertise are project management, lithography tools, andservo control.

Downloaded from SPIE Digital Library on 23 Oct 2010 to 130.207.50.192. Terms of Use: http://spiedl.org/terms


Recommended