+ All Categories
Home > Documents > SRAM Yield Enhancement with Thin-BOX FD-SOIbora/Conferences/... · SRAM Yield Enhancement with...

SRAM Yield Enhancement with Thin-BOX FD-SOIbora/Conferences/... · SRAM Yield Enhancement with...

Date post: 26-Aug-2020
Category:
Upload: others
View: 2 times
Download: 0 times
Share this document with a friend
2
SRAM Yield Enhancement with Thin-BOX FD-SOI *1 Changhwan Shin, 1 Min Hee Cho, 3,1 Yasumasa Tsukamoto, 2 Bich-Yen Nguyen, 1 Borivoje Nikolić, and 1 Tsu-Jae King Liu 1 Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA 94720 USA 2 SOITEC, 1010 Land Creek Cove, Austin, Texas, 78746, USA 3 Renesas Technology Corp., 4-1 Mizuhara, Itami, Hyogo, 664-0005 Japan *Phone: +1-510-643-2638, Fax: +1-510-643-2636, E-mail: [email protected] Abstract The performance and yield of 6-T SRAM cells implemented in thin-BOX FD-SOI technology vs. bulk technology are compared via 3- dimensional (3D) atomistic process and device simulations and analytical modeling for SRAM yield estimation. Performance is enhanced due to the elimination of channel dopants, and variation due to gate-LER and RDF are suppressed, for FD-SOI technology. For the same cell area (~0.07μm 2 ), comparable SNM can be achieved with 30% higher write current, and SRAM yield is enhanced by >2 sigma. Introduction Continued bulk MOSFET and SRAM cell area scaling are becoming increasingly difficult due to increased random variation in transistor performance with decreasing gate length (L GATE ), caused by gate line-edge roughness (LER) and random dopant fluctuations (RDF) [1]. The use of a lightly doped (fully depleted) SOI MOSFET structure with a thin (~10 nm-thick) buried oxide (BOX) and a heavily doped substrate has been reported to be effective for suppressing this variation [2]. The thin-BOX structure (vs. a thick-BOX structure) has been shown to be optimal for SRAM in sub-50nm technology nodes [3]. In this work, the benefits of thin-BOX FD-SOI technology vs. bulk MOSFET technology for improving 6-T SRAM cell yield at the 22nm technology node are assessed, via 3-dimensional (3D) atomistic process and device simulations and analytical modeling for SRAM yield estimation. Thin-BOX FD-SOI MOSFET Design Fig. 1a shows a cross-sectional view of an n-channel thin-BOX FD-SOI MOSFET structure simulated in this work. Device parameters are summarized in Table I, and were selected according to [4] for superior short-channel effect control and to allow for effective back- biasing. The structure is fabricated using an implantation-free process to avoid dopant straggling and damage-induced defects in the thin body region [4], to reduce RDF-induced variations. The process uses a low- temperature, zero-silicon-loss epitaxial growth process to form (faceted) in-situ-doped (10 20 cm -3 ) raised-source/drain regions – from which dopants are diffused to form the lightly doped source/drain extensions – to reduce series resistance with minimal increase in sidewall gate capacitance [4]. The gate work function (Φ M ) was selected to achieve the LOP ITRS specification for off-state leakage current (I OFF ), ~3nA/μm. For comparison, planar bulk MOSFETs with uniform channel doping profile (10 18 cm -3 boron), doped poly-Si gate (Ф M = 4.05eV), and comparable I OFF were also simulated. Fig. 2 shows the transfer characteristics for n-channel FD-SOI and bulk MOSFETs. The FD-SOI MOSFET exhibits steeper sub-threshold slope (due to negligible depletion capacitance) and higher drive current (due to higher carrier mobility). Table II provides a summary comparison of device performance parameters. A simple analytical model was fit to the simulated current-voltage data (Fig. 2), to allow for fast estimation of SRAM metrics such as read static noise margin (SNM) [5] and write current (I w ) [6]. Variation Analysis Variation in transistor threshold voltage (V TH ) due to RDF was evaluated using 3D Kinetic Monte Carlo (KMC) simulations (100 cases for each nominal design), which include reactions between defects and impurities as predicted by molecular dynamics. As shown in Fig. 3, σ(V TH ) is reduced (by more than 50%) for the FD-SOI structure because of the elimination of dopants within the channel region. 100 different gate line profiles were derived from a scanning electron microscopy image of photoresist lines processed for the 22nm node, and were used to define gate electrodes with realistic LER for 3D device simulations. The σ(V TH ) values extracted from these simulations are summarized in Fig. 3. Due to reduced short-channel effects, the FD-SOI structure provides for smaller LER-induced variation. Assuming that LER and RDF are independent process variables, the total random variations are estimated to be σ(V TH )| SOI = 23mV, σ(V TH )| BULK = 51mV. 6-T SRAM Cell Design The 22nm-node SRAM cell (Fig. 1b) dimensions summarized in Table III were selected based on recent publications [7-11]. Figs. 4a and 4b show the butterfly plots and N-curves for each structure, respectively, obtained using the analytical model. Although the SNM is slightly lower (by 10%) due to a lower nominal |V TH | values, I w is 71% higher for the FD-SOI cell. For a fixed cell area (~0.07μm 2 ), comparable SNM values (~207mV) can be achieved by decreasing the widths of the pass-gate transistors (W PG ) in the FD-SOI cell, in which case I w for the FD-SOI cell (~14μA) is still 30% higher than that for the bulk cell (~ 11 μA). Figs. 4c and 4d show the dependencies of SNM and I w on V DD , respectively. It can be seen that the FD-SOI cell provides for higher I w at comparable SNM over the entire range of V DD values. SRAM Yield Estimation The concept of cell sigma, defined as the minimum amount of variation for read/write failure [12], is used to assess SRAM yield. Random variations due to gate-LER and RDF, as well as global (Gaussian) variations due to process-induced variations in L GATE and channel width (±10%) are considered. Fig. 5 shows that the minimum V DD (meeting six-sigma yield) is ~0.6V for the FD-SOI cell. In contrast, the bulk cell cannot meet the six-sigma yield requirement for any value of V DD ; it achieves only 4-sigma at V DD = 0.8V. Conclusion The performance and yield of 6-T SRAM cells implemented in thin-BOX FD-SOI technology vs. bulk technology are compared. Performance is enhanced due to the elimination of channel dopants and variation due to gate-LER and RDF are suppressed for FD-SOI technology. This results in improved write current and higher cell sigma for FD-SOI technology. Therefore, thin-BOX FD-SOI is promising for continued 6-T SRAM cell area scaling. Acknowledgements This work was partly supported by SOITEC and the Center for Circuit & System Solutions (C2S2) Focus Center, one of five research centers funded under the Focus Center Research Program, a Semiconductor Research Corporation program. C. Shin also appreciates the support of the Korea Foundation for Advanced Studies (KFAS). Helpful discussion with Donovan Lee is gratefully acknowledged. References: [1] A. Asenov, Symp. VLSI Tech. (2007). [2] T. Ohtou et al., IEEE EDL Aug. 2007. [3] S. Mukhopadhyay et al., IEEE EDL Apr. 2006. [4] K. Cheng et al., Symp. VLSI Tech. (2009). [5] E. Seevinck et al., JSSC (1987). [6] C. Wann et al., VLSI-TSA (2005). [7] H.S. Yang et al., IEDM Tech. (2008). [8] H. Kawasaki et al., IEDM Tech. (2008). [9] B.S. Haran et al., IEDM Tech. (2008). [10] C.H. Diaz et al., IEDM Tech. (2008). [11] F. Arnaud et al., IEDM Tech. (2008). [12] A. Carlson, IEEE SNW (2008). 978-1-4244-5232-3/09/$25.00 ©2009 IEEE
Transcript
Page 1: SRAM Yield Enhancement with Thin-BOX FD-SOIbora/Conferences/... · SRAM Yield Enhancement with Thin-BOX FD-SOI *1Changhwan Shin, 1Min Hee Cho, 3,1Yasumasa Tsukamoto, 2Bich-Yen Nguyen,

SRAM Yield Enhancement with Thin-BOX FD-SOI

*1Changhwan Shin, 1Min Hee Cho, 3,1Yasumasa Tsukamoto, 2Bich-Yen Nguyen, 1Borivoje Nikolić, and 1Tsu-Jae King Liu

1Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA 94720 USA 2SOITEC, 1010 Land Creek Cove, Austin, Texas, 78746, USA

3Renesas Technology Corp., 4-1 Mizuhara, Itami, Hyogo, 664-0005 Japan *Phone: +1-510-643-2638, Fax: +1-510-643-2636, E-mail: [email protected]

Abstract The performance and yield of 6-T SRAM cells implemented in

thin-BOX FD-SOI technology vs. bulk technology are compared via 3-dimensional (3D) atomistic process and device simulations and analytical modeling for SRAM yield estimation. Performance is enhanced due to the elimination of channel dopants, and variation due to gate-LER and RDF are suppressed, for FD-SOI technology. For the same cell area (~0.07μm2), comparable SNM can be achieved with 30% higher write current, and SRAM yield is enhanced by >2 sigma.

Introduction Continued bulk MOSFET and SRAM cell area scaling are

becoming increasingly difficult due to increased random variation in transistor performance with decreasing gate length (LGATE), caused by gate line-edge roughness (LER) and random dopant fluctuations (RDF) [1]. The use of a lightly doped (fully depleted) SOI MOSFET structure with a thin (~10 nm-thick) buried oxide (BOX) and a heavily doped substrate has been reported to be effective for suppressing this variation [2]. The thin-BOX structure (vs. a thick-BOX structure) has been shown to be optimal for SRAM in sub-50nm technology nodes [3]. In this work, the benefits of thin-BOX FD-SOI technology vs. bulk MOSFET technology for improving 6-T SRAM cell yield at the 22nm technology node are assessed, via 3-dimensional (3D) atomistic process and device simulations and analytical modeling for SRAM yield estimation.

Thin-BOX FD-SOI MOSFET Design Fig. 1a shows a cross-sectional view of an n-channel thin-BOX

FD-SOI MOSFET structure simulated in this work. Device parameters are summarized in Table I, and were selected according to [4] for superior short-channel effect control and to allow for effective back-biasing. The structure is fabricated using an implantation-free process to avoid dopant straggling and damage-induced defects in the thin body region [4], to reduce RDF-induced variations. The process uses a low-temperature, zero-silicon-loss epitaxial growth process to form (faceted) in-situ-doped (1020 cm-3) raised-source/drain regions – from which dopants are diffused to form the lightly doped source/drain extensions – to reduce series resistance with minimal increase in sidewall gate capacitance [4]. The gate work function (ΦM) was selected to achieve the LOP ITRS specification for off-state leakage current (IOFF), ~3nA/μm. For comparison, planar bulk MOSFETs with uniform channel doping profile (1018 cm-3 boron), doped poly-Si gate (ФM= 4.05eV), and comparable IOFF were also simulated. Fig. 2 shows the transfer characteristics for n-channel FD-SOI and bulk MOSFETs. The FD-SOI MOSFET exhibits steeper sub-threshold slope (due to negligible depletion capacitance) and higher drive current (due to higher carrier mobility). Table II provides a summary comparison of device performance parameters. A simple analytical model was fit to the simulated current-voltage data (Fig. 2), to allow for fast estimation of SRAM metrics such as read static noise margin (SNM) [5] and write current (Iw) [6].

Variation Analysis Variation in transistor threshold voltage (VTH) due to RDF was

evaluated using 3D Kinetic Monte Carlo (KMC) simulations (100 cases for each nominal design), which include reactions between defects and impurities as predicted by molecular dynamics. As shown in Fig. 3, σ(VTH) is reduced (by more than 50%) for the FD-SOI structure because of the elimination of dopants within the channel region.

100 different gate line profiles were derived from a scanning electron microscopy image of photoresist lines processed for the 22nm node, and were used to define gate electrodes with realistic LER for 3D device simulations. The σ(VTH) values extracted from these simulations are summarized in Fig. 3. Due to reduced short-channel effects, the FD-SOI structure provides for smaller LER-induced variation. Assuming that LER and RDF are independent process variables, the total random variations are estimated to be σ(VTH)|SOI = 23mV, σ(VTH)|BULK = 51mV.

6-T SRAM Cell Design The 22nm-node SRAM cell (Fig. 1b) dimensions summarized in

Table III were selected based on recent publications [7-11]. Figs. 4a and 4b show the butterfly plots and N-curves for each structure, respectively, obtained using the analytical model. Although the SNM is slightly lower (by 10%) due to a lower nominal |VTH| values, Iw is 71% higher for the FD-SOI cell. For a fixed cell area (~0.07μm2), comparable SNM values (~207mV) can be achieved by decreasing the widths of the pass-gate transistors (WPG) in the FD-SOI cell, in which case Iw for the FD-SOI cell (~14μA) is still 30% higher than that for the bulk cell (~ 11 μA). Figs. 4c and 4d show the dependencies of SNM and Iw on VDD, respectively. It can be seen that the FD-SOI cell provides for higher Iw at comparable SNM over the entire range of VDD values.

SRAM Yield Estimation The concept of cell sigma, defined as the minimum amount of

variation for read/write failure [12], is used to assess SRAM yield. Random variations due to gate-LER and RDF, as well as global (Gaussian) variations due to process-induced variations in LGATE and channel width (±10%) are considered. Fig. 5 shows that the minimum VDD (meeting six-sigma yield) is ~0.6V for the FD-SOI cell. In contrast, the bulk cell cannot meet the six-sigma yield requirement for any value of VDD; it achieves only 4-sigma at VDD = 0.8V.

Conclusion The performance and yield of 6-T SRAM cells implemented in

thin-BOX FD-SOI technology vs. bulk technology are compared. Performance is enhanced due to the elimination of channel dopants and variation due to gate-LER and RDF are suppressed for FD-SOI technology. This results in improved write current and higher cell sigma for FD-SOI technology. Therefore, thin-BOX FD-SOI is promising for continued 6-T SRAM cell area scaling.

Acknowledgements This work was partly supported by SOITEC and the Center for Circuit & System Solutions (C2S2) Focus Center, one of five research centers funded under the Focus Center Research Program, a Semiconductor Research Corporation program. C. Shin also appreciates the support of the Korea Foundation for Advanced Studies (KFAS). Helpful discussion with Donovan Lee is gratefully acknowledged. References: [1] A. Asenov, Symp. VLSI Tech. (2007). [2] T. Ohtou et al., IEEE EDL Aug. 2007. [3] S. Mukhopadhyay et al., IEEE EDL Apr. 2006. [4] K. Cheng et al., Symp. VLSI Tech. (2009). [5] E. Seevinck et al., JSSC (1987). [6] C. Wann et al., VLSI-TSA (2005). [7] H.S. Yang et al., IEDM Tech. (2008). [8] H. Kawasaki et al., IEDM Tech. (2008). [9] B.S. Haran et al., IEDM Tech. (2008). [10] C.H. Diaz et al., IEDM Tech. (2008). [11] F. Arnaud et al., IEDM Tech. (2008). [12] A. Carlson, IEEE SNW (2008).

978-1-4244-5232-3/09/$25.00 ©2009 IEEE

Page 2: SRAM Yield Enhancement with Thin-BOX FD-SOIbora/Conferences/... · SRAM Yield Enhancement with Thin-BOX FD-SOI *1Changhwan Shin, 1Min Hee Cho, 3,1Yasumasa Tsukamoto, 2Bich-Yen Nguyen,

TBOX

Raised-Drain

WSP AC ER

LGATE

Sub (p-doped 1018cm-3)

TSin+ n+

SiO2

1020cm-3

-1020cm-3

Raised -Source

TBOX

Raised-Drain

WSP AC ER

LGATE

Sub (p-doped 1018cm-3)

TSin+ n+

SiO2

1020cm-3

-1020cm-3

Raised -Source

0.0 0.2 0.4 0.6 0.8 1.00

5

10

15

20

I w [u

A]

VDD [V]

F D - S O I B U L K

0.0 0.2 0.4 0.6 0.8 1.00

50

100

150

200

250

SNM

[mV

]

VDD

[V]

FD-SOI BULK

PG1

PU1

PD1

n1n2

BL BLBWL

PD2

PU2

PG2

VDD

PG1

PU1

PD1

n1n2

BL BLBWL

PD2

PU2

PG2

VDD

0.0 0.2 0.4 0.6 0.8 1.00

1

2

3

4

5

6

7

8

9

10

SNM

Cel

l Sig

ma

[sig

ma]

VDD

[V]

FD-SOI BULK

0.0 0.2 0.4 0.6 0.8 1.00

1

2

3

4

5

6

7

8

9

10

SNM

Cel

l Sig

ma

[sig

ma]

VDD

[V]

FD-SOI BULK

0.0 0.2 0.4 0.6 0.8 1.00.0

0.2

0.4

0.6

0.8

1.0

V(n

2) [V

]

V(n1) [V]

FD-SOI BULK

SNMSOI=186mV

SNMBULK=207mV

0.0 0.2 0.4 0.6 0.8 1.00.0

0.2

0.4

0.6

0.8

1.0

V(n

2) [V

]

V(n1) [V]

FD-SOI BULK

SNMSOI=186mV

SNMBULK=207mV

0.0 0.2 0.4 0.6 0.8 1.00.0

10.0

20.0

30.0

40.0

50.0

60.0

I(n1)

[uA

]

V(n1) [V]

FD-SOI BULK

Iw,SOI=18.9uA

Iw,BULK=11.0uA

0.0 0.2 0.4 0.6 0.8 1.00.0

10.0

20.0

30.0

40.0

50.0

60.0

I(n1)

[uA

]

V(n1) [V]

FD-SOI BULK

Iw,SOI=18.9uA

Iw,BULK=11.0uA

Fig. 4. Comparison of (a) Static Noise Margin (b) and the write current, for VDD=0.9V. The write-ability of the FD-SOI SRAM cell improved by 71%, with 10% lower SNM. For (c,d), 28.5nm WPG in the FD-SOI SRAM cell is used to achieve comparable SNMs.

Fig. 1. (a) Cross-sectional view of the thin-BOX FD-SOI MOSFET, (b) Circuit schematic of the 6-T SRAM cell.

Table I. FD-SOI device parameters

Fig. 2. Comparison of IDS-VGS (VDS=VDD=1.0V) curves of (a) Bulk (b) FD-SOI. The fitted curves are matched within 5%. An analytical short-channel I-V equation was fit to 6 different current values from Sentaurus, for (VGS, VDS) = {(1.0, 0.1), (1.0, 1.0), (0.5, 1.0), (1.0, 0.5), (0.0, 1.0), (0.5, 0.1)} [12].

Fig. 3. Atomistic simulations of IDS-VGS of (a) Bulk (b) FD-SOI. Due to the elimination of channel doping, σ(VTH) is dramatically suppressed for FD-SOI. Note that variation induced by gate-LER results in smaller σ(VTH). VDD=0.9V.

Fig. 5. Comparison of the cell sigma for (a) SNM (b) and Iw.

(a) 

(b) 

(b) 

(a) 

X

YLd

La

BC

Wl

D/2Wd

A/2

Wa

Table III. FD-SOI 6-T SRAM cell dimensions: A half-bit cell image is shown on the left side below.

(a)

σ(VTH)|RDF= 45mVσ(VTH)|LER= 25mV σ(VTH)|RDF+LER= 51mV

Nominal ID‐VGS

σ(VTH)|RDF= 21mVσ(VTH)|LER= 10mV σ(VTH)|RDF+LER= 23mV

(b) 

A SRAM cell area

CellWidth

CellHeight

20BPOLY-to-DIF ext

30APOLY-to-POLY

190Total

20YGate-to-CONT

30XCONT size

25LdPD CH length

25LaPG CH length

D

Wl

C

Wd

Symbol

0.07486 μm2

394Total

50DIF-DIF (min)

32PU Width

50N/P isolation

55PD Width

Size [nm]Design rules

A SRAM cell area

CellWidth

CellHeight

20BPOLY-to-DIF ext

30APOLY-to-POLY

190Total

20YGate-to-CONT

30XCONT size

25LdPD CH length

25LaPG CH length

D

Wl

C

Wd

Symbol

0.07486 μm2

394Total

50DIF-DIF (min)

32PU Width

50N/P isolation

55PD Width

Size [nm]Design rules

(c)  (d)

(a) 

Nominal ID‐VGS

Table II. Comparison of device performance: VDD=1.0V

0.0002

0.0004

0.0006

0.0008

0.0010

0.0 0.2 0.4 0.6 0.8 1.01E-9

1E-8

1E-7

1E-6

1E-5

1E-4

1E-3

I DS [

A/u

m]

Model Sentaurus

VGS [V]

(b)

0.0002

0.0004

0.0006

0.0008

0.0010

0.0 0.2 0.4 0.6 0.8 1.01E-9

1E-8

1E-7

1E-6

1E-5

1E-4

1E-3

I DS [

A/u

m]

Model Sentaurus

VGS [V]

0.0002

0.0004

0.0006

0.0008

0.0010

0.0 0.2 0.4 0.6 0.8 1.01E-9

1E-8

1E-7

1E-6

1E-5

1E-4

1E-3

I DS [

A/u

m]

Model Sentaurus

VGS [V]

(b)(a)

0.0 0.2 0.4 0.6 0.8 1.01E-9

1E-8

1E-7

1E-6

1E-5

1E-4

1E-3

I DS

[A/u

m]

VGS [V]

Model Sentaurus

0.0002

0.0004

0.0006

0.0008

0.0010

(a)

0.0 0.2 0.4 0.6 0.8 1.01E-9

1E-8

1E-7

1E-6

1E-5

1E-4

1E-3

I DS

[A/u

m]

VGS [V]

Model Sentaurus

(a)

0.0 0.2 0.4 0.6 0.8 1.01E-9

1E-8

1E-7

1E-6

1E-5

1E-4

1E-3

I DS

[A/u

m]

VGS [V]

Model Sentaurus

0.0002

0.0004

0.0006

0.0008

0.0010

0.0 0.2 0.4 0.6 0.8 1.00

1

2

3

4

5

6

7

8

9

10

I w C

ell S

igm

a [s

igm

a]

VDD [V]

FD-SOI BULK

0.0 0.2 0.4 0.6 0.8 1.00

1

2

3

4

5

6

7

8

9

10

I w C

ell S

igm

a [s

igm

a]

VDD [V]

FD-SOI BULK

(b)

4.45eVΦM

6nmTSI

10nmTBOX

1nmTOX

15nmWSPACER

35.6nmLeff

25nmLGATE

ValueParameter

4.45eVΦM

6nmTSI

10nmTBOX

1nmTOX

15nmWSPACER

35.6nmLeff

25nmLGATE

ValueParameter

51

114

160

75

3

861

FD‐SOI

51DIBL  [mV/V]

120VT,SAT [mV]

166VT,LIN [mV]

81SS  [mV/dec]

3IOFF  [nA/μm]

581ION  [μA/μm]

Bulk

51

114

160

75

3

861

FD‐SOI

51DIBL  [mV/V]

120VT,SAT [mV]

166VT,LIN [mV]

81SS  [mV/dec]

3IOFF  [nA/μm]

581ION  [μA/μm]

Bulk


Recommended