+ All Categories
Home > Documents > STT-MRAM technology for embedded applications

STT-MRAM technology for embedded applications

Date post: 18-Dec-2021
Category:
Upload: others
View: 6 times
Download: 0 times
Share this document with a friend
22
STT-MRAM technology for embedded applications Siddharth Rao email: [email protected]
Transcript
Page 1: STT-MRAM technology for embedded applications

STT-MRAM technology for embedded applicationsSiddharth Rao

email: [email protected]

Page 2: STT-MRAM technology for embedded applications

public

STT-MRAM as a non-volatile memory (NVM) technology offeringSTT-MRAM is production ready and currently sampling to customers

Advantages of STT-MRAM

- Non-volatile & low power

- Fast write speeds (tpulse < 10 ns)

- Endurance > 109 cycles

IEDM 2019 IEDM 2019 IEDM 2020

STT-MRAM state-of-the-art☺

High performance

compute

BEOL

compatibility Scalablity

Page 3: STT-MRAM technology for embedded applications

public

Embedded electronics – Driven by memory, limited by power

3

Market forecasts predict extensive application range for STT-MRAM

Courtesy Yole development

Courtesy: IBM

Page 4: STT-MRAM technology for embedded applications

public

Why is STT-MRAM the most promising emerging NVM?

▪ Many potential benefits – fast R/W times (1 – 10 ns), low power operation, large endurance* (~1015 cycles)..

4

Esw = 0.12 pJ @ 1ppm

G. Jan et al., VLSI 2018 J. J. Kan et al., IEDM 2016S. Sakhare et al., IEDM 2018

H. Noguchi et al., ISSCC 2016

Endurance at RT Area savings over SRAM

Low power, high

speed operation High endurance Dense structure, small footprint

Page 5: STT-MRAM technology for embedded applications

public

STT-MRAM technology development driven by application domain

5

Requirements

- Low power (Jsw < 5 MA/cm2)

- High speed (toper < 10 ns)

- WER > 1e-6

- 10 years retention (-40 C to +85 C)

High performance computingExamples: LLC, SoC

Requirements

- High density (pitch = 50 nm)

- Low power (Jsw < 1 MA/cm2)

- Relaxed speed (toper ~ 50 ns)

- WER ~ 1e-6

- 10 years retention (-40 C to +125 C)

Low power computing Examples: eFLASH replacement, Edge IoT

Page 6: STT-MRAM technology for embedded applications

Fundamentals of Magnetoresistive RAM (MRAM)

6

Page 7: STT-MRAM technology for embedded applications

public

MRAM – Fundamentals

▪ Memory – 2 distinct states; decided by relative orientation of ferromagnetic layers

▪ Read - current sensing through magnetic tunnel junction (MTJ); TMR effects modulates readout signal

▪ Write – multiple write schemes dependent on MRAM flavour (current-driven or E field-driven)

▪ Mechanism – Generator of spin torques, followed by angular momentum transfer for magnetization reversal

7

What is an MRAM device?

-0.7 -0.4 0.0 0.4 0.7

10

15

20

-1

50

100

TM

R (%

)RM

TJ (

kW

)

VSTT (V)

‘1’ ‘0’

i e-

Free layerFerromagnet can freely rotate

Pinned layerFerromagnet cannot rotate

Insulating barrier

Magnetic tunnel junction

Page 8: STT-MRAM technology for embedded applications

public

Write operation

▪ Write – tunneling electrons (s) transfer spin angular momentum to bound electrons (d,f)

▪ Magnetization experiences torque from transverse component only

▪ Magnetization reversal dynamics described by Landau-Lifshitz-Gilbert-Slonczweski (LLGS) equation

8

Spin Transfer Torque (STT) enables magnetization reversal

-0.7 -0.4 0.0 0.4 0.7

10

15

20

-1

50

100

TM

R (%

)RM

TJ (

kW

)

VSTT (V)

precession damping Spin torque

Page 9: STT-MRAM technology for embedded applications

public

Evolution of MTJ design

9

Perpendicular magnetic anisotropy (PMA)

Magnetic anisotropy – preferred orientation of material magnetization

𝐾𝑒𝑓𝑓 = 𝐾𝑐𝑟𝑦𝑠𝑡𝑎𝑙 + 𝐾𝑠ℎ𝑎𝑝𝑒 + 𝐾𝑖𝑛𝑡/𝑡 + 𝐾𝑠𝑡𝑟𝑒𝑠𝑠

Energy cost of overcoming

demagnetization PMA systems – energy efficient and scalable!

A.V. Khvalkovskiy et al., Jour. Appl. Phys. D 46, 074001 (2013)

# Description

Ms Saturation magnetization (FL)

Hk Magnetic anisotropy field (FL)

Damping constant

Spin polarization

t Thickness

Jc0 Critical switching current density

Technology parameters of switching FL

Cost of writing information

Page 10: STT-MRAM technology for embedded applications

public

Integration process for high performance, high density STT-MRAM

▪ Annealing of film stack required for optimal crystallization and TMR

▪ End-of-line (EOL) anneals are challenging to stability of full MTJ stack

10

1x integration scheme enables costs benefits and higher cell densities

EO

L f

ield

Passiv

atio

n

To

p c

onta

ct

mo

du

le

MT

J d

epositio

n

Patt

ern

ing

+ a

nne

al

Bo

tto

m

ele

ctr

od

e

Fro

nt-

end u

p

to t

arg

et

me

tal

(a)

Process flow

(c)Cross-section(b)

M4

M3

MTJ

TEM of integrated MTJ bit-cellFront-end up

to target metal

Bottom electrode

MTJ module

MTJ deposition

Patterning + anneal

Top contact module

Passivation

EOL field

(a)

Pro

cess f

low

Pro

cess Flow

Baseline 400 C BP stackRA = 4.5 W.m2, TMR = 160%

Page 11: STT-MRAM technology for embedded applications

public

Challenges to market adoption - Defects

▪ MTJ defect study an active area of research → not a simple resistor!

▪ Device-aware test approach needed for failure mitigation & process optimization

11

Defect zoology in the MRAM process flow

Front-end up

to target metal

Bottom electrode

MTJ module

MTJ deposition

Patterning + anneal

Top contact module

Passivation

EOL field

(a)

Pro

cess f

low

Pro

cess Flow

L. Wu et al., TETC 2019

Page 12: STT-MRAM technology for embedded applications

STT-MRAM as eSRAM replacement- Challenges & mitigation strategies

12

Page 13: STT-MRAM technology for embedded applications

public

WER target (for LLCs) 1e-9 cycles

Challenge 1 – Achieving BEOL compatibility

13

BEOL thermal budget leads to magnetic layer degradation and yield reduction

TMR (%)

Cou

nt

Tail bits

Tail bits cause WER widening

➢ Increased write power

➢ Increased reliability issues

Tail bits in dense arrays

TMR distribution in 1 MB STT-MRAM array

Jc

(a.u

.)

Electrical CD (nm)

tPW = 5 ns

BEOL challenge (400 C, >90 min)

Penalty in data retention ( Hk)

Increased

write

latency

High bias WER increase

G. Jan et al., VLSI 2018

▪ Largely solved by annealing MTJ prior to patterning step to enable optimal bcc (100) crystallization

▪ Incorporation of increased B % in magnetic layers enables delayed crystallization; ensures higher thermal budget!

Page 14: STT-MRAM technology for embedded applications

public

Challenge 2 – Backhopping in reference layer (RL)

▪ Unintended reversal of RL under influence of STT

leads to data corruption.

▪ Patterning-induced Hk loss in RL leads to reduced

Eb (constant FL, RL switching).

▪ Mitigation: Increase RL PMA, and pinning with SAF

(HL layer)

14

Backhopping leading to WER increase

W. Kim et al., IEEE Trans. Magn. (2016)

WE

R

tPW = 5 ns tPW = 10 ns tPW = 50 ns

Jpulse (MA/cm2) Jpulse (MA/cm2) Jpulse (MA/cm2)

WE

R

tPW = 5 ns tPW = 10 ns tPW = 50 ns

Jpulse (MA/cm2) Jpulse (MA/cm2) Jpulse (MA/cm2)

WE

R

Page 15: STT-MRAM technology for embedded applications

public

Challenge 3 – Write power reduction and scalability

15

Data retention

() 10 yrs

Stack engineering (Ku, Ms,...)

Patterning challenges

Need for a new FL design beyond conventional CoFeB/MgO interfaces

~ (60 – 90) in operating T rangeChallenge. Linear -Ic relation results in higher write power

Solder reflow challenge

- addressed by Hk engineering,

- though Ic increases also

Random fluctuations of magnetization

Not a memory anymore!

Materials innovation

Challenge. Materials engineering limited by TMR–-Ic tradeoff

Hk → Hc → Eb → Increased power consumption!

Single domain onset (Dsd) pushed to smaller sizes...

Property degradation

Challenge. Increase in

PID with reduced CD.

Switching efficiency

drops.

Fundamental limit!

CD reduction →

Ic reduction

=𝐸𝑏𝑘𝐵𝑇

=𝐾𝑢𝑉

𝑘𝐵𝑇=𝑀𝑠𝐻𝑘𝑉

2𝑘𝐵𝑇

Data retention

Glossary

Eb – energy barrier (in kT)

Ms – saturation magnetization (in A/m)

Hk – magnetic anisotropy field (in T)

PID – patterning-induced damage

Hc

(mT

)

Size (nm)

Switching current

Page 16: STT-MRAM technology for embedded applications

public

Challenge 4 – Addressing process variability

Key challenge for market adoption – Can we address MTJ damage control in dense arrays?

Process-induced damage broadens distributions; pose manufacturability concerns

J. G. Alzate et al., IEDM 2019

S. Rao et al., ICM 2018

2.5x

S5 S4 S340

60

80

100

120

I c,

avg (

A)

5

6

7

8

Jc,

avg (

MA

/cm

2)

Observed trends of variability Modelling Experimental confirmation

40% improvement!

CD = 60 nm

Page 17: STT-MRAM technology for embedded applications

SIDDHARTH RAO SIDDHARTH RAO

Patterning of MTJ nanopillar devices

17

MRAM device

300mm wafer

Main etch (ME)

Over-etch (OE)

A primer

▪ MTJ patterning – Ion-beam etch (IBE) using a 2-step etching mechanism

▪ Over-etch step is necessary to clean re-deposited metallic sidewalls to avoid device shorts.

▪ Post-etch treatment (ie: oxygen treatment) necessary to render sidewalls electrically inactive

Expected damage profile

Damage region with gradual

variation in device parameters

Page 18: STT-MRAM technology for embedded applications

SIDDHARTH RAO

GRADIENT

𝑅𝐴2 𝑒𝑥𝑡𝑒𝑛𝑑𝑠 𝑓𝑟𝑜𝑚 𝑅𝐴1 (𝑎𝑡 𝐶𝐷𝑚𝑖𝑛) 𝑡𝑜 ∞ (𝑎𝑡 𝐶𝐷1)

At the absolute centre,

RAdevice = RAminimal

Pri

stin

e Z

one

(R1)

Dam

aged r

egi

on

(R2)

A process-aware damage model

Ideal scenario of damage

Process-agnostic modelRealistic scenario of damage

Process-aware model

𝑅𝐴2 = (𝑅𝐴1 × 𝐴2 × 𝑅𝐴1+2)

( 𝐴1+2 × 𝑅𝐴1 − (𝐴1 × 𝑅𝐴1+2))

𝐴𝑡, 𝐴1+2 × 𝑅𝐴1 = 𝐴1 × 𝑅𝐴1+2 −−→ 𝑅𝐴2 = ∞

RA Trends in damaged region

Page 19: STT-MRAM technology for embedded applications

SIDDHARTH RAO SIDDHARTH RAO

Using PID quantification for process optimization

▪ 5 samples with varying main etch (ME) and over etch (OE) energies were compared.

▪ A gentler sidewall clean results in a more shallow penetration of the etch ions.

▪ Steeper TMR drop profile with decreasing OE energy also suggests lesser damage.

19

S5 S4 S340

60

80

100

120

I c, avg (

A)

5

6

7

8

Jc, avg (

MA

/cm

2)

Experimental results validate model!!

S. Rao et al., ICM 2018

Page 20: STT-MRAM technology for embedded applications

public20

Electrical validation on 1 Mbit STT-MRAM arrayOptimized process achieves 99.5% yield, 20% Ewrite reduction and 30% Vwrite reduction

At 200 MHz

R/W performance improvement

Tighter Vwrite distributions

-6

-5

-4

-3

-2

-1

0

1

2

0.1 1

LN(-

LN(1

-F))

Voltage (V)

-3

-2

-1

0

1

2

3

0 0.2 0.4 0.6

Pro

bit

VBD - Vsw (V)

0

25

50

0.8 1 1.2

β

VBD,63% (V)

BreakdownSwitching

0.2 0.4 0.6 0.8

No tail signature

with optimized etch!

Improved reliability

Optimized etch achieves targeted endurance specs

100 102 104 106 108 1010

Re

sis

tan

ce

(a

.u.)

Cycles

P

AP

-400 -200 0 200 400

0.0

0.3

0.6

0.9

TM

Rn

orm

aliz

ed (

a.u

.)

0Hext (mT)

Initial

Final

Random bit

S. Rao et al., IMW 2021

Page 21: STT-MRAM technology for embedded applications

public

Summary

▪ STT-MRAM technology – an ‘ideal’ NVM embedded memory solution

▪ Offers low leakage, low power, low write latency and is a scalable solution

▪ WER < 1 ppm and endurance > 1012 cycles demonstrated on prototype vehicles

▪ Currently available in market as eFLASH replacement for embedded applications

▪ For HPC such as LLC (eSRAM replacement), some challenges remain

▪ BEOL compatibility (400 C anneals) has been demonstrated in several recent reports

▪ Write power consumption remains higher than SRAM → breakthrough required in materials

▪ Write efficiency hampered by scaling; attributed to increasing patterning-induced damage

▪ Post-patterning treatments can enable improved device performance

▪ Need for more modelling insight into processes → ML-driven can be an interesting approach

▪ All major foundries now sampling STT-MRAM for HPC and LP applications!

21

STT-MRAM is a mature technology, but some challenges for widespread adoption remain

Page 22: STT-MRAM technology for embedded applications

22


Recommended