+ All Categories
Home > Documents > Term paper of ECE 201.doc 2003

Term paper of ECE 201.doc 2003

Date post: 08-Apr-2018
Category:
Upload: harendra86
View: 217 times
Download: 0 times
Share this document with a friend

of 16

Transcript
  • 8/7/2019 Term paper of ECE 201.doc 2003

    1/16

    Term paper of electronic device &

    circuit

    ECE :- 201

    TOPIC NAME:- VLSI TECHNOLOGY

    SUBMITED BY: - Harendra kumar

    ROOL NO :- M6903 a23

    REG NO:- 10904351

    Section M6903

    Submited to: - Amrinder Kaur

    Department of electracal &

    Electronic enegnering (LIT)

  • 8/7/2019 Term paper of ECE 201.doc 2003

    2/16

    ACKNOWLEDGEMENT

    While making this term paper I have left no stone unturned to make this term paper a

    successful one. It is hoped this both my teacher and classmates will find this term paper

    complete in itself.

    I express my thanks to all my colleagues for the helpful co-operation, in particular I

    would like to thank Lect. Amrinder kaur ECE 201, Lovely Professional University,

    Jalandhar, whos wiling co-operation have been of great help in development of this

    term paper.

    Although I have tried to make this term paper devoid of any mistakes and logical errors

    but still if you find any mistakes in it please let me know about it. I will be glad to know

    it because it is the suggestion of the elders and teachers which will serve as the most

    effective guidelines in affecting the improvements and making alternations for better.

    I dedicate this term paper to my teachers and my parents for their unstinted

    encouragement and support which will always inspired me in all my endeavours.

    My aim will be more than served if hopefully this approaches towards brevity,

    compactness and lucidity meets the requirement of the teacher.

    Harendra

  • 8/7/2019 Term paper of ECE 201.doc 2003

    3/16

    Contents

    Introduction about VLSI

    Very-large-scale integration

    What is VLSI?

    The VLSI design process

    Basic VLSI design

    Advanced tools for VLSI design

    Dealing with VLSI circuit

    History of VLSI Technology

    San Antonio, Texas

    Global expansion

  • 8/7/2019 Term paper of ECE 201.doc 2003

    4/16

    References

    Introduction about VLSI

    Very-large-scale integration

  • 8/7/2019 Term paper of ECE 201.doc 2003

    5/16

    Very-large-scale integration (VLSI) is theprocess of creating integrated circuits bycombining thousands of transistors into asingle chip. VLSI began in the 1970s whencomplex semiconductor and communication

    technologies were being developed. Themicroprocessor is a VLSI device. The termis no longer as common as it once was, aschips have increased in complexity intobillions of transistors.

    A VLSI integrated-circuit die

    The first semiconductor chips held twotransistors each. Subsequent advances addedmore and more transistors, and, as aconsequence, more individual functions orsystems were integrated over time. The firstintegrated circuits held only a few devices,perhaps as many as ten diodes, transistors,resistors and capacitors, making it possibleto fabricate one or more logic gates on asingle device. Now known retrospectively assmall-scale integration (SSI), improvementsin technique led to devices with hundreds oflogic gates, known as medium-scaleintegration (MSI). Further improvementsled to large-scale integration (LSI), i.e.systems with at least a thousand logic gates.Current technology has moved far past thismark and today's microprocessors havemany millions of gates and billions ofindividual transistors.

    At one time, there was an effort to name andcalibrate various levels of large-scaleintegration above VLSI. Terms like ultra-large-scale integration (ULSI) were used.But the huge number of gates and transistorsavailable on common devices has renderedsuch fine distinctions moot. Termssuggesting greater than VLSI levels of

    integration are no longer in widespread use.Even VLSI is now somewhat quaint, giventhe common assumption that allmicroprocessors are VLSI or better.

    As of early 2008, billion-transistorprocessors are commercially available. Thisis expected to become more commonplaceas semiconductor fabrication moves fromthe current generation of 65 nm processes tothe next 45 nm generations (whileexperiencing new challenges such asincreased variation across process corners).A notable example is Nvidia's 280 seriesGPU. This GPU is unique in the fact thatalmost all of its 1.4 billion transistors areused for logic, in contrast to the Itanium,whose large transistor count is largely due toits 24 MB L3 cache. Current designs, asopposed to the earliest devices, useextensive design automation and automatedlogic synthesis to lay out the transistors,enabling higher levels of complexity in theresulting logic functionality. Certain high-performance logic blocks like the SRAM(Static Random Access Memory) cell,however, are still designed by hand toensure the highest efficiency (sometimes bybending or breaking established design rules

    http://www.ask.com/wiki/45_nanometer?qsrc=3044http://www.ask.com/wiki/45_nanometer?qsrc=3044http://www.ask.com/wiki/45_nanometer?qsrc=3044http://www.ask.com/wiki/Nvidia?qsrc=3044http://www.ask.com/wiki/Nvidia?qsrc=3044http://www.ask.com/wiki/GPU?qsrc=3044http://en.wikipedia.org/wiki/File:Diopsis.jpghttp://www.ask.com/wiki/Nvidia?qsrc=3044http://www.ask.com/wiki/GPU?qsrc=3044http://www.ask.com/wiki/45_nanometer?qsrc=3044
  • 8/7/2019 Term paper of ECE 201.doc 2003

    6/16

    to obtain the last bit of performance bytrading stability)

    What is VLSI?

    VLSI stands for "Very Large ScaleIntegration". This is the field whichinvolves packing more and morelogic devices into smaller andsmaller areas.Thanks to VLSI,circuits that would have taken

    boardfuls of space can now be putinto a small space few millimetersacross! This has opened up a bigopportunity to do things that werenot possible before. VLSI circuitsare everywhere ... your computer,your car, your brand new state-of-the-art digital camera, the cell-phones, and what have you. All thisinvolves a lot of expertise on manyfronts within the same field, whichwe will look at in later sections.

    VLSI has been around for a longtime, there is nothing new aboutit ... but as a side effect ofadvances in the world ofcomputers, there has been a

    dramatic proliferation of tools thatcan be used to design VLSI circuits.Alongside, obeying Moore's law,the capability of an IC hasincreased exponentially over theyears, in terms of computation

    power, utilisation of available area,yield. The combined effect of thesetwo advances is that people cannow put diverse functionality intothe IC's, opening up new frontiers.Examples are embedded systems,where intelligent devices are putinside everyday objects, andubiquitous computing where smallcomputing devices proliferate tosuch an extent that even the shoesyou wear may actually dosomething useful like monitoringyour heartbeats! These two fieldsare kinda related, and getting intotheir description can easily lead toanother article.

    THE VLSI DESIGN PROCESS:-

    A typical digital design flow isas follows:

    SpecificationArchitectureRTL CodingRTL VerificationSynthesis

    BackendTape Out to Foundry to get endproduct.a

    wafer with repeated number ofidentical Ics.

  • 8/7/2019 Term paper of ECE 201.doc 2003

    7/16

    All modern digital designs startwith a designer writing a hardwaredescription of the IC (using HDL orHardware Description Language) inVerilog/VHDL. A Verilog or VHDL

    program essentially describes thehardware (logic gates, Flip-Flops,counters etc) and the interconnectof the circuit blocks and thefunctionality. Various CAD tools areavailable to synthesize a circuitbased on the HDL. The most widelyused synthesis tools come fromtwo CAD companies. Synposys andCadence.

    Without going into details, we cansay that the VHDL, can be called asthe "C" of the VLSI industry. VHDLstands for "VHSIC HardwareDefinition Language", where VHSICstands for "Very High Speed

    Integrated Circuit". This languagesis used to design the circuits at ahigh-level, in two ways. It caneither be a behavioural description,which describes what the circuit is

    supposed to do, or a structuraldescription, which describes whatthe circuit is made of. There areother languages for describingcircuits, such as Verilog, whichwork in a similar fashion.

    Both forms of description are thenused to generate a very low-leveldescription that actually spells outhow all this is to be fabricated on

    the silicon chips. This will result inthe manufacture of the intendedIC.

    A typical analog design flow is as follows:

    In case of analog design, the flowchanges somewhat.SpecificationsArchitectureCircuit DesignSPICE SimulationLayoutParametric Extraction / BackAnnotationFinal Design

    Tape Out to foundry.

    While digital design is highlyautomated now, very small portionof analog design can beautomated. There is a hardwaredescription language called AHDLbut is not widely used as it does

    not accurately give us thebehavioral model of the circuitbecause of the complexity of theeffects of parasitic on the analogbehavior of the circuit. Manyanalog chips are what are termedas flat or non-hierarchicaldesigns. This is true for smalltransistor count chips such as anoperational amplifier, or a filter or a

    power management chip. For morecomplex analog chips such as dataconverters, the design is done at atransistor level, building up to acell level, then a block level andthen integrated at a chip level. Notmany CAD tools are available foranalog design even today and thus

  • 8/7/2019 Term paper of ECE 201.doc 2003

    8/16

    analog design remains a difficultart. SPICE remains the most useful

    simulation tool for analog as wellas digital design.

    MOST OF TODAYS VLSI DESIGNS ARE CLASSIFIED

    INTO THREE CATEGORIES:-

    1. Analog:-Small transistor count precision circuits suchas Amplifiers, Data converters, filters, PhaseLocked Loops, Sensors etc.

    2. ASICS or Application Specific

    Integrated Circuits:

    Progress in the fabrication of IC's hasenabled us to create fast and powerfulcircuits in smaller and smaller devices. Thisalso means that we can pack a lot more offunctionality into the same area. The biggestapplication of this ability is found in thedesign of ASIC's. These are IC's that arecreated for specific purposes - each device is

    created to do a particular job, and do it well.The most common application area for thisis DSP - signal filters, image compression,etc. To go to extremes, consider the fact thatthe digital wristwatch normally consists of asingle IC doing all the time-keeping jobs aswell as extra features like games, calendar,etc.

    3. SoC or Systems on a chip:These are highly complex mixed signalcircuits (digital and analog all on the samechip). A network processor chip or awireless radio chip is an example of an SoC.

  • 8/7/2019 Term paper of ECE 201.doc 2003

    9/16

    Basic VLSI Design:-

  • 8/7/2019 Term paper of ECE 201.doc 2003

    10/16

  • 8/7/2019 Term paper of ECE 201.doc 2003

    11/16

    The P channel JFETs

    Schmatic Symbols

  • 8/7/2019 Term paper of ECE 201.doc 2003

    12/16

    Advanced tools for VLSI design

    A VLSI VL82C106 Super I/O chip.

    VLSI's design tools eventually included notonly design entry and simulation buteventually cell-based routing (chipcompiler), a datapath compiler, SRAM and

    ROM compilers, and a state machinecompiler. The tools were an integrateddesign solution for IC design and not justpoint tools, or more general purpose system

  • 8/7/2019 Term paper of ECE 201.doc 2003

    13/16

    tools. A designer could edit transistor-levelpolygons and/or logic schematics, then runDRC and LVS, extract parasitics from thelayout and run Spice simulation, then back-annotate the timing or gate size changes into

    the logic schematic database.Characterization tools were integrated togenerate FrameMaker Data Sheets forLibraries. VLSI eventually spun off theCAD and Library operation into CompassDesign Automation but it never reached IPObefore it was purchased by Avanti Corp.

    VLSI's physical design tools were criticalnot only to its ASIC business, but also insetting the bar for the commercial EDA

    industry. When VLSI and its main ASICcompetitor, LSI Logic, were establishing theASIC industry, commercially-available toolscould not deliver the productivity necessaryto support the physical design of hundredsof ASIC designs each year without thedeployment of a substantial number oflayout engineers. The companies'development of automated layout tools wasa rational "make because there's nothing tobuy" decision. The EDA industry finally

    caught up in the late 1980s when TangentSystems released its TanCell and TanGateproducts. In 1989, Tangent was acquired byCadence Design Systems (founded in 1988).

    Unfortunately, for all VLSI's initialcompetence in design tools, they were notleaders in semiconductor manufacturingtechnology. VLSI had not been timely indeveloping a 1.0 m manufacturing processas the rest of the industry moved to thatgeometry in the late 80s. VLSI entered along-term technology parthership withHitachi and finally released a 1.0 mprocess and cell library (actually more of a1.2 m library with a 1.0 m gate).

    As VLSI struggled to gain parity with therest of the industry in semiconductor

    technology, the design flow was movingrapidly to a Verilog HDL and synthesisflow. Cadence acquired Gateway, the leaderin Verilog hardware design language (HDL)and Synopsys was dominating the exploding

    field of design synthesis. As VLSI's toolswere being eclipsed, VLSI waited too longto open the tools up to other fabs andCompass Design Automation was never aviable competitor to industry leaders.

    Meanwhile, VLSI entered the merchant highspeed static RAM SRAM market as theyneeded a product to drive the semiconductorprocess technology development. All thelarge semiconductor companies built high

    speed SRAMs with cost structures VLSIcould never match. VLSI withdrew once itwas clear that the Hitachi processtechnology partnership was working.

    ARM Ltd was formed in 1990 as asemiconductor intellectual property licensor,backed by Acorn, Apple and VLSI. VLSIbecame a licensee of the powerful ARMprocessorand ARM finally fundedprocessor tools. Initial adoption of the ARM

    processor was slow. Few applications couldjustify the overhead of an embedded 32 bitprocessor. In fact, despite the addition offurther licensees, the ARM processorenjoyed little market success until theydeveloped the novel 'thumb' extensions.Ericsson adopted the ARM processor in aVLSI chipset for its GSM handset designs inthe early 1990s. It was the GSM boost that isthe foundation of ARM thecompany/technology that it is today.

    Only in PC chipsets, did VLSI dominate inthe early 90s. This product was developedby five engineers using the 'Megacells" inthe VLSI library that led to a business unit atVLSI that almost equaled its ASIC businessin revenue. VLSI eventually ceded themarket to Intel because Intel was able to

  • 8/7/2019 Term paper of ECE 201.doc 2003

    14/16

    package-sell its processors, chipsets, andeven board level products together.

    VLSI also had an early partnership withPMC, a design group that had been nurtured

    of British Columbia Bell. When PMCwanted to divest its semiconductorintellectual property venture, VLSI's bid wasbeaten by a creative deal by SierraSemiconductor. The telecom business unitmanagement at VLSI opted to go it alone.PMC Sierra became one of the mostimportant telecom ASSP vendors.

    Scientists and innovations from the 'designtechnology' part of VLSI found their way toCadence Design Systems (by way ofRedwood Design Automation). CompassDesign Automation (VLSI's CAD and

    Library spin-off) was sold to Avant!Corporation, which itself was acquired bySynopsys.

    DEALING WITH VLSI CIRCUITS:-

    Digital VLSI circuits are predominantlyCMOS based. The way normal blocks likelatches and gates are implemented isdifferent from what students have seen sofar, but the behaviour remains the same. All

    the miniaturisation involves new things toconsider. A lot of thought has to go intoactual implementations as well as design.Let us look at some of the factorsinvolved ...

    1. Circuit Delays. Large complicated circuitsrunning at very high frequencies have onebig problem to tackle - the problem ofdelays in propagation of signals throughgates and wires ... even for areas a few

    micrometers across! The operation speed isso large that as the delays add up, they canactually become comparable to the clockspeeds.

    2. Power. Another effect of high operationfrequencies is increased consumption ofpower. This has two-fold effect - devices

    consume batteries faster, and heatdissipation increases. Coupled with the factthat surface areas have decreased, heat posesa major threat to the stability of the circuititself.

    3. Layout. Laying out the circuitcomponents is task common to all branchesof electronics. Whats so special in our caseis that there are many possible ways to dothis; there can be multiple layers of differentmaterials on the same silicon, there can bedifferent arrangements of the smaller partsfor the same component and so on.

    The power dissipation and speed in a circuitpresent a trade-off; if we try to optimise onone, the other is affected. The choicebetween the two is determined by the waywe chose the layout the circuit components.Layout can also affect the fabrication ofVLSI chips, making it either easy or difficultto implement the components on the silicon.

  • 8/7/2019 Term paper of ECE 201.doc 2003

    15/16

    History of VLSI Technology

    VLSI Technology:- Inc was acompany which designed and manufacturedcustom and semi-custom ICs. The companywas based in Slicon valley, withheadquarters at 1109 McKay Drive in SanJose, California. Along with LSI Logic,VLSI Technology defined the leading edgeof the application-specific integrated circut(ASIC) business, which accelerated the pushof powerful embedded system intoaffordable products.

    The company was founded in 1979 by a trio

    from Fairchild Semiconductor by way ofSynertek - Jack Balletto, Dan Floyd, GunnarWetlesen - and by Doug Fairbairn of XeroxPARC and Lambda (later VLSI Design)magazine.

    Alfred J. Stein became the CEOof thecompany in 1982. Subsequently VLSI builtits first fab in San Jose; eventually a secondfab was built in

    San Antonio,Texas.

    VLSI had its initial public offering in 1983,and was listed on the stock marcket as(NASDAQ:VLSI).

    The company was later acquired by RayalPhilips and survives to this day as part ofNXP semiconductor.

    The original business plan was to be acontract wafer fabrication company, but the

    venture investors wanted the company todevelop IC design tools to help fill thefoundry.

    Thanks to its Caltech and UC Berkeleystudents, VLSI was an important pioneer inthe electronic design automation industry.It offered a sophisticated package of tools,originally based on the 'lambda-based'design style adcated by Carver Mead andLynn Conway.

    VLSI became an early vendor of standardcell (cell-based technology) to the merchant

    market in the early 80s where the otherASIC-focused company, LSI Logic, was aleader in gate arrays. Prior to VLSI's cell-based offering, the technology had beenprimarily available only within largevertically integrated companies withsemiconductor units such as AT&T andIBM.

    Global expansion

    VLSI maintained operations throughout theUSA, and in Britain, France, Germany,

    Italy, Japan, Singapore and Taiwan. One ofits key sites was in Tempe, Arizona, where a

  • 8/7/2019 Term paper of ECE 201.doc 2003

    16/16

    family of highly successful chipsets wasdeveloped for the IBM PC.

    In 1990, VLSI Technology, along withAcorn Computers and Apple Computerwere

    the founding investing partners in ARM Ltd.

    Ericsson of Sweden, after many years offruitful collaboration, was by 1998 VLSI'slargest customer, with annual revenue of$120 million. VLSI's datapath compiler(VDP) was the value-added differentiatorthat opened the door at Ericsson in 1987/8.The silicon revenue and GPM enabled by

    VDP must make it one of the mostsuccessful pieces of customer-configurable,non-memory silicon intellectual property(SIP) in the history of the industry. Withinthe Wireless Products division, based at

    Sophia-Antipolis in France, VLSI developeda range of algorithms and circuits for theGSM standard and for cordless standardssuch as the European DECT and theJapanese PHS. Stimulated by its growth andsuccess in the wireless handset IC area,Philips Electronics acquired VLSI in June1999, for about $1 billion. The formercomponents survive to this day as part ofPhilips spin-offNXP Semiconductors.

    References

    Basic corporate information on VLSI Technology

    Retrieved from "http://en.wikipedia.org/wiki/VLSI_Technology"

    Categories: Electronics companies of the United States | Companiesestablished in 1979 | Companies disestablished in 1999

    1. Analog VLSI Signal Processing: Why, Where and How?, Eric A.Vittoz, The Journalof VLSI Signal Processing, 1994

    http://www.business.com/directory/electronics_and_semiconductors/semiconductors/vlsi_technology,_inc/profile/http://www.business.com/directory/electronics_and_semiconductors/semiconductors/vlsi_technology,_inc/profile/http://en.wikipedia.org/wiki/VLSI_Technologyhttp://en.wikipedia.org/wiki/Special:Categorieshttp://en.wikipedia.org/wiki/Category:Electronics_companies_of_the_United_Stateshttp://en.wikipedia.org/wiki/Category:Companies_established_in_1979http://en.wikipedia.org/wiki/Category:Companies_established_in_1979http://en.wikipedia.org/wiki/Category:Companies_disestablished_in_1999http://www.ask.com/wiki/Very-large-scale_integration#cite_ref-0http://www.uio.no/studier/emner/matnat/ifi/INF5470/h08/undervisningsmateriale/Vittoz94b.pdfhttp://www.uio.no/studier/emner/matnat/ifi/INF5470/h08/undervisningsmateriale/Vittoz94b.pdfhttp://www.business.com/directory/electronics_and_semiconductors/semiconductors/vlsi_technology,_inc/profile/http://en.wikipedia.org/wiki/VLSI_Technologyhttp://en.wikipedia.org/wiki/Special:Categorieshttp://en.wikipedia.org/wiki/Category:Electronics_companies_of_the_United_Stateshttp://en.wikipedia.org/wiki/Category:Companies_established_in_1979http://en.wikipedia.org/wiki/Category:Companies_established_in_1979http://en.wikipedia.org/wiki/Category:Companies_disestablished_in_1999http://www.ask.com/wiki/Very-large-scale_integration#cite_ref-0http://www.uio.no/studier/emner/matnat/ifi/INF5470/h08/undervisningsmateriale/Vittoz94b.pdf

Recommended