+ All Categories
Home > Documents > VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio...

VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio...

Date post: 17-Dec-2015
Category:
Upload: alexander-merritt
View: 215 times
Download: 0 times
Share this document with a friend
25
VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004
Transcript
Page 1: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

VHDL Implementation for the SRC ALU

Edgar ArceMiguel GonzálezMiguel PadillaJosé F. Ocasio

December 15, 2004

Page 2: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

Outline

Introduction Overview Adder Register B interface ALU_hi Lowalu (1 bit)

Lowalu(10 bits) ALU ALU_System ALU_ADC Conclusions References Acknowledgements

Page 3: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

Introduction

Simple Risc Computer - architecture designed specially for educational purposes

Simple structure 32 bit CPU For INEL 4215 purposes, it has been simplified to

8 bits Simplified ALU executes SRC operations for 10

bits inputs Next - an implementation for the 10 bit SRC ALU

with an additional instruction called ADC

Page 4: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

OverviewGeneral diagram of the SRC ALU

Page 5: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 6: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 7: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 8: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 9: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 10: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 11: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 12: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 13: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.
Page 14: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence

Page 15: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence Cont.

Page 16: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence cont.

Page 17: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence cont.

Page 18: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence cont.

Page 19: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence cont.

Page 20: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence cont.

Page 21: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

ADC Sequence cont.

Page 22: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

Conclusions

Instruction C = B needs no additional circuitry to be implemented, it is made by no activating any signal.

ALU of 10bits instead of 8bits because of Program Counter.

Implemented ADDER not a ADDER_SUBSRACTOR, because an additional module is needed to implement a NOT function.

When a SHIFT function is called the ALU only shifts once because the Control Unit handles the counter of it.

Page 23: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

References

http://www.gmvhdl.com/VHDL.html http://www.isee.zju.edu.cn/hmx/asic

lab2000/lab3help/tutvhdl/tutvhdl.htm

http://www.wearcam.org/ece385/lectureflipflops/flipflops/

Computer Systems Design and Architecture, 2/E , Heuring, V. P. y Jordan, H. F., Prentice Hall, 2004.

Page 24: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

Acknowledgements

To God. Always helpful Google. Buhos Society To the Buho VHDL Sensei Marcos

Mejias. To the lobby’s benches for giving us

a place to sleep. To Chori for supplying us with

healthy food at 3:00am.

Page 25: VHDL Implementation for the SRC ALU Edgar Arce Miguel González Miguel Padilla José F. Ocasio December 15, 2004.

Recommended