+ All Categories
Home > Documents > VHDL · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL...

VHDL · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL...

Date post: 06-Feb-2018
Category:
Upload: vungoc
View: 225 times
Download: 1 times
Share this document with a friend
26
1 VHDL Overview A Quick Start Tutorial 2 What does VHDL stand for ? z VHSIC Hardware Description Language z VHSIC: Very High Speed Integrated Circuits
Transcript
Page 1: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

1

1

VHDL Overview

A Quick Start Tutorial

2

What does VHDL stand for ?

VHSIC Hardware Description Language

VHSIC: Very High Speed Integrated Circuits

Page 2: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

2

3

HDLs

VHDLUSA Department of DefenseIEEE Std 1076-1993VerilogIEEE Std 1364-1995Super VerilogSystemC…

4

HDL applications

High Level Modeling (Behavioral style)Design Entry (Structural & RTL styles)Simulation (Behavioral style)

validation by mean of a test bench

generate stimuli

observeresponses

instantiatedesign to

test

dut.vhd

TESTBENCH

dut_tb.vhd

Page 3: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

3

5

HDL vs. Schematic Entry

The Design Description is independent from the IC Vendors Cell Libraries (in other words independent from physical implementation)

Enable portability Foster reuse

Higher Level of Abstraction (hiding details)The design task become simplerThe design is less error proneProductivity is increased

6

HDLs vs. Software Languages

Concurrent (parallel) Statements vs.

Sequential Statements

Page 4: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

4

7

HDL coding Styles

Register Transfer LevelStructuralBehavioral

Be careful NOT everybody givesthe same meaning to the term BEHAVIORAL !

8

RTL

Only a small subset of the Language statements can be mapped in real “Silicon”.

translationHDL code

generic technology

unoptimizedgenericboolean netlist optimization

& mapping

target technology

area and timing

constraints

optimizedgate level netlist

SYNTHESIS

Page 5: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

5

9

Structural

Sub-Modules interconnectionPrimitive cells interconnection (net-list)The code describes a bunch of port mappings.

10

Behavioral

Modeling a system (mimic functionality and performances)All language constructs can be used

Page 6: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

6

11

Levels of Abstraction

Behavioral

RTL

Structural

12

VHDL Design Organization

Entitythe “symbol” (input/output ports)Architectureone of the several possible implementation of the designConfigurationbinding between the symbol and one of the many possible implementation. Can be used to express hierarchy.

Page 7: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

7

13

Entity

ABS

F

MUXentity mux isport (

a: in std_logic;b: in std_logic;s: in std_logic;f: out std_logic

)end mux;

14

Architecture #1

architecture first_rtl of mux isbeginmux_p: process (a,b,s) begin

f <= (a and s) or (b and not s);end process mux_p;

end first_rtl;

Page 8: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

8

15

Architecture #2

architecture rtl of mux is begin

mux_p: process (a,b,s) begin

if (s=‘1’) thenf <= a;

elsef <= b;

end if; end process mux_p;

end rtl;

16

Configuration

configuration mux_c of mux isfor rtlend for;

end mux_c;

Page 9: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

9

17

Where did we get std_logic ?

Ohps !! We need to include some library before we can use this predefined data type

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;

18

Predefined data types

bit: ‘0’ , ‘1’boolean: false, trueinteger: from negative 231-1 to positive 231-1std_ulogic: ‘1’,’0’,’H’,’L’,’X’,’U’,’Z’,’-’,’W’std_logic: ‘1’,’0’,’H’,’L’,’X’,’U’,’Z’,’-’,’W’

Page 10: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

10

19

std_logic, and std_ulogic

‘1’, ’0’, ’X’ logic 1, logic 0, unknown‘H’, ’L’, ’W’ weak 1,

weak 0, weak unknown

‘U’, ‘Z’, ‘-’ uninitialized, high impedance,don’t care

20

resolved or unresolved ?

VHDL Driver – it is one contributor to the final value of a signalDrivers are created by concurrent signal assignments Recommendation: use std_logic, but always check that you do not have any multiple drivers (you don’t want any wired OR inside an ASIC !!!)

Page 11: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

11

21

Bad Multiple Drivers!!!

architecture bad of mux isbegin-- the two assignment works in parallelf <= a when s = ‘0’ else ‘0’;f <= b when s = ‘1’ else ‘0’;end bad;

22

Better way of coding the mux

architecture better of mux isbeginf <= a when s = ‘0’ elsef <= b when s = ‘1’ else ‘X’; -- what should the synthesis tool do here ?

end better;

Page 12: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

12

23

One more coding for the mux

architecture even_better of mux isbeginf <= a when s = ‘0’ elsef <= b when s = ‘1’ else ‘-’; -- there are tools that won’t appreciate all this

-- freedom (e.g. some formal verification tool)

end even_better;

24

Good way of coding the mux

architecture good of mux isbeginf <= a when s = ‘0’ elsef <= b; -- here all ambiguity are gone !!!

end good;

Page 13: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

13

25

What is a process ?

A process statement is a concurrent statement, but all statements contained in it are sequential statement (statements that executes serially, one after the other).The use of processes makes your code more modular, more readable, and allows you to separate combinational logic from sequential logic.

26

The sensitivity list

List of all signals that the process is sensitive to. Sensitive means that a change in the value of these signals will cause the process to be invoked.

Page 14: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

14

27

The sensitivity list must be complete !!!

process (a)variable a_or_b;begina_or_b := a or b;z <= a_or_b;

end process;

-- since b is not in the-- sensitivity list, when-- a change occurs on b-- the process is not-- invoked, so the value-- of z is not updated-- (still “remembering” the -- old value of z)

28

Incomplete sensitivity list effect

a

b

z (VHDL)

z (gate level)

Page 15: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

15

29

What to put in sensitivity list ?

All signals you do a test on and all signals that are on the right side of an assignment.In other words all the signals you are “reading”in the valueDon’t read and write a signal at the same time !!!

30

Object Types

ConstantsSignalsVariables

Page 16: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

16

31

Constant

It is just a name for a value.reset_c := ‘0’; bus_width_c := 32;

- a better documented design. - it is easier to update the design.- But do not exaggerate !!!(since you have to remember all these names you defined)

32

Signals

It is a physical signal (you can think of it like a piece of wire)It is possible to define global signals (signals that can be shared among entities)But more often signals are just locally defined for a given architecture A signal assignment takes effect only after a certain delay (the smallest possible delay is called a “delta time”).

Page 17: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

17

33

Variables

It is a used as a local storage mechanism, visible only inside a processAll assignment to variables are scheduled immediately

34

Signals vs. Variables

Signals assignments are scheduled after a certain delay δ

Variables assignments happen immediately, there is no delay

Page 18: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

18

35

Delta Time

architecture rtl of logic issignal a_or_b : std_logic; begina_or_b <= a or b; -- a_or_b is scheduled @ t+∆

z <= a_or_b and c; -- z is scheduled @ t+2∆

end rtl;

36

Bad example !!!

architecture bad of logic issignal a_or_b : std_logic;

beginlogic_p: process(a,b,c)begina_or_b <= a or b; z <= a_or_b and c;

end process;end bad;

Do not “read” and “write”a signal at the same time !!!

write

read

Page 19: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

19

37

How to fix the bad example

architecture good of logic isvariable a_or_b : std_logic;

beginlogic_p: process(a,b,c)begina_or_b := a or b; z <= a_or_b and c;

end process;end good;

38

Packages

Packages offers a mechanism to globally define and share values, types, components, functions and procedures that are commonly used.

package declaration and package body

Page 20: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

20

39

Subprograms

Procedures can return more than one value (they can have both input and output parameters)

Functions return always just one value (can have only input parameters)Example: conversion functions, resolution functions, …

40

Attributes

Info attached to VHDL objectsSome predefined attributes:‘left the leftmost value of a type‘right‘high the greatest value of a type‘low‘length the number of elements in an array‘event a change on a signal or variable‘range the range of the elements of an array object

Page 21: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

21

41

Generic

parameter that pass information to an entity

entity adder isgeneric (width: integer := 5);port (

in_a : std_logic_vector(width-1 downto 0);in_b : std_logic_vector(width-1 downto 0);z : std_logic_vector(width-1 downto 0);carry: std_logic)

);end entity adder;

The initialization value is optional

42

Component (socket mechanism)

Declare the name and interface of a “sub-unit”, to be used in the current level of design hierarchy.

component addergeneric (width : integer := 5)port (

in_a, in_b: in std_logic_vector;z : std_logic_vector; carry: std_logic);

end component;

adder

adderinstance #1

adderinstance #2

Page 22: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

22

43

Example: a 7 bit adder (with bugs ☺)

4 bits

3 bitsa(6:4)

b(6:4)

z(6:0)

c

• big-adder-struct.vhd• adder-rtl.vhd

a(3:0)b(3:0)

44

adder-rtl.vhd---- author: Claudio Talarico-- file: adder-rtl.vhd-- comment: example of how to use generics and components --

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;

entity adder isgeneric (width : integer := 2);port ( a : in std_logic_vector(width-1 downto 0);

b : in std_logic_vector(width-1 downto 0);c : out std_logic; --carryz : out std_logic_vector(width-1 downto 0)

);end adder;

architecture rtl of adder isbegin

adder_p: process (a,b)variable a_v, b_v : unsigned(a'range); -- use of attributes variable z_v : unsigned(z'length downto z'low);

begina_v := unsigned(a); -- type castingb_v := unsigned(b);z_v := a_v + b_v;z <= std_logic_vector(z_v(width-1 downto 0)); -- type castingc <= z_v(width);

end process adder_p;

end rtl;

Page 23: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

23

45

big-adder-struct.vhd---- author: Claudio Talarico-- file: big-adder-struct.vhd-- comment: example of how to use generics and components--

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;

entity big_adder isport ( a : in std_logic_vector(6 downto 0);

b : in std_logic_vector(6 downto 0);c : out std_logic; --carryoutz : out std_logic_vector(6 downto 0)

);end big_adder;

architecture struct of big_adder iscomponent adder

generic( width : integer := 3); -- if there is more than one generic the separator is ;port ( a : in std_logic_vector(width-1 downto 0);

b : in std_logic_vector(width-1 downto 0);c : out std_logic; --carryz : out std_logic_vector(width-1 downto 0)

);end component;

-- signal declaration -- It would be better to use the same names of the componentssignal a_in : std_logic_vector(width-1 downto 0);signal b_in : std_logic_vector(width-1 downto 0);signal z_out: std_logic_vector(width-1 downto 0);signal c_l: std_logic; -- carryout lowsignal c_h: std_logic; -- carryout high

-- CONTINUE on NEXT PAGE

46

big-adder-struct.vhd

-- CONTINUE FROM PREVIOUS PAGE

begin

inst_add_l: adder -- low addergeneric map (width => 4) -- if there is more than one generic the separator is ,port map ( a => a_in(3 downto 0),

b => b_in(3 downto 0),z => z_out(3 downto 0),c => c_l

);

inst_add_h: adder -- high addergeneric map (width => 3) -- if there is more than one generic the separator is ,port map ( a => a_in(6 downto 4),

b => b_in(6 downto 4),z => z_out(4 downto 4),c => c_h

);

-- dummy assignmentc <= c_h;

end struct;

Page 24: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

24

47

ASSERT statement

The ASSERT checks a boolean expression and if the value is true does nothing, else will output a text string to std output.It can have different severity levels:NOTE, WARNING, ERROR, FAILURE

ASSERT falseREPORT “End of TestBench”SEVERITY ERROR;

48

COMPLEX TYPES:

enumerated typesTYPE color is (red, blue, yellow, green)

ARRAYTYPE dbus is ARRAY (31 downto 0) of std_logic

Page 25: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

25

49

COMPLEX TYPES:

RECORD

TYPE instruction isRECORDopcode: integer;src: integer;dest: integer;

END RECORD

50

COMPLEX TYPES:

FILE

TYPE ram_data_file_t IS FILE OF INTEGER;FILE ram_data_file : ram_data_file_t IS IN“/claudio/vhdl/tb/ram.txt”

Page 26: VHDL  · PDF fileVHDL Overview A Quick Start ... SYNTHESIS. 5 9 Structural ... Behavioral RTL Structural 12 VHDL Design Organization zEntity the “symbol” (input/output

26

51

More on FILEs

use std.textio.all;READ, WRITE, READLINE, WRITELINE, ENDFILE, …

52

Advanced Topics

VHDL supports overloading


Recommended