+ All Categories
Home > Documents > Block copolymer lithography: Feature size control and extension by an over-etch technique

Block copolymer lithography: Feature size control and extension by an over-etch technique

Date post: 29-Nov-2023
Category:
Upload: independent
View: 0 times
Download: 0 times
Share this document with a friend
6
Block copolymer lithography: Feature size control and extension by an over-etch technique Sozaraj Rasappa a, b, c , Dipu Borah a, b, c , Ramsankar Senthamaraikannan a, b , Colm C. Faulkner b , Matthew T. Shaw a, b, c, d , Peter Gleeson b, d , Justin D. Holmes a, b, c , Michael A. Morris a, b, c, a Materials Section, Department of Chemistry, University College Cork, Cork, Ireland b Centre for Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin, Ireland c Tyndall National Institute, Cork, Ireland d Intel Ireland, Leixlip, Co. Kildare, Ireland abstract article info Article history: Received 9 March 2012 Received in revised form 4 September 2012 Accepted 5 September 2012 Available online xxxx Keywords: Self-assembly Polystyrenebpolymethylmethacrylate Block copolymer Lithography Plasma etching Over-etching Silicon nanowires Block copolymer lithography based on block copolymer (BCP) self-assembly can be used to develop soft mask nanoscale templates for subsequent pattern transfer to generate substrate features. Self-assembly of lamellar polystyrene-b-polymethylmethacrylate BCP of varying molecular weights to generate silicon nanoscale fea- tures is reported here. It has also been demonstrated that the feature size can be controlled by a plasma over-etch process and discussed. © 2012 Elsevier B.V. All rights reserved. 1. Introduction Device miniaturisation, as described by Moore's Law, has driven the semiconductor industry for several decades [1,2]. The increase of transistor density seen over the last forty years has been largely achieved by ultra-violet photolithography but other patterning tech- niques are becoming potentially important as transistor sizes reach deep into the nanoscale [3]. In recent years, an emerging form of li- thography based on the self-assembly (via microphase separation) of block copolymers (BCPs) has been investigated as a means to gen- erate sub10 nm feature sizes at relatively low cost avoiding the use of highly expensive light sources [46]. Substrate features are formed via either a templating mechanism (selective lling/replacement of one block) [7] or where the BCP pattern is transferred to the substrate by means of etch techniques [3,811]. Pattern transfer involves a se- lective etch to remove one block and subsequently using the remaining polymer as an etch mask in a second etch process [11]. Al- though, recent work has shown that the methodology can be inte- grated into a device fabrication manufacturing process [12], this work is its infancy and little critical assessment of the pattern transfer process has been made. This paper seeks to provide data in properly validating the pattern transfer methodology and centres on the chal- lenge of creating sub10 nm feature size patterns [6,9,13,14]. Feature size in these systems is dened by the polymer chain length but below a critical dimension, the enthalpic driving force is not high enough to counter the entropic resistance to pattern formation and, in effect, each BCP system has a limiting lower feature size [9,13,15]. Further, the enthalpic force needs to be as large as possible to main- tain low defect densities which are necessary for use in device fabrication. Here, we report the use of nanoscale BCP patterns of polystyrene-b- polymethylmethacrylate (PS-b-PMMA) as soft masks for the generation of substrate features. Control of feature size is shown and also that an over-etch processcan be used to generate feature sizes below that of the original polymer patterns. This work emphasises that whilst BCP nanolithography is promising, there are signicant barriers to be over- come if this is to be a patterning technique for industrial application. 2. Experimental details Silicon wafers were cleaned in a piranha solution (90 °C/60 h), rinsed with deionised water and dried under N 2 . A hydroxy-terminated random copolymer brush (PS-r-PMMA, ex-Polymer Source, Inc., Canada) solution of 1.0 wt.% in toluene was spin-coated onto silicon wafers at 3000 rpm Thin Solid Films xxx (2012) xxxxxx Corresponding author at: Materials Section, Department of Chemistry, University College Cork, Cork, Ireland. Tel.: +353 214902180; fax: +353 214274097. E-mail address: [email protected] (M.A. Morris). TSF-31006; No of Pages 6 0040-6090/$ see front matter © 2012 Elsevier B.V. All rights reserved. http://dx.doi.org/10.1016/j.tsf.2012.09.017 Contents lists available at SciVerse ScienceDirect Thin Solid Films journal homepage: www.elsevier.com/locate/tsf Please cite this article as: S. Rasappa, et al., Thin Solid Films (2012), http://dx.doi.org/10.1016/j.tsf.2012.09.017
Transcript

Thin Solid Films xxx (2012) xxx–xxx

TSF-31006; No of Pages 6

Contents lists available at SciVerse ScienceDirect

Thin Solid Films

j ourna l homepage: www.e lsev ie r .com/ locate / ts f

Block copolymer lithography: Feature size control and extension by anover-etch technique

Sozaraj Rasappa a,b,c, Dipu Borah a,b,c, Ramsankar Senthamaraikannan a,b, Colm C. Faulkner b,Matthew T. Shaw a,b,c,d, Peter Gleeson b,d, Justin D. Holmes a,b,c, Michael A. Morris a,b,c,⁎a Materials Section, Department of Chemistry, University College Cork, Cork, Irelandb Centre for Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin, Irelandc Tyndall National Institute, Cork, Irelandd Intel Ireland, Leixlip, Co. Kildare, Ireland

⁎ Corresponding author at: Materials Section, DepartmCollege Cork, Cork, Ireland. Tel.: +353 214902180; fax:

E-mail address: [email protected] (M.A. Morris).

0040-6090/$ – see front matter © 2012 Elsevier B.V. Allhttp://dx.doi.org/10.1016/j.tsf.2012.09.017

Please cite this article as: S. Rasappa, et al.,

a b s t r a c t

a r t i c l e i n f o

Article history:Received 9 March 2012Received in revised form 4 September 2012Accepted 5 September 2012Available online xxxx

Keywords:Self-assemblyPolystyrene‐b‐polymethylmethacrylateBlock copolymerLithographyPlasma etchingOver-etchingSilicon nanowires

Block copolymer lithography based on block copolymer (BCP) self-assembly can be used to develop soft masknanoscale templates for subsequent pattern transfer to generate substrate features. Self-assembly of lamellarpolystyrene-b-polymethylmethacrylate BCP of varying molecular weights to generate silicon nanoscale fea-tures is reported here. It has also been demonstrated that the feature size can be controlled by a plasmaover-etch process and discussed.

© 2012 Elsevier B.V. All rights reserved.

1. Introduction

Device miniaturisation, as described by Moore's Law, has driventhe semiconductor industry for several decades [1,2]. The increaseof transistor density seen over the last forty years has been largelyachieved by ultra-violet photolithography but other patterning tech-niques are becoming potentially important as transistor sizes reachdeep into the nanoscale [3]. In recent years, an emerging form of li-thography based on the self-assembly (via microphase separation)of block copolymers (BCPs) has been investigated as a means to gen-erate sub‐10 nm feature sizes at relatively low cost avoiding the useof highly expensive light sources [4–6]. Substrate features are formedvia either a templating mechanism (selective filling/replacement ofone block) [7] or where the BCP pattern is transferred to the substrateby means of etch techniques [3,8–11]. Pattern transfer involves a se-lective etch to remove one block and subsequently using theremaining polymer as an etch mask in a second etch process [11]. Al-though, recent work has shown that the methodology can be inte-grated into a device fabrication manufacturing process [12], thiswork is its infancy and little critical assessment of the pattern transfer

ent of Chemistry, University+353 214274097.

rights reserved.

Thin Solid Films (2012), http

process has been made. This paper seeks to provide data in properlyvalidating the pattern transfer methodology and centres on the chal-lenge of creating sub‐10 nm feature size patterns [6,9,13,14]. Featuresize in these systems is defined by the polymer chain length butbelow a critical dimension, the enthalpic driving force is not highenough to counter the entropic resistance to pattern formation and,in effect, each BCP system has a limiting lower feature size [9,13,15].Further, the enthalpic force needs to be as large as possible to main-tain low defect densities which are necessary for use in devicefabrication.

Here, we report the use of nanoscale BCP patterns of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) as softmasks for the generationof substrate features. Control of feature size is shown and also that an‘over-etch process’ can be used to generate feature sizes below that ofthe original polymer patterns. This work emphasises that whilst BCPnanolithography is promising, there are significant barriers to be over-come if this is to be a patterning technique for industrial application.

2. Experimental details

Siliconwaferswere cleaned in a piranha solution (90 °C/60 h), rinsedwith deionisedwater and dried underN2. A hydroxy-terminated randomcopolymer brush (PS-r-PMMA, ex-Polymer Source, Inc., Canada) solutionof 1.0 wt.% in toluene was spin-coated onto silicon wafers at 3000 rpm

://dx.doi.org/10.1016/j.tsf.2012.09.017

Table 1Characteristics of hydroxyl-terminated random copolymer and diblock copolymerscomposed of styrene and methylmethacrylate. Mw is the molecular weight.

Mw (g mol−1) Designation PolydispersityMw/Mn

Molefraction PS

Pitch size(nm)

Si featuresize (nm)

12,400 PS-r-PMMA 1.25 0.58 – –

36,000 18k–18k 1.07 0.46 29.5 7.574,000 37k–37k 1.07 0.49 51 17104,000 52k–52k 1.09 0.49 65 27176,000 85k–91k 1.12 0.47 89 35

2 S. Rasappa et al. / Thin Solid Films xxx (2012) xxx–xxx

for 30 s. The substrates were annealed in vacuum at 170 °C. Unboundpolymers were removed by sonication in toluene. Subsequently,PS-b-PMMA (Polymer Source, Inc., Canada, summarised in Table 1)solutions of 1.0 wt.% in toluene were similarly spin-coated onto thebrush anchored surfaces before annealing at 180 °C under vacuumfor 4 h to induce microphase separation. Pattern transfer wasachieved in three etch steps (using an OIPT Plasmalab System 100 ICP180 etch tool). Selective PMMA removal was achieved using an Ar/O2

etch recipe at 1.3 Pa and 100 W with O2 (15 sccm) and Ar (5 sccm)for 6 s. An inductively coupled plasma silicon etch was then carriedout at 2.0 Pa and 500 W with SF6 (15 sccm) and CHF3 (80 sccm) forvarious periods to transfer the PS structure to the substrate. RemainingPS was removed using an O2 ash recipe at 2.0 Pa and 2000 W with30 sccm O2 for 10 s.

Polymer film thickness was determined by ellipsometry (PlasmosSD2000 Ellipsometer). The measurements were performed at 65° in-cidence angle with spectral range from 400 to 800 nm and the thick-ness data were obtained from least square fits to the spectral data ofthe ellipsometer. Top down scanning electron microscope (SEM) im-ages were collected using a Field Emission Zeiss Ultra Plus-Scanning in-strument with a Gemini® column at an accelerating voltage of 5 kV.SEM cross-sections were prepared using a FEI Strata 235-Focused IonBeam(FIB) tool. Platinumwase-beamdeposited at the substrates duringmilling. A Zeiss Ultra Plus-SEMwas used for imaging. Transmission elec-tron microscope (TEM) lamella specimens were prepared by the ZeissAuriga-Focused Ion Beam and were analysed by FEI Titan-TransmissionElectron Microscope operating at an accelerating voltage of 130 kV.

e-beam Pt cap

Bulk Si

Brush layer ~5 nm

5 nm

A

B

Fig. 1. Top-down SEM data for various PS-b-PMMA BCPs. A=18k–18k, B=37k–37k, C=cross-section revealing the homogeneity of the brush layer. The lower layer is bulk silicon

Please cite this article as: S. Rasappa, et al., Thin Solid Films (2012), htt

3. Results and discussions

Vertical alignment of the PS and PMMA blocks can only beachieved after deposition of a ‘neutral’ brush layer that ensures thatboth blocks interact equally with the surface [8,16]. Neutrality isachieved using a random copolymer brush (PS-r-PMMA) with termi-nal hydroxyl groups to allow chemical bonding to the silicon sub-strate surface (via terminal silanol groups). A TEM cross-sectionimage shown in Fig. 1A (inset) shows the good uniformity and cover-age (~100% of the substrate surface) of the methodology used here.The brush layer thickness was measured at 5–6 nm. Polystyreneand polymethylmethacrylate adopt a random coil structure and aremodelled by bh2>0=Mw∗0.43 and bh2>0=Mw∗0.42 respectively,where bh2>0 is the mean-square end-to-end distance of the polymercoil and Mw is the molecular weight [17]. The random coil size can beestimated at about 7 nm which is in reasonable agreement with themeasured brush layer thickness since some surface strain/relaxationin the thin film is expected. This would suggest that a completemonolayer of polymer molecules is formed from the brush procedureused here and provides an excellent surface for BCP deposition.

Deposition of the various symmetric PS-b-PMMABCPs (Table 1) andvacuum annealing produces well defined lamellar structures over theentire substrate surface as also shown in Fig. 1(A–D). Polymers are indi-cated using the designation “molecular weight (PS)–molecular weight(PMMA)” so 18k–18k is a symmetric system with both blocks havinga molecular weight of 18,000 g mol−1. The polymers are described inTable 1with 18k–18k representing the polymer giving the smallest fea-ture size and this is around the minimum value expected forPS-b-PMMA BCP [18]. The patterns formed here are similar to previousreports [3,18]. The top down SEM images provided are after a brief se-lective PMMA etch to provide image contrast. The decrease in domainsize as a function of molecular weight is clear and is described in Fig. 1and Table 1. Domain (or pitch) size here is taken as the distance be-tween two similar blocks in the pattern whilst feature size is thewidth of a single line. In Fig. 2, the pitch size is plotted as (Mw)0.65 andgood agreement is found between measured data and that calculatedfrom pitch size=0.36 Mw

0.65 in accordance with expectations for Gauss-ian chain statistics and suggests a random coil structure within theblocks [19]. Note that pitch sizes are estimated from centre-to-centredistances and are averaged over 10 domain spacings.

C

D

52k–52k and D=85k–91k. Each scale bar shown is 200 nm. Inset to (A) is a TEMand the upper layer is evaporated Pt to protect the polymer film.

p://dx.doi.org/10.1016/j.tsf.2012.09.017

Fig. 2. Calculated (▲) and measured (■) domains (or pitch size) as a function of molec-ular weight. See text for details.

3S. Rasappa et al. / Thin Solid Films xxx (2012) xxx–xxx

It should be noted that there is a distinct difference in the 18k–18ksystem and that of the other BCP systems, with much greater unidi-rectional alignment of the pattern for the lower molecular weightsystem. This was routinely observed and is contrary to what mightbe expected in terms of the decreased interaction parameter expectedat lower molecular weight. We tentatively suggest the additional or-dering may be due to greater molecular motion expected whichmay allow the minimum energy conformation to be achieved duringannealing.

These nanoscale templates can be used as soft mask to transfer thepattern to the underlying silicon and provide nanowire structures atthe substrate surface as detailed above. The selective PMMA etch rec-ipe has been optimised by using PS and PMMA homopolymers havingmolecular weights corresponding to the molecular weights of the PS

A

D

50 nm

5 nm

B

E

Fig. 3. Cross-section TEM of silicon nanowires formed by PS-b-PMMA nanopattern transferperiods of 9, 17 and 21 s respectively. Panel D shows an expansion of the data shown in A anin these features. Panel F shows a small area electron diffraction pattern of a single nanowi

Please cite this article as: S. Rasappa, et al., Thin Solid Films (2012), http

and PMMA blocks of the diblock copolymers as reported elsewhere[3,8]. The feature size of the PS lines generated in this way is abouthalf that of the domain size at 46, 34, 27 and 11.5 nm (see Table 1for explicit comparison) from the 85k–91k through the 18k–18k sys-tem, respectively.

A silicon etch is then used to transfer the line pattern to the sub-strate. Illustrative data are provided for the 85k–91k and the 18k–18k (Figs. 3 and 4, respectively). For all systems, an etch time ofabout 9 s was found to be optimum for pattern transfer providingbest quality features (i.e. images show little sign of damage and theaspect ratio of the silicon nanowires formed is the highest possiblevalue). Note in particular in these figures that the silicon featuresobtained by etching show high crystallinity and the lattice planes ob-served, extend continuously from the substrate into the feature. Inthis way, there is no evidence of defect inclusion or amorphous regionproduction as a result of the pattern transfer process. The nanowiresize should be around that of the PS line width (since this is abouthalf the pitch size) assuming ideal pattern transfer. This was not ob-served, and the actual size of the nanowires produced was alwaysless than half pitch as shown in Table 1. Note, that all widths are mea-sured as a full-width at half-maximum-height and an average of 10nanowires. The degree of isotropic etching appears to increase asthe molecular weight of the BCP increases and, hence, the featuresize is reduced compared to the original polymer pattern. This canbe seen directly in Table 1 from the ratio of the measured siliconwidth to that calculated from the difference between the pitch andthe PS width. This ratio varies from ~0.9 (81k–95k) to 0.33 (18k–18k) across the systems investigated here. This appears to be largelygeometrical in nature and derives from the shape of the PS featuresthat remain after PMMA removal. Typical examples of the PS maskline shapes that result from a selective PMMA etch are shown in

50 nm

5 nm

C

F

500 nm

red into the substrate. Panels A, B, and C show features formed after a silicon etch ford E shows a high resolution image of the same showing the silicon lattice planes presentre feature also illustrating the crystallinity of the etched materials.

://dx.doi.org/10.1016/j.tsf.2012.09.017

A

C

10 nm

20 nm

B

D

110 nm

2 nm

Fig. 4. Cross-section TEM of silicon nanowires formed by PS-b-PMMA nanopattern transferred into the substrate. Panels A, B, and C show features formed after a silicon etch forperiods of 9, 17 and 21 s respectively. Panel D shows a high resolution image of the same showing the silicon lattice planes present in these features. Note the triangular featureshape compared to that shown in Fig. 3. The sidewall slope is approximately the same for pattern sizes suggesting similar etch process and material variables.

4 S. Rasappa et al. / Thin Solid Films xxx (2012) xxx–xxx

Fig. 5A to C. The PS line shapes are rounded with sloping edges andthis resist mask shape is effectively transferred to the substrate dur-ing silicon etching and this has a more dramatic effect on narrowstructures forming proportionally larger gaps between structuresand more obviously non-rectangular feature cross-sections. This is il-lustrated schematically in Fig. 5D and E.

One of the major limitations of this sort of block copolymer lithog-raphy is that the feature size is determined by the molecular weightof the polymer blocks. Below a critical molecular weight (as detailedabove), microphase separation into well-ordered patterns cannotoccur and, effectively, a minimum feature size exists [20]. For thePS-b-PMMA system, phase separation at molecular weights signifi-cantly less than 18k–18k has not been observed and so feature sizesmuch less than 10 nm are not realisable without polymer engineer-ing. Note, that other BCP systems may generate smaller feature sizes[21] but may lack the integratability of the PS-b-PMMA system wherepolymers are well-known resists in conventional UV- lithography. Analternative methodology to reduce feature size might be realised byusing etch conditions that allow isotropic etching of the silicon features.This form of over-etching was explored here. Illustrative examples ofsuch over-etching are displayed in Fig. 6A–D (top-down SEM) andFigs. 2 and 3 (cross-section TEM data). The line profile in Fig. 6shows a plot of the measured silicon feature size as a function ofthe etch time estimated from TEM cross-sections (an average of atleast 8 individual features). The exception is the data at 0 s whichwas estimated from Atomic Force Microscope images (the PS linewidth). It can be seen that even the 9 s etch period considerablyreduces the feature size below that of the PS line width confirmingthe suggestion made above that the etching has a significant aniso-tropic component. For further etching (17 s), the silicon lines

Please cite this article as: S. Rasappa, et al., Thin Solid Films (2012), htt

or nanowires prepared via pattern transfer from larger molecularweight block copolymers (85k–91k to 37k–37k) reduce in dimen-sion but, with the exception of the 85k–91k system, further etchingdoes not reduce the feature size below a limiting value of about7–9 nm and indeed the feature size apparently increases at the lon-gest etch periods.

The reason for the limiting value of feature size can be seen inFigs. 3 and 4. At larger widths, the over etch process apparently pro-gressively decreases feature width as well as feature height and thisis because the feature has approximately perpendicular sidewallswith the feature width at the top and bottom having a similar value.However, for the lower molecular weight systems (see Fig. 4), the sil-icon features have a Gaussian like profile and as the features decreasein height, the width at half-maximum-height will tend to increase.The thinning of the sample height with etch can be clearly seen inFig. 6D where the wire is becoming so thin that contrast is becomingless clear. This rapid reduction in feature height can be clearly seen inFigs. 3 and 4 using cross-section TEM. What is also apparent is thatthe thinnest features are prone to significant damage (Fig. 4) withfeatures collapsing, partially collapsing and even merging. In all in-stances, including highly damaged systems such as that shown inFig. 3, the etched features are revealed to be well-ordered single crys-tals. This suggests that the mechanical damage is caused by the fragil-ity of the nanofeatures and/or low adhesion to the substrate. Themechanical fragility may be related to the cross-sectional shape(and, hence, inherent strain) of these wires which is a direct resultof the etching procedures and the mask shape resulting from devel-opment of the BCP mask by the selective polymer etch, etc. Whilstwe suggest that the rounded shape of the smaller features is probablydue to strain from the inherent stress of the nanoscale features, we

p://dx.doi.org/10.1016/j.tsf.2012.09.017

Fig. 5. Details on the PS mask left after a selective PMMA etch. In all cases, SEM (A) and TEM (B and C) reveal the presence of rounded PS features. Data are shown for 18k–18kPS-b-PMMA. Panels D and E show the schematics on how these mask profiles are transferred to silicon to produce the line edge profiles described in Figs. 3 and 4.

5S. Rasappa et al. / Thin Solid Films xxx (2012) xxx–xxx

cannot rule out contributions from the plasma processing. It is likelythat differential pressure differences exist for different feature sizesand gas composition may be altered. This will have effects on etch

0

10

20

30

40

50

Fea

ture

siz

e / n

m

A

B

C

D

Fig. 6. (A–D) SEM top-down images of silicon nanowires produced by pattern transfer usingimage shows the variation in feature size versus etch time for the 85k–91k (■), 37k–37k (▲

Please cite this article as: S. Rasappa, et al., Thin Solid Films (2012), http

rates and hence the isotropic/anisotropic nature of the etch [3]. How-ever, since the etch chemistry and conditions were carefully tunedthis is most probably a minor contribution.

5 10 15 20 25

Etch time / s

PS-b-PMMA 37k–37k at various silicon etch times of 9, 17, 21 and 30 s. The graphical) and 18k–18k (●) systems.

://dx.doi.org/10.1016/j.tsf.2012.09.017

6 S. Rasappa et al. / Thin Solid Films xxx (2012) xxx–xxx

4. Conclusion

This work shows that extending the size of features formed byblock copolymer lithography is possible using controlled isotropicetching. However, the results also suggest that there may be a limit-ing size that can be achieved. This limiting size may be related tothe intrinsic mechanical properties of low diameter features or totheir shape that is imposed on them through the pattern transfertechniques used. In this work, the non-ideal shape of the polystyrenemask formed by selective removal of the polymethylmethacrylatecomponent results from the low etch contrast of two similar poly-mers or the strength of the polymer features. This shape is transferredto silicon because the patterned PS polymer is not an ideal mask ma-terial (i.e. does not have a very high etch contrast compared to sili-con). It is clear that the etch methods used here will require verysignificant optimisation to allow more ideal feature shapes (i.e. per-pendicular side-walls) to be formed and this is also a necessity forproper device operation. It is also apparent, that the PS-b-PMMA sys-tem is unlikely to achieve the dimensions needed for continuedminiaturisation of silicon based devices beyond the 8 nm (featuresize) node. Fabrication-friendly, small feature size more etch compat-ible polymers require development and processing for ultra-small(sub 8 nm feature size) components and for the extended use ofBCP-based methods in transistor technologies.

Acknowledgements

This work is supported by the EU FP7 NMP project, LAMAND(grant number 245565) project and the Science Foundation Ireland,CRANN CSET grant. The contents of this work are the sole responsibil-ity of the authors.

Please cite this article as: S. Rasappa, et al., Thin Solid Films (2012), htt

References

[1] M. Freebody, Photonic Spectra 45 (2011) 45.[2] C.A. Mack, IEEE Trans. Semicond. Manuf. 24 (2011) 202.[3] D. Borah, M.T. Shaw, S. Rasappa, R.A. Farrell, C.T. O'Mahony, C.M. Faulkner, M.

Bosea, P. Gleeson, J.D. Holmes, M.A. Morris, J. Phys. D: Appl. Phys. 44 (2011) 202.[4] S.-J. Jeong, H.-S. Moon, J. Shin, B.H. Kim, D.O. Shin, J.Y. Kim, Y.-H. Lee, J.U. Kim, S.O.

Kim, Nano Lett. 10 (2010) 3500.[5] M.P. Stoykovich, H. Kang, K.C. Daoulas, G. Liu, C.-C. Liu, J.J. de Pablo, M. Mueller,

P.F. Nealey, ACS Nano 1 (2007) 168.[6] Y.S. Jung, J.H. Lee, J.Y. Lee, C.A. Ross, Nano Lett. 10 (2010) 3722.[7] J. Chai, J.M. Buriak, ACS Nano 2 (2008) 489.[8] R.A. Farrell, N. Petkov, M.T. Shaw, V. Djara, J.D. Holmes, M.A. Morris, Macromole-

cules 43 (2010) 8651.[9] R.A. Farrell, T.G. Fitzgerald, D. Borah, J.D. Holmes, M.A. Morris, Int. J. Mol. Sci. 10

(2009) 3671.[10] C.-C. Chao, T.-C. Wang, R.-M. Ho, P. Georgopanos, A. Avgeropoulos, E.L. Thomas,

ACS Nano 4 (2010) 2088.[11] Y. Qiao, D. Wang, J.M. Buriak, Nano Lett. 7 (2007) 464.[12] R.A. Farrell, N.T. Kinahan, S. Hansel, K.O. Stuen, N. Petkov, M.T. Shaw, L.E. West, V.

Djara, R.J. Dunne, O.G. Varona, P.G. Gleeson, S.-J. Jung, H.-Y. Kim, M.M. Kolesnik, T.Lutz, C.P. Murray, J.D. Holmes, P.F. Nealey, G.S. Duesberg, V.K. Krstić, M.A. Morris,Nanoscale 4 (2012) 3228.

[13] C. Tsitsilianis, G. Staikos, Macromolecules 25 (1992) 910.[14] P. Mokarian-Tabari, T.W. Collins, J.D. Holmes, M.A. Morris, ACS Nano 5 (2011)

4617.[15] C.T. O'Mahony, R.A. Farrell, T. Goshal, J.D. Holmes, M.A. Morris, The thermody-

namics of defect formation in self-assembled systems, Thermodynamics/Book 4,ISBN 979-953-307-047-5, InTech Publications.

[16] E. Han, K.O. Stuen, Y.-H. La, P.F. Nealey, P. Gopalan, Macromolecules 41 (2008)9090.

[17] L.J. Fetters, D.J. Lohse, D. Richter, T.A. Witten, A. Zirkel, Macromolecules 27 (1994)4639.

[18] E. Sivaniah, Y. Hayashi, S. Matsubara, S. Kiyono, T. Hashimoto, K. Kukunaga, E.J.Kramer, T. Mates, Macromolecules 38 (2005) 1837.

[19] Y. Matsushita, K. Mori, R. Saguchi, Y. Nakao, I. Noda, M. Nagasawa, Macromole-cules 23 (1990) 4313.

[20] J. Bang, S.H. Kim, E. Drockenmuller, M.J. Misner, T.P. Russell, C.J. Hawker, J. Am.Chem. Soc. 128 (2006) 7622.

[21] S. Park, D.H. Lee, J. Xu, B. Kim, S.W. Hong, U. Jeong, T. Xu, T.P. Russell, Science 323(2009) 1030.

p://dx.doi.org/10.1016/j.tsf.2012.09.017


Recommended