+ All Categories
Home > Documents > Fabrication of high-efficiency multilayer-coated binary blazed gratings in the EUV regime

Fabrication of high-efficiency multilayer-coated binary blazed gratings in the EUV regime

Date post: 01-May-2023
Category:
Upload: independent
View: 1 times
Download: 0 times
Share this document with a friend
8
Fabrication of high-efficiency multilayer-coated gratings for the EUV regime using e-beam patterned substrates Patrick P. Naulleau a, * , J. Alexander Liddle a , Erik H. Anderson a , Eric M. Gullikson a , Paul Mirkarimi b , Farhad Salmassi a , Eberhard Spiller b a Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720,USA b Lawrence Livermore National Laboratory, Livermore, CA 94550, USA Received 30 October 2003; received in revised form 4 November 2003; accepted 5 November 2003 Abstract The use of multilayer reflection coatings has proven to be an effective means for improving the efficiency of soft X- ray and extreme ultraviolet gratings. These techniques have recently been extended to e-beam-patterned binary blazed substrates. Here we present further refinement of the e-beam-patterned substrate method, demonstrating near normal- incidence reflection efficiencies as high as 41% into the first-diffracted order. Ó 2003 Published by Elsevier B.V. Keywords: Extreme ultraviolet; Diffractive optics; Blazed grating; Multilayer coating; Electron-beam lithography 1. Introduction The use of multilayer reflection coatings [1,2] has proven to be an effective means for improving the efficiency of gratings operating at a variety of wavelengths and angles of incidence [3–13]. Of particular interest has been the application of multilayer coatings to blazed gratings due to the possibility of higher diffraction efficiency and op- eration at higher orders [6–10]. More recently, high-efficiency multilayer-coated EUV gratings have been demonstrated using electron-beam li- thography to pattern quantized blazed gratings [14]. These quantized gratings are simply a form of binary optics [15–18], which facilitate the genera- tion of arbitrary phase surfaces by enabling the use of well-established microelectronics fabrication techniques. Using these binary techniques, the fabrication of a five-level blazed-phase grating achieving a near normal-incidence reflection efficiency of 25% into the first-diffracted order has been demonstrated in the 14-nm wavelength region [14]. To the best of our knowledge, this represented the highest previ- ously measured first-diffracted-order efficiency for a near-normal-incidence multilayer-coated grating operating in the 13–16 nm wavelength region. Here Optics Communications 229 (2004) 109–116 www.elsevier.com/locate/optcom * Corresponding author. Tel.: +1-5104864529; fax: +1- 5104864550. E-mail address: [email protected] (P.P. Naulleau). 0030-4018/$ - see front matter Ó 2003 Published by Elsevier B.V. doi:10.1016/j.optcom.2003.11.005
Transcript

Optics Communications 229 (2004) 109–116

www.elsevier.com/locate/optcom

Fabrication of high-efficiency multilayer-coated gratingsfor the EUV regime using e-beam patterned substrates

Patrick P. Naulleau a,*, J. Alexander Liddle a, Erik H. Anderson a,Eric M. Gullikson a, Paul Mirkarimi b, Farhad Salmassi a, Eberhard Spiller b

a Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720,USAb Lawrence Livermore National Laboratory, Livermore, CA 94550, USA

Received 30 October 2003; received in revised form 4 November 2003; accepted 5 November 2003

Abstract

The use of multilayer reflection coatings has proven to be an effective means for improving the efficiency of soft X-

ray and extreme ultraviolet gratings. These techniques have recently been extended to e-beam-patterned binary blazed

substrates. Here we present further refinement of the e-beam-patterned substrate method, demonstrating near normal-

incidence reflection efficiencies as high as 41% into the first-diffracted order.

� 2003 Published by Elsevier B.V.

Keywords: Extreme ultraviolet; Diffractive optics; Blazed grating; Multilayer coating; Electron-beam lithography

1. Introduction

The use of multilayer reflection coatings [1,2]

has proven to be an effective means for improving

the efficiency of gratings operating at a variety

of wavelengths and angles of incidence [3–13]. Of

particular interest has been the application of

multilayer coatings to blazed gratings due to the

possibility of higher diffraction efficiency and op-eration at higher orders [6–10]. More recently,

high-efficiency multilayer-coated EUV gratings

* Corresponding author. Tel.: +1-5104864529; fax: +1-

5104864550.

E-mail address: [email protected] (P.P. Naulleau).

0030-4018/$ - see front matter � 2003 Published by Elsevier B.V.

doi:10.1016/j.optcom.2003.11.005

have been demonstrated using electron-beam li-thography to pattern quantized blazed gratings

[14]. These quantized gratings are simply a form of

binary optics [15–18], which facilitate the genera-

tion of arbitrary phase surfaces by enabling the use

of well-established microelectronics fabrication

techniques.

Using these binary techniques, the fabrication of

a five-level blazed-phase grating achieving a nearnormal-incidence reflection efficiency of 25% into

the first-diffracted order has been demonstrated in

the 14-nm wavelength region [14]. To the best of

our knowledge, this represented the highest previ-

ously measured first-diffracted-order efficiency for

a near-normal-incidence multilayer-coated grating

operating in the 13–16 nm wavelength region. Here

110 P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116

we present the further refinement of the method

demonstrating an absolute efficiency of 41% into

the first-diffracted order.

2. Improvements to the process

Fabrication of the desired grating profile is

achieved directly in resist through a gray-scale

electron-beam exposure process. With high reso-

lution and attainable roughness of lower than 1 nm

rms, hydrogen silsesquioxane (HSQ) [19], a spin on

glass made by Dow Corning, has proven ideal for

the fabrication of high-efficiency reflection blazed-phase gratings. Another benefit of this material is

that it is extremely stable after development, serv-

ing as a good permanent base for the requisite

multilayer overcoat.

In theory, an ideal blazed grating can direct

100% of the output light into the diffracted order

of interest. Noting that in the previous binary

blazed grating demonstration [14] the multilayercoating was measured to have a peak reflectivity of

56.7%, the measured 25% diffraction efficiency

represented a significant reduction from the theo-

retical limit. The diffraction efficiency was limited

by two primary factors: non-optimized binary

profiles and intrinsic roughness in the resist. Re-

cent coating studies have shown that substrate

roughness causes a reflectivity reduction of ap-proximately 2% absolute per Angstrom of rms

roughness [20]. Both these issues have been ad-

dressed in the results presented here.

The grating profiles have been improved by

increasing the number of steps from 5 to 8. In

theory, one would expect the increased number of

steps to lead to an approximate efficiency increase

of 10% relative. The grating profiles have furtherbeen improved by rendering the steps more uni-

form. This has been achieved through a calibra-

tion of the thickness versus dose characteristics

of the resist process as well as local back-scatter

correction [21].

Compared to the profile problem, intrinsic resist

roughness is less easily dealt with. One approach

addressing this problem is through apre-multilayer-coating annealing process [14]. Another approach is

to utilize smoothing optimizedmultilayers. Optimal

smoothing multilayers rely on ion-beam deposition

techniques with additional ion-assisted polishing

(etching) implemented between deposition of each

layer [22].

The goal of the polishing step is to reduce the

roughness of the grating facets without affectingthe shape of the desired grating profile. Ideally for

the gratings presented here, spatial frequencies of

1 lm and below should be fully replicated through

the multilayer stack, while higher frequencies

should be smoothed away. In practice, the critical

spatial frequency that separates the replication and

smoothing ranges can be controlled by controlling

the amount of etching performed on each layer.

3. Fabrication and AFM-based characterization

The design target for the gratings presented

here was to operate at a wavelength, k, of 13.4 nm,

a grazing angle, h, of 85�, and to be blazed for the

first order. For a first-order blazed reflectivegrating quantized to N levels, the optimum peak-

to-valley modulation height, PV, can be shown to

be

PV ¼ k=ð2 sin hÞðN � 1Þ=N : ð1ÞThus, an eight-level grating matching the abovespecifications, should have a peak-to-valley height

of 5.88 nm, with each step being approximately

0.841-nm tall. The grating pitch is designed to be

1 lm, making the individual steps 125-nm wide,

and providing a 0.77� first-order diffraction angle

(relative to the undiffracted zero-order light) at an

illumination wavelength of 13.4 nm.

The grating was fabricated in approximately 80nm of HSQ spun on a silicon wafer. The exposure

step was performed using a high-resolution elec-

tron-beam lithography tool [23,24] operating at

100 keV. A data set was generated for this mask-

less-lithography tool wherein the desired eight-

level grating heights were encoded as different

relative doses to be delivered to the resist. As de-

scribed above, the prescribed doses includedcompensation for resist non-linearity and localized

back-scatter effects. The base dose for the gratings

was about 300 lC/cm2. The HSQ is developed in

0.26N TMAH photoresist developer, Shipley

P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116 111

LDD26W for 75 s. The HSQ is a negative resist in

which the unexposed HSQ is removed by the de-

veloper, leaving the exposed material behind. The

developed grating is rinsed in DI water and dried.

The relief resist structures fabricated as de-

scribed above were then characterized to deter-mine the optimal target wavelength and angle of

incidence for the subsequent multilayer coating

step. Noting that the goal here is primarily to

demonstrate the feasibility and optimal efficiency

of this method, we did not restrict ourselves to

fabricated substrate samples strictly matching the

design goals described above. In all cases the

multilayer coating was, however, restricted tomolybdenum/silicon (Mo/Si) multilayer designed

to reflect at a wavelength near 13.5 nm.

Fig. 1. AFM image of the grating before multilayer coating. Also show

performed along direction of grating lines).

The total patterned area for the grating was

0.5� 2 mm. Fig. 1 shows an atomic force micro-

scope (AFM) image of the grating before multi-

layer coating. A 10� 10-lm area is shown. Also

shown in Fig. 1 are plots of a single lineout as well

the average profile (the averaging was performedalong the direction of the grating lines). In the

single lineout, the grating pattern is difficult to

discern due to the large amount of intrinsic

roughness. The intrinsic roughness rms value is

approximately 1.2 nm. Fig. 2 shows a similar set of

plots for the same grating after multilayer coating

using magnetron sputtering. The molybdenum–

silicon bilayer thickness was 6.45 nm and a totalof 60 bilayers applied. Although limited, the

smoothing effect of the multilayer is evident

n are plots of a single lineout and the average profile (averaging

Fig. 2. AFM image of the grating after multilayer coating (magnetron-sputtered molybdenum–silicon with bilayer thickness 6.45 nm

and 60 bilayers). Also shown are plots of a single lineout and the average profile (averaging performed along direction of grating lines).

112 P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116

reducing the rms roughness to approximately0.6 nm (this represents the same level of smoothing

obtained with the previous results [14]). The mul-

tilayer smoothing has negligible effect on the

grating features of interest due to the relatively

large lateral sizes.

As described above, the intrinsic roughness

problem can be further mitigated through the use

of smoothing optimized multilayers [22]. Fig. 3shows a second grating fabricated using the same

methods described above but coated with the

smoothing optimized multilayer. To match the

grating profile, a multilayer coating with a period

of 8.1 nm and 50 bilayers was produced. Each Si

layer was deposited 0.33 nm thicker than the de-

sign and after deposition 0.33 nm was polished

away by the second ion source. Both deposition

and polishing were performed at normal incidenceand the energy of the Ar ions was 600 V for the

deposition and 150 V for the etch gun. We estimate

that the critical spatial frequency for 50% replica-

tion is 0.004/nm, while the grating base period of

1 lm has a replication factor of 89%.

Comparing Figs. 2 and 3, it is evident that the

smoothing nature of the multilayer is greatly im-

proved over the magnetron case. In this case, thecoated surface has an AFM-measured roughness of

approximately 0.25 nm. This improved smoothing,

however, comes at the cost of increased flaring of

the backside of the blaze, which ideally would be at

90�. The magnetron-coated grating has a backside

transition region of approximately 100 nm,

whereas the ion-beam deposition case yields a

transition region of approximately 200 nm.

Fig. 3. AFM image of a second grating after multilayer coating (smoothing-optimized ion-beam deposited molybdenum–silicon with

bilayer thickness 8.1 nm and 50 bilayers). Also shown are plots of a single lineout and the average profile (averaging performed along

direction of grating lines).

P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116 113

4. EUV characterization

The reflection blazed gratings described abovewere characterized at the calibration and stan-

dards bend-magnet beamline 6.3.2 at the Advanced

Light Source located at Lawrence Berkeley Na-

tional Laboratory [25]. The measurements were

performed with a spectral resolution, k=Dk, of ap-proximately 1400. The gratings were characterized

by placing a detector at the re-imaging plane of the

exit slit of the beamline monochromator andscanning the detector through the diffraction pat-

tern. The illuminating beam was 0.05� 0.3 mm full

width at half maximum guaranteeing an accurate

measurement of the diffraction characteristics of

the 0.5� 2 mm grating. The detector was 2-mm

wide, providing an angular resolution of 0.5�.

Fig. 4 shows the measured diffraction profile for

the magnetron-coated grating from Fig. 2. An

absolute efficiency of 40% is achieved into the first-

diffracted order, with a relative efficiency of63%, where the relative efficiency is defined as the

first-order diffracted output power divided by the

multilayer reflectivity as measured in an area away

from the grating. Thus, the relative efficiency in-

corporates both the impact of the profile fidelity as

well as the intrinsic roughness of the grating. The

measurement wavelength was 12.5 nm and the an-

gle of incidence 5� from normal. Note that theprescribed wavelength was reduced compared to

original design goals due to the substrate height

being slightly shorter than target.

These results represent a significant improve-

ment over the previous results obtained using this

-5 0 50

0.1

0.2

0.3

0.4

0.5

Re

flect

ivity

Diffraction angle (degrees)

Fig. 5. Measured diffraction profile for the ion-beam-coated

grating from Fig. 3. An absolute efficiency of 41% is achieved

into the first diffracted order, with a relative efficiency of 63%.

The measurement wavelength was 13.4 nm and the angle of

incidence 35� from normal.

-5 0 50

0.1

0.2

0.3

0.4

0.5

Diffraction angle (degrees)

Ref

lect

ivity

Fig. 4. Measured diffraction profile for the magnetron-coated

grating from Fig. 2. An absolute efficiency of 40% is achieved

into the first diffracted order, with a relative efficiency of 63%.

The measurement wavelength was 12.5 nm and the angle of

incidence 5� from normal.

114 P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116

fabrication method with magnetron coating (25%

absolute, 44% relative) [14]. The improvement inefficiency can be attributed to the improved sub-

strate profile characteristics, as the multilayer

process was not changed between these results and

the earlier results, and as shown above the high-

frequency roughness characteristics are nearly

identical between these and the previous results.

Next we consider the ion-beam coated grating

from Fig. 3, where strong smoothing conditionswere used, thereby reducing the high-frequency

roughness of the finished grating compared to

the magnetron-coated case. Fig. 5 shows the dif-

fraction efficiency measurement results where sim-

ilar efficiencies are observed: 41% absolute and

63% relative. This parity in performance indicates

that the gains obtained by virtue of the reduction in

intrinsic roughness reduction as compared themagnetron-coated case are nearly offset by the in-

creased size of the transition region on the backside

of the blaze. The effect of the increased back-side

flaring can be observed in the diffraction plot

shown in Fig. 5 where significant energy is observed

in the negative orders. The efficiency balancing

between the two methods suggests that there may

be an optimal smoothing condition somewherebetween the magnetron and strong smoothing ion-

beam at which the efficiency is optimized. We note

that for the second measured grating the angle

of incidence was approximately 35� from normal

and the wavelength 13.4 nm. In this case the pre-

scribed angle of incidence was increased com-

pared to original design goals due to the substrate

height being slightly taller than target. Comparingrelative efficiencies for the two cases mitigates the

influence of grating-to-grating coating variations

allowing the quality of the substrate itself to be

evaluated.

We note that the second grating was also

characterized at 5� from normal where the peak

reflectivity occurred at 16.3 nm (Fig. 6). In this

case the absolute efficiency was 32% and the rela-tive efficiency 57%. Again, significant energy is

seen in the negative orders, however, an increase in

zero-order energy compared to the results in Fig. 5

is also observed. This indicates that the multilayer

peak is not as well matched to the grating height.

The peak mismatch observed at 5� from normal

could be a result of the wavelength-dependence of

the index of refraction of the multilayer materials.The phase-shifting material (Mo) is known [26] to

display an increase in D (the decrement from unity

of the real part of the complex index of refraction)

with increasing wavelength, changing from 0.0773

at a wavelength of 13.4 nm to 0.1385 at a wave-

length of 16.3 nm. This in turn pushes the Bragg

-5 0 50

0.1

0.2

0.3

0.4

0.5

Re

flect

ivity

Diffraction angle (degrees)

Fig. 6. Second measured diffraction profile for the ion-beam-

coated grating from Fig. 3. Here the measurement wavelength

was 16.3 nm and the angle of incidence 5� from normal. An

absolute efficiency of 32% is achieved into the first diffracted

order, with a relative efficiency of 57%.

P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116 115

peak of the multilayer towards lower wavelength

while not affecting the geometric characteristics of

the blazed grating. Repeating the measurementwhile maximizing the relative efficiency reveals

that the relative efficiency is indeed optimized at

longer wavelengths. The optimal relative efficiency

was found to be at a wavelength of 16.6 nm or

longer and have a value of 60% or larger. The fact

that the multilayer reflectivity (and absolute effi-

ciency) is rapidly falling off at these longer wave-

lengths, however, makes it difficult to accuratelydetermine the location and magnitude of the op-

timal relative efficiency.

5. Conclusion

Reflection binary-optic blazed-phase gratings

operational at EUV wavelengths with high dif-fraction efficiency (41%) at near-normal inci-

dence have been fabricated and characterized.

To the best of our knowledge, the highest previ-

ously measured first-diffracted-order efficiency for

a near-normal-incidence multilayer-coated grating

operating in the 13–16 nm wavelength region is

approximately 25% [14]. The gains in diffraction

efficiency have primarily been achieved throughimproved profile control in the e-beam fabrication

step. Further gains were also expected by increased

roughness suppression through the use of smooth-

ing-optimized ion-beam deposited multilayers,

however, resulting losses in profile fidelity have

limited the effectiveness of this path. It appears that

additional efficiency gains with this technique willrequire a reduction of the roughness incurred in the

gray-scale-lithography fabrication stage.

Acknowledgements

The authors are greatly indebted to Bruce

Harteneck and Eugene Veklerov for expert pro-gramming and fabrication support, and to the

entire CXRO staff for enabling this research. This

research was supported by the Extreme Ultraviolet

Limited Liability Company and the DOE Office of

Basic Energy Science.

References

[1] E. Spiller, Appl. Opt. 15 (1976) 2333.

[2] J.H. Underwood, T.W. Barbee Jr., Appl. Opt. 20 (1981)

3027.

[3] E. Spiller, AIP Conf. Proc. 75 (1981) 124.

[4] R.A.M. Keski-Kuha, Appl. Opt. 23 (1984) 3534.

[5] W. Jark, Opt. Comm. 60 (1986) 201.

[6] J.C. Rife, W.R. Hunter, T.W. Barbee Jr., R.G. Cruddace,

Appl. Opt. 28 (1989) 2984.

[7] R.A.M.Keski-Kuha, R.J. Thomas, J.S. Gum,C.E. Condor,

Appl. Opt. 31 (1990) 4529.

[8] J.F. Seely, M.P. Kowalski, W.R. Hunter, J.C. Rife, T.W.

Barbee Jr., G.E. Holland, C.N. Boyer, C.M. Brown, Appl.

Opt. 32 (1993) 4890.

[9] J.H. Underwood, C.K. Malek, E.M. Gullikson, M.

Krumrey, Rev. Sci. Instrum. 66 (1995) 2147.

[10] M.P. Kowalski, T.W. Barbee Jr., R.G. Cruddace, J.F.

Seely, J.C. Rife, W.R. Hunter, Appl. Opt. 31 (1995) 7338.

[11] M.P. Kowalski, R.G. Cruddace, J.F. Seely, J.C. Rife, K.F.

Heidemann, U. Heinzmann, U. Kleineberg, K. Osterried,

D. Menke, W.R. Hunter, Opt. Lett. 22 (1997) 834.

[12] J.F.Seely,M.P.Kowalski,R.G.Cruddace,K.F.Heidemann,

U. Heinzmann, U. Kleineberg, K. Osteried, D. Menke, J.C.

Rife, W.R. Hunter, Appl. Opt. 36 (1997) 8206.

[13] C. Montcalm, S. Bajt, J.F. Seely, Opt. Lett. 26 (2001) 125.

[14] P. Naulleau, E. Anderson, E. Gullikson, J. Bokor, Opt.

Comm. 200 (2001) 27.

[15] J. Goodman, A. Silvestri, IBM J. Res. Dev. 14 (1970) 478.

[16] J.W. Goodman, Introduction to Fourier Optics, second ed.,

McGraw-Hill, New York, 1996, pp. 209–214 (Chapter 7).

116 P.P. Naulleau et al. / Optics Communications 229 (2004) 109–116

[17] H. Dammann, Optik 53 (1979) 409.

[18] G.J. Swanson, W.B. Veldkamp, Opt. Eng. 28 (1989)

605.

[19] F. van Delft, J. Weterings, A. van Langen-Suurling, H.

Romijn, J. Vac. Sci. Technol. B 18 (2000) 3419.

[20] P.B. Mirkarimi, S. Bajt, M.A. Wall, Appl. Opt. 39 (2000)

1617.

[21] J.A. Liddle, F. Salmassi, P. Naulleau, E. Gullikson,

Nanoscale topography control for the fabrication of

advanced diffractive optics, J. Vac. Sci. Technol. B, to be

published (2003).

[22] E. Spiller, S. Baker, P. Mirkarimi, V. Sperry, E. Gullikson,

D. Stearns, Appl. Opt. 42 (2003) 4049.

[23] E.H. Anderson, V. Boegli, L.P. Muray, J. Vac. Sci.

Technol. B 13 (1995) 2529.

[24] E.H. Anderson, D.L. Olynick, B. Harteneck, E. Veklerov,

G. Denbeaux, W. Chao, A. Lucero, L. Johnson, D.

Attwood, J. Vac. Sci. Technol. B 18 (2000) 2970.

[25] E.M. Gullikson, S. Mrowka, B. Kaufmann, Proc. SPIE

4343 (2001) 363.

[26] Center for X-Ray Optics web site: <http://www-cxro.lbl.-

gov/optical_constants/>.


Recommended