+ All Categories
Home > Documents > Influence of porosity on dielectric breakdown of ultralow-k dielectrics

Influence of porosity on dielectric breakdown of ultralow-k dielectrics

Date post: 14-Nov-2023
Category:
Upload: independent
View: 0 times
Download: 0 times
Share this document with a friend
7
See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/260309391 Influence of porosity on dielectric breakdown of ultralow-k dielectrics ARTICLE in JOURNAL OF VACUUM SCIENCE & TECHNOLOGY. B, MICROELECTRONICS AND NANOMETER STRUCTURES: PROCESSING, MEASUREMENT, AND PHENOMENA: AN OFFICIAL JOURNAL OF THE AMERICAN VACUUM SOCIETY · SEPTEMBER 2013 Impact Factor: 1.46 · DOI: 10.1116/1.4818128 CITATIONS 4 READS 27 4 AUTHORS: Kris Vanstreels imec Belgium 83 PUBLICATIONS 474 CITATIONS SEE PROFILE Ivan Ciofi imec Belgium 44 PUBLICATIONS 204 CITATIONS SEE PROFILE Yohan Barbarin CEA 121 PUBLICATIONS 978 CITATIONS SEE PROFILE Mikhail R Baklanov imec Belgium 354 PUBLICATIONS 4,505 CITATIONS SEE PROFILE Available from: Kris Vanstreels Retrieved on: 04 February 2016
Transcript

Seediscussions,stats,andauthorprofilesforthispublicationat:https://www.researchgate.net/publication/260309391

Influenceofporosityondielectricbreakdownofultralow-kdielectrics

ARTICLEinJOURNALOFVACUUMSCIENCE&TECHNOLOGY.B,MICROELECTRONICSANDNANOMETERSTRUCTURES:PROCESSING,MEASUREMENT,ANDPHENOMENA:ANOFFICIALJOURNALOFTHEAMERICANVACUUMSOCIETY·SEPTEMBER2013

ImpactFactor:1.46·DOI:10.1116/1.4818128

CITATIONS

4

READS

27

4AUTHORS:

KrisVanstreels

imecBelgium

83PUBLICATIONS474CITATIONS

SEEPROFILE

IvanCiofi

imecBelgium

44PUBLICATIONS204CITATIONS

SEEPROFILE

YohanBarbarin

CEA

121PUBLICATIONS978CITATIONS

SEEPROFILE

MikhailRBaklanov

imecBelgium

354PUBLICATIONS4,505CITATIONS

SEEPROFILE

Availablefrom:KrisVanstreels

Retrievedon:04February2016

Influence of porosity on dielectric breakdown of ultralow-k dielectricsKris Vanstreels, Ivan Ciofi, Yohan Barbarin, and Mikhail Baklanov Citation: J. Vac. Sci. Technol. B 31, 050604 (2013); doi: 10.1116/1.4818128 View online: http://dx.doi.org/10.1116/1.4818128 View Table of Contents: http://avspublications.org/resource/1/JVTBD9/v31/i5 Published by the AVS: Science & Technology of Materials, Interfaces, and Processing Related ArticlesRemote H2/N2 plasma processes for simultaneous preparation of low-k interlayer dielectric and interconnectcopper surfaces J. Vac. Sci. Technol. B 30, 031212 (2012) Role of ions, photons, and radicals in inducing plasma damage to ultra low-k dielectrics J. Vac. Sci. Technol. B 30, 011206 (2012) Comparative study of low dielectric constant material deposited using different precursors J. Vac. Sci. Technol. A 29, 041507 (2011) Comprehensive comparison of electrical and reliability characteristics of various copper barrier films J. Vac. Sci. Technol. B 29, 031207 (2011) Effect of UV wavelength on the hardening process of porogen-containing and porogen-free ultralow-k plasma-enhanced chemical vapor deposition dielectrics J. Vac. Sci. Technol. B 29, 032201 (2011) Additional information on J. Vac. Sci. Technol. BJournal Homepage: http://avspublications.org/jvstb Journal Information: http://avspublications.org/jvstb/about/about_the_journal Top downloads: http://avspublications.org/jvstb/top_20_most_downloaded Information for Authors: http://avspublications.org/jvstb/authors/information_for_contributors

Downloaded 13 Aug 2013 to 146.103.254.11. Redistribution subject to AVS license or copyright; see http://avspublications.org/jvstb/about/rights_and_permissions

Influence of porosity on dielectric breakdown of ultralow-k dielectrics

Kris Vanstreels,a) Ivan Ciofi, Yohan Barbarin, and Mikhail BaklanovIMEC, Kapeldreef 75, B-3001 Leuven, Belgium

(Received 4 June 2013; accepted 29 July 2013; published 12 August 2013)

The effect of porosity on the electrical properties of porogen-free ultralow-k dielectric materials

was demonstrated using a special curing process that allows a separate control of porosity and

matrix properties. It is shown that the leakage current was insensitive to porosity, suggesting a bulk

conduction mechanism. On the other hand, higher porosity leads to lower breakdown voltage,

indicating that porosity can degrade the electrical reliability performance of the dielectric material.

The observed lower breakdown field is explained in terms of the amount of cage structure in the

film, the exacerbation of strain in the Si–O–Si backbone structure by an external electric field, and

local field enhancements near the pores, thereby making the Si–O bond highly susceptible to

breakage. VC 2013 American Vacuum Society. [http://dx.doi.org/10.1116/1.4818128]

I. INTRODUCTION

Over the last several decades, the semiconductor industry

has continuously demanded miniaturization of microelec-

tronic devices and higher device density, in order to reduce

chip area or integrate more functionalities in the same chip.

An increase in performance from one technology generation

to the other is also sought, which should be achieved while

guarantying that the reliability requirements are still met.

Dielectric materials with low dielectric constant (k-value)

are needed to reduce interconnect resistance–capacitance

(RC) delay and crosstalk.1 One approach to decrease the k-

value is lowering the electric polarizability by using materi-

als with less polar bonds, while another approach is decreas-

ing the density of the material by inducing artificial porosity

or free volume inside. Since the semiconductor industry is

facing a limit in reducing the k-value by manipulating the

polarizability of the bonds inside the dielectric material, the

focus has shifted more toward porous dielectrics to achieve

ultralow-k dielectric constants. However, the selection of

potential candidates is facing many challenges because low-

ering the k-value of these materials by increasing porosity is

often accompanied by the degradation of their chemical and

mechanical integrity and their weaker intrinsic electrical reli-

ability performance.2

Electrical reliability relates to leakage current,3,4 dielectric

breakdown voltage,5,6 and time dependent dielectric break-

down (TDDB) failure.7–10 Typically, the electrical leakage in

low-k dielectrics is attributed to the presence of pre-existing

electrical traps or defect states in the dielectric.7–14 Similarly,

dielectric breakdown and TDDB failures can be attributed to

the creation of additional traps and defects.7,14 In literature,

the effect of porosity on the electrical reliability performance

of porous low-k dielectric materials has been a subject of in-

terest for a long time. In porous media, porosity introduces

inhomogeneity into the film, which may alter the leakage and

breakdown mechanisms compared to the nonporous bulk ma-

terial. Therefore, many researchers have attempted to

describe the breakdown mechanism of porous low-k

materials in order to explain the observed breakdown behav-

ior. Ogawa et al. considered the pores as pre-existing defects

and used a percolation model to predict the observed dielec-

tric breakdown and failure time behavior.15 They showed

that porosity in silica-based low-k has only minor impact on

the failure physics, but a major impact on the failure time.

King et al. used reflection electron energy loss spectroscopy

to measure the energy position of subgap defect states for

both porous and nonporous low-k dielectrics.16 In case of po-

rous low-k films, a broad distribution of defect states was

found and attributed to a combination of both oxygen va-

cancy defects created by the UV curing process and carbon

residues left in the film by incomplete porogen removal.

Recently, it was shown by Van Besien et al. that higher po-

rosity in low-k dielectrics results in higher leakage currents,

lower breakdown voltages, and shorter TDDB lifetimes.17

The higher leakage current was attributed to the presence of

carbon-rich porogen residues left in the film. On the other

hand, Hong et al.18 and Yiang et al.19 demonstrated that po-

rosity in dielectrics can disturb the electric field distribution

and cause local field enhancement in porous low-k films in

which the higher electric field regions around the pores can

provide conductive paths and enhance the drift of charged

species like ions and electrons.

In this work, we present the impact of porosity on the

electrical properties of ultralow-k dielectric materials. In

order to get a better fundamental insight of the role of poros-

ity and nanometer pores in the electrical conduction and

breakdown of ultralow-k dielectrics, we use a relatively new

manufacturing sequence for plasma enhanced chemical

vapor deposition (PECVD) low-k films, as proposed by

Urbanowicz et al.20,21

II. EXPERIMENT

The low-k films were fabricated on top of 300 mm low re-

sistivity p-type Si wafers (0.005-0.010 X cm). First, the orga-

nosilica matrix precursor (alkylsilanes) was co-deposited with

organic porogen (cyclic hydrocarbons) by PECVD, followed

by an exposure to an He–H2 based plasma afterglow at 280 �Cfor 350 s to remove the porogens from the films and finally an

ultraviolet (UV) assisted thermal cure using a broad band UV

a)Author to whom correspondence should be addressed; electronic mail:

[email protected]

050604-1 J. Vac. Sci. Technol. B 31(5), Sep/Oct 2013 2166-2746/2013/31(5)/050604/5/$30.00 VC 2013 American Vacuum Society 050604-1

Downloaded 13 Aug 2013 to 146.103.254.11. Redistribution subject to AVS license or copyright; see http://avspublications.org/jvstb/about/rights_and_permissions

lamp (wavelength >200 nm) to strengthen the matrix.21 The

porosity was controlled by changing the porogen loading dur-

ing the deposition process, while the UV cure process condi-

tions are kept the same for all the exposed films. Other film

deposition conditions were detailed elsewhere.22–24 Recently,

it was demonstrated that such an approach results in porogen

residue-free low-k films22 and allows to control porosity and

matrix properties separately.23 This is supported by the simi-

larity that is found in the chemical bond structure of UV cured

SiCOH ultralow-k dielectric films with different levels of po-

rosity, as illustrated by the Fourier transform infrared (FTIR)

absorbance spectra [Figs. 1(a)–1(d)]. The FTIR measurements

were performed with a resolution better than 1 cm�1 averaging

64 spectra within the 400–4000 cm�1 range. For every FTIR

analysis, the background spectrum and substrate spectrum (sil-

icon) were subtracted. The FTIR spectra contain a small C–H

stretch band at about 2900 cm�1, a Si–H stretch band at about

2220 cm�1, a Si–CH3 stretch peak at 1274 cm�1, and a

characteristic broad absorption band between 950 and

1200 cm�1 that belongs to the Si–O–Si asymmetric stretching

mode. This peak consists of overlapping peaks that correspond

to Si–O–Si bonds in different configurations, including various

suboxidized states of the network (1028 cm�1), SiO2-like net-

work (1078 cm�1), and cage structure. The absorption band

between 900 and 700 cm�1 [Fig. 1(b)] consists of overlapping

vibration modes from various bond structures. The three sharp

peaks at 840, 804, and 777 cm�1 can be assigned to the cou-

pling of the Si–C stretching and the CH3 rocking modes in the

Si–(CH3)x (x¼ 1 to 3). T-groups correspond to a structure

where 3 oxygen and one organic group are connected to a sin-

gle silicon atom, i.e., O3Si–CH3, while D- and M-groups,

respectively, represent O2Si–(CH3)2 and O–Si–(CH3)3.

Mechanical properties like stiffness and fracture toughness

depend sensitively on the precise bonding configuration of the

methyl groups. No changes are found in the relative amount of

Si–(CH3)x, x¼ 1, 2, 3 groups for different levels of open poros-

ity, suggesting that also no significant differences in cross-

linkage are expected. Main differences between the low-kfilms are found in the amount of cage structure (shoulder at

1141 cm�1), while also minor changes in the amount of

Si–CH3 stretch peak (1274 cm�1) and C–H stretch band

(2900 cm�1) are observed. Besides the similar chemical bond

structure, the refractive index and density of the matrix are

also insensitive to porosity (Table I). These observations are a

proof of concept for controlling porosity and matrix properties

separately and emphasize its importance in order to elucidate

the role of porosity and nanometer pores in the electrical con-

duction and breakdown of ultralow-k dielectrics. The matrix

density of the low-k films, qmatrix, was calculated based on the

mass, thickness, and open porosity measurements using

qmatrix ¼qfilm

ð1� PÞ ¼4m

tpD2ð1� PÞ; (1)

where qfilm is the film density, m is the mass of the film

determined from mass balance measurements before and af-

ter film fabrication using a high resolution in-line mass mea-

surement system with an accuracy better than 0.1 mg, D is

the diameter of the wafer, t is the thickness of the film meas-

ured by spectroscopic ellipsometry, and P is the open poros-

ity of the film as measured by ellipsometric porosimetry

(EP). The refractive index of the matrix was determined by

EP according to the equation of Lorentz–Lorenz and by

using the measured refractive index at the initial condition

without adsorbent and the calculated open porosity of the

film, i.e.,

n2matrix � 1

n2matrix þ 2

¼ 1

ð1� PÞn2

initial � 1

n2initial þ 2

!; (2)

where P is the open porosity of the film, ninitial is the refractive

index at the initial condition measured in air, and nmatrix is the

refractive index of the matrix material. The porosity and pore

diameter of the films were measured by EP, while thicknesses

of the films were measured by spectroscopic ellipsometry.FIG. 1. (Color online) FTIR absorption spectra of PECVD SiCOH nanopo-

rous films with different levels of porosity using broad band UV cure.

050604-2 Vanstreels et al.: Influence of porosity on dielectric breakdown of ultralow-k dielectrics 050604-2

J. Vac. Sci. Technol. B, Vol. 31, No. 5, Sep/Oct 2013

Downloaded 13 Aug 2013 to 146.103.254.11. Redistribution subject to AVS license or copyright; see http://avspublications.org/jvstb/about/rights_and_permissions

The capacitance–voltage (C–V) and current–voltage (I–V)

measurements were performed by using a 300 mm Hg-probe

with an Hg-contact area of 2.147 mm2 in combination with an

impedance analyzer (C–V) and a semiconductor parameter

analyzer (I–V), respectively. Three sites per wafer were tested

for CV and IV measurements. The k-values were extracted

from the measured capacitance at 100 kHz based on the eval-

uated film thickness and the Hg-contact area.24 The reproduci-

bility of the extracted k-value was better than 0.5% over the

three probed sites for all the samples. The provided k-value,

thickness, and refractive index (RI) in Table I are the average

values over the three sites. For the I–V measurements, the

electric field was swept at a rate of 1.1 MV/cm s.

III. RESULTS AND DISCUSSION

Figure 2 shows the effect of porosity on dielectric leakage

and breakdown strength for the investigated ultralow-k films.

The intrinsic leakage current, measured thanks to the Hg

probe, remains nearly the same for different porosity, which

suggests that bulk conduction dominates over pore surface

conduction. Measurements in integrated structures are typi-

cally influenced by the processing and for instance the Cu

barrier such as TaN/Ta deposited by PVD.25 Measurements

on blankets using an Hg probe is thus preferable. It is known

from literature that the presence of nonvolatile carbon-rich

residues (porogen residue) can also alter the measured leak-

age current.17 However, since the investigated films are pro-

ven to be porogen residue-free,21 no influence of nonvolatile

carbon-rich residues (porogen residue) on the measured leak-

age current is expected. Furthermore, the FTIR data showed

no moisture for different porosity, so moisture related effects

can also be excluded [Fig. 1(d)]. The dielectric breakdown

strength reduces for higher porosity. The dielectric break-

down measured by Hg probe underestimate the intrinsic

dielectric breakdown; however, the relative decrease as func-

tion of porosity presented here is in agreement with the meas-

urements performed over a large range of porosity in planar

capacitors.26 A possible explanation for this decrease may be

related to the amount of cage structure present in the low-k

dielectric, which appears in the absorption spectra as a

shoulder in the Si–O–Si stretching peak at 1141 cm�1. This

shoulder has a complicated nature and reflects different kind

of bonds oscillating in disorder-induced modes. Most often

this shoulder is observed in sol–gel films and associated with

formation of sixfold and fourfold silica rings (cages).27

However, formation of these cages has not been proven in

PECVD films, and it is more reasonable to associate them to

deformation of Si–O–Si bonds that gives rise to longer

bridging angles and weaker longer Si–O bonds compared to

bulk silica glass. As shown in Fig. 3, the broad peak from

950 to 1250 cm�1 can be deconvoluted into three smaller

peaks centered at 1028, 1078, and 1155 cm�1. These peaks

are attributed to asymmetric stretching of Si–O–Si bonds

having bond angles <144�, �144�, and >144�,respectively.28–31 On the other hand, the peaks centered at

1272 and 2973 cm�1 correspond, respectively, to symmetric

bending and asymmetric stretching of C–H in CH3 groups

attached to Si. The introduction of these CH3 groups in

SiOCH low-k films introduces strain to the Si–O–Si back-

bone by creating bond deviations from 144�. It is known that

such deformation of Si–O–Si bonds happens on pore wall

and the concentration of such bonds depends on porosity. It

was demonstrated by Yiang et al.32 that the inherent strain

that is created in the Si–O–Si backbone structure can easily

TABLE I. Physical, optical, and electrical properties of ultralow-k dielectric films.

Wafer Film thickness (nm) Open porosity (%) Pore diameter (nm) Matrix density (g/cm3) Matrix refractive index k-value

D02 103.8 31.4 2.7 1.500 1.366 2.17

D03 96.3 38.3 3.2 1.526 1.370 1.98

D04 95.3 43.9 3.4 1.517 1.367 1.86

D05 92.2 45.4 3.9 1.507 1.355 1.76

FIG. 2. (Color online) (a) Current density as a function of the applied electri-

cal field for PECVD SiCOH low-k films with different levels of porosity, as

measured by metal dots; (b) dielectric breakdown field at 25 �C of the films

listed in Table I.

050604-3 Vanstreels et al.: Influence of porosity on dielectric breakdown of ultralow-k dielectrics 050604-3

JVST B - Microelectronics and Nanometer Structures

Downloaded 13 Aug 2013 to 146.103.254.11. Redistribution subject to AVS license or copyright; see http://avspublications.org/jvstb/about/rights_and_permissions

be exacerbated by an external electric field, making the Si–O

bond highly susceptible to breakage. From the deconvoluted

FTIR spectra on Fig. 3, one can see that dielectric films with

high porosity have less suboxide, more matrix, more Si–CH3

groups, and more cage structure compared to lower porosity.

Since the external electric field has only a negligible effect

on the terminating CH3 groups32 and the transformation of

suboxide to matrix is beneficial for both leakage and break-

down, the observed lower breakdown fields are most likely

related to the presence of cage structure and the exacerbation

of strain in the Si–O–Si backbone structure. On the other

hand, Hong and Milor18 and Yiang et al.19 demonstrated that

porosity in dielectrics can disturb the electric field distribu-

tion and change the peak intensity of the applied electric

field, while the degree and direction of the change depends

on pore permittivity, pore shape, and interconnectivity.18

Since the pore structure of the investigated films changes

with porosity23 and the conduction mechanism is mainly

dominated by bulk conduction, a higher porosity may lead to

local field enhancements near the pores. These local field

enhancements, together with the increased amount of cage

structure in the films and the exacerbation of strain in the

Si–O–Si backbone structure by an external electric field,

result in a higher probability for dielectric breakdown at

lower applied electric fields. Other possible reasons related to

a small amount of adsorbed moisture or electrically active

centers in band gap could also be considered; however, these

seem less important in this particular case.

IV. CONCLUSIONS

To summarize, we investigated the effect of porosity on

the leakage and breakdown of porous ultralow-k dielectrics

using a special curing process that allows a separate control

of porosity and matrix properties. The leakage current was

found to be insensitive to porosity, suggesting a bulk con-

duction mechanism. On the other hand, increasing porosity

results in lower breakdown fields, which can be explained in

terms of the amount of cage structure in the film, the exacer-

bation of strain in the Si–O–Si backbone structure by an

external electric field and local field enhancements near the

pores, and indicates that porosity can degrade the electrical

reliability performance of the dielectric material.

ACKNOWLEDGMENTS

The authors would like to acknowledge Laureen Carbonell

from IMEC and Ramanan V. Chebiam from INTEL for their

contributions to this work.

1K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma,

and Z. S. Yanovitskaya, J. Appl. Phys. 93, 8793 (2003).2J. Kim, E. T. Ogawa, and J. W. McPherson, Proceedings of the IEEEInternational Reliability Physics Symposium (IRPS) (IEEE, San Jose, CA,

2006), p. 478.3Y. Ou, P. Wang, M. He, T. Lu, P. Leung, and T. Spooner, J. Electrochem.

Soc. 155, G283 (2008).4R. Wang, K. Chang-Liao, T. Wang, M. Chang, C. Wang, C. Lin, C. Lee,

C. Chiu, and K. Wu, Thin Solid Films 517, 1230 (2008).5C. Chiang, I. Ko, M. Chen, Z. Wu, Y. Lu, S. Jang, and M. Liang,

J. Electrochem. Soc. 151, G606 (2004).6F. Chen and M. Shinovsky, J. Appl. Phys. 108, 54107 (2010).7J. McPherson, Microelectron. Rel. 52, 1753 (2012).8J. Llyod, E. Liniger, and T. Shaw, J. Appl. Phys. 98, 84109 (2005).9F. Chen and M. Shinovsky, IEEE Trans. Electron Devices 56, 2 (2009).

10L. Zhao, M. Pantouvaki, K. Croes, Z. Tokei, Y. Barbarin, C. Wilson, M.

Baklanov, G. Beyer, and C. Claeys, Appl. Phys. Lett. 99, 222110 (2011).11J. Atkin, E. Cartier, T. Shaw, R. Laibowitz, and T. Heinz, Appl. Phys.

Lett. 93, 122902 (2008).12G. Gischia, K. Croes, G. Groeseneken, Z. Tokei, V. Afanas’ev, and L.

Zhao, Proceedings of the IEEE International Reliability PhysicsSymposium (IRPS) (IEEE, Anaheim, CA, 2010), p. 5A.2.1.

13M. Vilmay, D. Roy, F. Volpi, and J. Chaix, Microelectron. Eng. 85, 2075

(2008).14G. Haase, J. Appl. Phys. 105, 44908 (2009).15E. T. Ogawa, J. Kom, G. S. Haase, H. C. Mogul, and J. W. McPherson,

Proceedings of the IEEE International Reliability Physics Symposium(IRPS) (IEEE, Phoenix, AZ, 2003), p. 166.

16S. W. King, B. French, and E. Mays, J. Appl. Phys. 113, 044109 (2013).17E. Van Besien, M. Pantouvaki, L. Zhao, D. De Roest, M. R. Baklanov, Zs.

Tokei, and G. Beyer, Microelectron. Eng. 92, 59 (2012).18D. A. Changsoo Hong and L. Milor, Proceedings of the International

Interconnect Technology Conference (IITC) (IEEE, Burlingame, CA,

2006), p. 140.19K. Y. Yiang, W. J. Yoo, and A. Krishnamoorthy, IEEE Trans. Electron

Devices 52, 2333 (2005).20A. M. Urbanowicz, K. Vanstreels, P. Verdonck, D. Shamiryan, S. De

Gendt, and M. R. Baklanov, J. Appl. Phys. 107, 104122 (2010).21A. M. Urbanowicz, P. Verdonck, D. Shamiryan, K. Vanstreels, M.

Baklanov, and S. De Gendt, “Fabrication of porogen residues free

and mechanically robust low-k materials,” U.S. patent 20110006406

(13 January 2011).22Advanced Interconnects for ULSI Technology, edited by M. R. Baklanov,

P. S. Ho, and E. Zschech (Wiley, Chichester, West Sussex, 2012),

Chap. 10, p. 339.23K. Vanstreels, C. Wu, P. Verdonck, and M. R. Baklanov, Appl. Phys. Lett.

101, 123109 (2012).

FIG. 3. Deconvoluted FTIR spectra of PECVD SiCOH nanoporous films

with different levels of porosity using broad band UV cure in the region

1350–950 cm�1.

050604-4 Vanstreels et al.: Influence of porosity on dielectric breakdown of ultralow-k dielectrics 050604-4

J. Vac. Sci. Technol. B, Vol. 31, No. 5, Sep/Oct 2013

Downloaded 13 Aug 2013 to 146.103.254.11. Redistribution subject to AVS license or copyright; see http://avspublications.org/jvstb/about/rights_and_permissions

24I. Ciofi, M. R. Baklanov, Z. T}okei, and G. P. Beyer, Microelectron. Eng.

87, 2391 (2010).25K. Croes, M. Pantouvaki, L. Carbonell, L. Zhao, G. P. Beyer, and Z.

Tokei, Proceedings of the IEEE International Reliability PhysicsSymposium (IRPS) (IEEE, Monterey, CA, 2011), pp. 2F.3.1–2F.3.7.

26Y. Barbarin, K. Croes, P. Roussel, Y. Li, L. Zhao, P. Verdonck, M.

Baklanov, and Z. Tokei, Proceedings of the IEEE International ReliabilityPhysics Symposium (IRPS) (IEEE, Monterey, CA, 2013), p. 2F3.

27P. Innocenzi, J. Non-Cryst. Solids 316, 309 (2003).

28A. Grill and D. A. Neumayer, J. Appl. Phys. 94, 6697 (2003).29P. Bornhauser and G. Calzaferri, Spectrochim. Acta, Part A 46, 1045

(1990).30M. J. Loboda, C. M. Grove, and R. F. Schneider, J. Electrochem. Soc.

145, 2861 (1998).31P. G. Pai, S. S. Chao, Y. Takagi, and G. Lucovsky, J. Vac. Sci. Technol. A

4, 689 (1986).32K. Y. Yiang, W. J. Yoo, and A. Krishnamoorthy, J. Vac. Sci. Technol. B

23, 433 (2006).

050604-5 Vanstreels et al.: Influence of porosity on dielectric breakdown of ultralow-k dielectrics 050604-5

JVST B - Microelectronics and Nanometer Structures

Downloaded 13 Aug 2013 to 146.103.254.11. Redistribution subject to AVS license or copyright; see http://avspublications.org/jvstb/about/rights_and_permissions


Recommended