+ All Categories
Home > Documents > \u003ctitle\u003eLine width roughness control and pattern collapse solutions for EUV...

\u003ctitle\u003eLine width roughness control and pattern collapse solutions for EUV...

Date post: 29-Nov-2023
Category:
Upload: independent
View: 0 times
Download: 0 times
Share this document with a friend
11
Line Width Roughness Control and Pattern Collapse Solutions for EUV Patterning Karen Petrillo, George Huang, Dominic Ashworth, Jacque Georger, Liping Ren, KY Cho, Warren Montgomery, Stefan Wurm SEMATECH,257 Fuller Road, Suite 2200, Albany, NY 12203 USA Shinichiro Kawakami a , Shannon Dunn b , Akiteru Ko a a TEL Technology Center, America, LLC., 255 Fuller Rd., STE 244, Albany, NY, USA 12203 b Tokyo Electron America, Inc., 20 Corporate Park Dr., STE A, Hopewell Junction, NY, USA 12533 ABSTRACT Line width r oughness ( LWR) co ntrol is a cr itical issu e in ex treme ultraviolet lit hography ( EUVL). The difficulty o f co ntrolling L WR an d th e n eed to m inimize it h ave g rown as th e sen sitivity o f materials an d resolution in the resist patterning process has improved. An other critical feature t hat has become di fficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further s caling pro motes th e onset of pattern collaps e. B oth pattern collaps e an d L WR are eas ily obs erved in EUVL and leading-edge ArF immersion lithography. This p aper w ill d emonstrate recen t g ains in LWR co ntrol in lead ing EUV fil ms u sing track -based p rocesses, etch-based i mprovements, and th e results o f co mbined tec hniques. Also the use of a newly developed EUV- specific F IRM™ ri nse c hemistry t o redu ce pat tern col lapse will be di scussed al ong with fu ture dev elopment activities and industry requirements for both LWR and pattern collapse. Keywords: Line width roughness (LWR), Extreme ultraviolet lithography (EUVL), Pattern Collapse 1. INTRODUCTION As EUV moves closer to manufacturing, interest in closing the key gaps to technology readiness has increased. In lith ography, lin e width r oughness ( LWR) an d p attern co llapse h ave b een id entified as item s t hat n eed significant improvement. In a d iscussion by Koh 1 in 2010, a maturity factor was de fined for 28nm half-pitch (HP) manufacturing. Since LWR and collapse are of high importance, they were given a higher weighting factor than other issues. Koh’s assessment determined that the average material readiness with respect to LWR is 63%. Material readiness for pattern collapse requires more enhancement, as its maturity rating was 30%. Cho 2 echoed these concerns in his recent materials benchmarking presentation. Closing the gaps between material readiness and technology requirements is not a trivial matter, but the burden does not need to be shouldered entirely by the photoresist suppliers. Pattern collapse mitigation techniques to reduce cap illary forces d uring t he d rying p hase o f d evelopment have b een r eported. On e such technique includes r eplacing th e water r inse with a su itable so lvent f ollowed b y s upercritical f luid CO 2 dry ing 3 . T wo alternative techniques to i mprove pattern collapse margins that do n ot require significant tooling modifications include using surfactant rinses to reduce surface tension 4 - 6 as well as alternative develop chemistries 7-10 . Extreme Ultraviolet (EUV) Lithography II, edited by Bruno M. La Fontaine, Patrick P. Naulleau, Proc. of SPIE Vol. 7969, 796913 · © 2011 SPIE · CCC code: 0277-786X/11/$18 · doi: 10.1117/12.879513 Proc. of SPIE Vol. 7969 796913-1 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms
Transcript

Line Width Roughness Control and Pattern Collapse Solutions for EUV

Patterning

Karen Petrillo, George Huang, Dominic Ashworth, Jacque Georger, Liping Ren, KY Cho, Warren Montgomery, Stefan Wurm

SEMATECH,257 Fuller Road, Suite 2200, Albany, NY 12203 USA

Shinichiro Kawakamia, Shannon Dunnb, Akiteru Koa aTEL Technology Center, America, LLC., 255 Fuller Rd., STE 244, Albany, NY, USA 12203 bTokyo Electron America, Inc., 20 Corporate Park Dr., STE A, Hopewell Junction, NY, USA

12533

ABSTRACT

Line width r oughness ( LWR) co ntrol is a cr itical issu e in ex treme ultraviolet lit hography ( EUVL). The difficulty o f co ntrolling L WR an d th e n eed to m inimize it h ave g rown as th e sen sitivity o f materials an d resolution in the resist patterning process has improved. An other critical feature that has become difficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further scaling pro motes the onset of pattern collapse. Both pattern collapse and LWR are eas ily observed in EUVL and leading-edge ArF immersion lithography. This p aper will d emonstrate recen t gains in LWR co ntrol in lead ing EUV fil ms u sing track-based p rocesses, etch-based improvements, and th e results o f combined techniques. Also the use of a newly developed EUV-specific F IRM™ rinse c hemistry to reduce pat tern col lapse will be di scussed along with fu ture development activities and industry requirements for both LWR and pattern collapse.

Keywords: Line width roughness (LWR), Extreme ultraviolet lithography (EUVL), Pattern Collapse

1. INTRODUCTION

As EUV moves closer to manufacturing, interest in closing the key gaps to technology readiness has increased. In lith ography, lin e width r oughness ( LWR) an d p attern co llapse h ave b een id entified as item s t hat n eed significant improvement. In a d iscussion by Koh1 in 2010, a maturity factor was de fined for 28nm half-pitch (HP) manufacturing. Since LWR and collapse are of high importance, they were given a higher weighting factor than other issues. Koh’s assessment determined that the average material readiness with respect to LWR is 63%. Material readiness for pattern collapse requires more enhancement, as its maturity rating was 30%. Cho2 echoed these concerns in his recent materials benchmarking presentation.

Closing the gaps between material readiness and technology requirements is not a trivial matter, but the burden does not need to be shouldered entirely by the photoresist suppliers. Pattern collapse mitigation techniques to reduce cap illary forces d uring t he d rying p hase o f d evelopment have b een r eported. On e such technique includes r eplacing th e water r inse with a su itable so lvent f ollowed b y s upercritical f luid CO 2 dry ing3. T wo alternative techniques to i mprove pattern collapse margins that do not require significant tooling modifications include using surfactant rinses to reduce surface tension4 - 6 as well as alternative develop chemistries7-10.

Extreme Ultraviolet (EUV) Lithography II, edited by Bruno M. La Fontaine, Patrick P. Naulleau, Proc. of SPIEVol. 7969, 796913 · © 2011 SPIE · CCC code: 0277-786X/11/$18 · doi: 10.1117/12.879513

Proc. of SPIE Vol. 7969 796913-1

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

Several proces s techniques o ffer perf ormance e nhancements th at can redu ce LWR. For ex ample, smoothing underlayers f or EUV materials h ave b een r eported to im prove L WR1. R inse materials als o h ave receiv ed attention i n t his ar ea, b ut t hey need to b e clo sely matched to th e r esist material to achieve t he o ptimum advantage 11. In t his paper, we will di scuss t he us e of t rack-based sm oothing t echniques12 an d etch- based processes f or minimizing LW R. W e will al so d iscuss the u se o f a n alter native d eveloper ch emistry i n combination with Finishing up b y a n I mproved Rinse Material ( FIRM™) to mitigate co llapse an d r educe LWR11.

2. EXPERIMENTATION

2.1. Pattern Collapse Reduction In these experiments, a 75nm thick EUV resist was used; SiARC was used for the bottom layer.

For FIRM™ chemical screening, an Exitech EUV micro exposure tool (eMET) with a 0.3 n umerical aperture (NA). A C lean T rack AC T™ 12 ( Tokyo Electron LT D) perf ormed th e res ist m aterials coati ng a nd development. FIRM™ chemical screening was done on 200mm wafers. FIRM™ chemicals were dispensed by hand after the final rinse step of the developer process. Critical dimension (CD) and LWR were measured on an Hitachi S9380II.

The TBAH developer with FIRM™ demonstration used an ASML alpha demo tool (ADT) with a 0.25 NA was used for exposure. The ACT 12 was again used for res ist coating and development. P rocessing was done on 300mm wafers. FIRM™ chemicals and TBAH were installed on the tool; processing was performed inline. An Hitachi CG4000 served as the CD and LWR inspection tool.

2.2. LWR Improvement The same EUV resist was used to evaluate pattern collapse. The film thickness was 60nm. The resist was patterned on a tri-layer stack containing a SiARC and organic planarization layer (OPL). For the track-based LWR improvement feasibility test, the exposure tool was an eMET and the resist materials coating and development was performed with the ACT 12. Processing was done on a 200mm wafer. The resist-smoothing process involved treating the patterned wafer with an organic solvent vapor. This improved LWR by causing swelling and slightly dissolving the resist pattern surface. Resist smoothing was done offline. FIRM™ chemicals were applied of fline af ter t he dev elop rin se and dry s tep. Wh ile t his was not a s tandard F IRM™ process, logistical reasons necessitated this deviation. LWR was compared by using the same wafer for pre- and post-FIRM™. CD and LWR were measured with an Hitachi S9300. These measurements were the average of 120 sets of data. To ev aluate LWR i mprovement b y etc hing, t he ADT s erved as th e e xposure tool an d th e C LEAN T RACK ACT™ 12 as the resist coating and development equipment. Processing was done on 300mm wafers. Dry etch was carried out in a cap acitively coupled plasma etching module Tactras™ (Tokyo Electron LTD). A n EUV resist was patterned on a tri-layer stack that contained a SiARC and organic planarization layer to transfer into silicon nitride an d sto p o n t he silico n s ubstrate. T he r esist-smoothing p rocess a nd FI RM™ ch emical were applied of fline on a dev eloped pattern ed s urface. C D an d LWR were measured on an Hitachi CG4000. The measurements were the average of 120 sets of data.

3. PATTERN COLLAPSE REDUCTION 3.1. FIRM™ Chemical Screening We ev aluated s everal ki nds of F IRM™ ch emicals appl ied on EU V res ist. Figure 3. 1 s hows t he F IRM™ process flow. The FIRM™ is applied after the develop and final water rinse step. One of the causes of pattern collapse is cap illary force, which will be reduced by finishing with the FIRM™ chemical. In this evaluation, FIRM™ was applied by hand. The resist film was 75nm thick, and CD target was 30nm HP. Figure 3.2 shows the results of the process window, pattern collapse margin, and LWR improvement efforts.

Proc. of SPIE Vol. 7969 796913-2

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

Figure 3-1 FIRM™ Process Flow

340

360

380

400

420

440

460

without FIRM FIRM-A FIRM-B FIRM-C FIRM-D

DO

F a

t 5%

EL

(nm

)

0

1

2

3

4

5

6

without FIRM FIRM-A FIRM-B FIRM-C FIRM-D

Co

llap

se M

arg

in Im

pro

ved

CD

(nm

)

0.0

2.0

4.0

6.0

8.0

10.0

12.0

14.0

16.0

18.0

20.0

4.0

5.0

6.0

without FIRM

FIRM-A FIRM-B FIRM-C FIRM-D

LW

R Im

pro

ved

%

LW

R(n

m)

Averaged LWR LWR improvement

Figure 3-2 The result of pattern collapse improvement

The process window was improved with all v ersions of the FIR M™ chemicals. FIRM™ -B and FIRM™ -D showed the greatest improvement. The pattern collapse margin was also improved as well as LWR with all of the F IRM™ ch emicals. T he magnitude of t he LWR i mprovement was 10- 15%. A pplying F IRM™ t o EU V resist was effective with all vers ions of chemicals. Ho wever, the effectiveness is different for each chemical. FIRM™ -B showed the best results for all three measurements: pattern collapse, process window, and LWR.

3.2. TBAH Developer with FIRM™ Feasibility FIRM™ -B showed good results when tested with TBAH for process feasibility on the eMET. Figure 3.3 shows the proces s window i mages of T MAH, T BAH, an d T BAH with FIRM™. Fi gure 3.4 s hows cros s-section images.

Proc. of SPIE Vol. 7969 796913-3

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

LWR= 5.2 nmLWR=5.0 nm

LWR= 4.5 nm

25.4nm

24.3nm 21.0nm

TMAH TBAH TBAH with FIRMTM-B

50 n

m fo

cus

step

s

28.4nm

0.96 1.00 1.04 1.00 1.05 1.09 1.13 1.03 1.08 1.12 1.17 1.21 1.26Normalized dose

Figure 3-3 Process Window of TBAH and TBAH with FIRM™ -B on eMET

Figure 3-4 X-Section Images; TMAH, TBAH, TBAH with FIRM™ -B

TBAH developer results indicate a col lapse margin improvement of 3-4nm compared to TMAH. Furthermore, TBAH combined with FIRM™ -B shows a significant improvement. The pattern collapse margin improved by at least 7.4nm compared to TMAH alone. Improved LWR was confirmed with TBAH alone as well as TBAH with FI RM™ -B . The r esist p rofile sho ws le ss r esidue b etween the li nes a nd b etter LWR when T BAH a nd FIRM™ -B are applied. FIR M™ -B causes some swelling, requiring a higher exposure dose, which ultimately causes a h igher con trast i mage. Alth ough pattern collaps e s till occu rs with 26nm HP an d 24nm HP f eature patterns, resolution is significantly better than without the FIRM™ -B.

3.3. TBAH Developer with FIRM™ The ef fect o f T BAH with FI RM™ was co nfirmed in an eMET f easibility te st. T BAH was ev aluated as a developer with FIRM™ to determine whether the same effectiveness could be seen using the ADT. FIRM™ -B

Proc. of SPIE Vol. 7969 796913-4

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

and D w ere used for th is tes t s ince they s howed the bes t results f rom the chemical s creening. B oth FIRM™ chemicals and TBAH were installed on an ACT 12 t rack and applied with an inline process flow. T he resist film was 75nm thick, and the CD target was 30nm HP. The ASML ADT was used as an exposure tool.

Figure 3- 5 shows pat tern col lapse, C D, a nd LWR res ults, while Fi gure 3- 6 shows CD scanning el ectron microscope (S EM) i mages. TBA H i mproved pat tern col lapse by on ly 3.2nm . Appling FIRM™ with T BAH shows further i mprovement of u p t o 7.3- 8.0nm f or bot h FIRM™ ch emicals. L WR was s lightly bet ter with TBAH than TMAH. TBAH with FIRM™ shows a 5.8- 6.2% improvement in LWR. TBAH with FIRM™ -B shows the best result; pattern collapse improved by 8.0nm compared to TMAH without FIRM™. T his result mirrors the chemical screening, with FIRM™ -B showing the best overall performance.

0.0

1.0

2.0

3.0

4.0

5.0

6.0

7.0

8.0

9.0

22.0

24.0

26.0

28.0

30.0

32.0

34.0

36.0

w/o FIRM w/o FIRM FIRM-B FIRM-D

TMAH TBAH

Pat

tern

Co

llap

se Im

pro

vem

ent C

D(n

m)

Pat

ten

Co

llap

se L

imit

atio

n C

D(n

m)

Collapse limitation CD Collapse improvement CD

0.0

1.0

2.0

3.0

4.0

5.0

6.0

7.0

8.0

9.0

10.0

4.0

4.5

5.0

5.5

6.0

w/o FIRM w/o FIRM FIRM-B FIRM-D

TMAH TBAH

LWR

Impr

oved

Rat

e(%

)

LWR

(nm

)

30nm LWR Improved %

Figure 3-5 Pattern Collapse Improvement; TBAH with FIRM™

Normalized Dose 0.95 1.00 1.05 1.09 1.14 1.19 1.23

TMAH w/o FIRM

CD(nm) 33.31 32.17Normalized Dose 1.04 1.09 1.13 1.18 1.23 1.27 1.32

TBAH w/o FIRM

CD(nm) 31.19 29.54 28.03Normalized Dose 1.11 1.15 1.20 1.25 1.29 1.34 1.38

TBAH FIRM-B

CD(nm) 31.82 29.59 28.74 27.23 25.96 25.11 24.15Normalized Dose 1.11 1.15 1.20 1.25 1.29 1.34 1.38

TBAH FIRM-D

CD(nm) 28.13 26.61 25.16 26.15 21.58 Figure 3-6 Images of the Pattern Collapse Improvement

4. LWR IMPROVEMENT

4.1. Track-based LWR Improvement Two di fferent approaches to improve LWR were evaluated. The first was a smoothing process that improves LWR b y appl ying solvent vapor to th e patterned wafer s urface. T he other approach was to apply a FIR M™ process that was developed to improve pattern collapse. The FIRM™ process has also shown its effectiveness in reducing LWR. We compared effectiveness by using three different kinds of FIRM™ chemicals: FIRM™ -C and F IRM™ -D . F IRM™ - B cou ld n ot be u sed du e t o l ogistical reas ons, alth ough it d emonstrated th e bes t pattern collapse results. However, FIRM™ -D’s tested performance was close to that of FIRM™ -B’s results.

Proc. of SPIE Vol. 7969 796913-5

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

Figure.4-1 sh ows the sm oothing proces s flow a nd t he F IRM™ proces s. N ormally the F IRM™ i s appl ied immediately after rinse in the developer process but in this experiment, for logistical reasons, it was applied to the co mpletely de veloped pattern ed s urface o ffline. I f t he FIR M™ h ad been applied imm ediately af ter t he deionized (DI) water rinse, results may have been more positive.

Smoothing process

Resist patterning Organic Solvent vapor supply

Bake

FIRMTM process off-line

Resist patterning FIRM chemical Dry spin Figure.4-1 Smoothing and FIRM™ Process Flow

FIRM™ with smoothing was also tested in a feasibility study. This experiment used the eMET as an exposure tool and the ACT 12 for coat/developer processes.

0.0%

1.0%

2.0%

3.0%

4.0%

5.0%

6.0%

7.0%

8.0%

9.0%

10.0%

6.0

6.2

6.4

6.6

6.8

7.0

7.2

7.4

7.6

7.8

8.0

FIRM-C FIRM-D

Smoothing FIRM with Smoothing

LW

R Im

pro

ved

%

LW

R(n

m)

Initial FIRMSmoothing Single Smoothing or FIRM Improvend%FIRM and Smoothing Improved%

Figure.4-2 Feasibility Test Result of Smoothing, FIRM™ and Combined

Proc. of SPIE Vol. 7969 796913-6

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

Initial FIRMTM

Sm

ooth

ing

CD:30.6nmLWR: 7.3nm

CD:31.1nmLWR: 6.6nm

( 9.6%)

Smoothing

FIR

MT

Mw

ith S

moo

thin

g

CD:29.8nmLWR: 7.6nm

CD:30.8nmLWR: 7.2nm

(5.3%)

CD:29.9nmLWR: 7.4nm

(2.7%)CD:29.8nmLWR: 7.5nm

CD:30.2nmLWR: 6.9nm

(8.0%)

FIRMTM-C

FIRMTM-D

Figure.4-3 Top SEM Images of Pre- and Post-Smoothing and FIRM™

Figure.4-2 shows t he feasibility t est resu lts. F igure 4-3 shows top-down SEM i mages at 200k magnification. The smoothing process shows a 9.6% LWR improvement, and FIRM™ process shows 2.7–5.3% improvement for the two different materials. Although the difference in effectiveness is confirmed in each FIRM™ chemical result, the smoothing process still produces the best LWR results. Combined FIRM™ and smoothing techniques were also tested. When using FIRM™ -C with smoothing, melting was observed. The smoothing process was first optimized without the FIRM™. Optimizing the smoothing process may resolve this issue. On t he ot her h and, F IRM™ -D does n ot ca use a ny pat tern melting. Although F IRM™ - D with sm oothing shows no i mprovements o ver sin gle s moothing, t his may b e cau sed b y t he d ifference o f th e in itial p attern condition. From our results, the smoothing process alone showed greater promise in improving LWR.

4.2. Etch-based LWR Improvement Dry etch experiments were carried out in a capaciti vely coupled plasma etching module Tactras f rom Tokyo Electron. EUV res ist was patterned on a tri-layer stack that contained a SiARC and organic planarization layer to transfer into silicon nitride and stop on the silicon substrate. Post-etch LWR was measured after in situ resist stripping. The LWR improved 14 to 22 % after etch without any pattern collapse.

Figure.4-4 Dry Etch Results Using a Tri-layer Resist Stack

Proc. of SPIE Vol. 7969 796913-7

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

4.3. Combined Track-based and Etch-based Techniques to Improve LWR As sh own, improved LWR was conf irmed us ing bot h t he track- based an d etc h-based approach es. I mproved LWR was de monstrated u sing a co mbination of th e s moothing proces s, FIR M™ pr ocess, an d etch -based smoothing process. For this demonstration, FIRM™ -D was applied offline after the develop/DI rinse process. As shown in Figure.4-1, the FIRM™ process used was not the normal FIRM™ flow to improve pattern collapse. This experimental setup was used to con firm the effectiveness of each step using the same wafer. Figu re.4-5 shows the e xperimental; F igure 4- 6 sh ows t he de monstrated res ults; an d Fi gure 4- 7 sh ows t op-down S EM images. The ADT served as an exposure tool, while the ACT 12 was the coater/developer tool.

Figure.4-5 Experiments layer

Two kinds of target results are shown on Figure 4-6. For the 25nm line results, the reference process was the etch-based s moothing (P rocess-A), which gave a 13.2% i mprovement. Appling FIRM™ bef ore etch ing (Process-B) demonstrated a 14.5% improvement of post-etch LWR. The FIRM™ result is only slightly better than t he reference. S moothing before etc h (P rocess-C) sho wed a15.9% i mprovement i n pos t-etch LWR. T he resist pat tern LWR i mproved s ignificantly (12.4% ) aft er sm oothing. Pos t-etch LWR shows further improvement when resist smoothing is combined with etch smoothing. The Combining all techniques (FIRM™ and sm oothing be fore et ch [ Process-D]) de monstrated t he bes t i mprovement: 16.3% . H owever, t he resu lt i s close to the improvement after single smoothing. The FIRM™ process shows a slight positive effect. The same trend was also seen with the 30nm line. Figure.4-8 sh ows whole wafer pos t-etching cri tical di mension un iformity ( CDU) a nd L WR. T here i s no significant diff erence i n t he av erage C D an d C D 3s igma bet ween t he ref erence res ults an d th ose using th e combination of techniques. FIRM™ and resist smoothing do not affect the post-etching CD and CDU compared with the single etching result. L WR 3sigma is 0.32nm when al l techniques are appl ied. Improved LWR was confirmed within-wafer. LWR improvement was uniform. Figure 4-9 shows cross-section images of a post-etch SiN profile after etch-based smoothing for comparison with the combination techniques. Pattern profile shows no differences in either images. The resist smoothing and FIRM™ do not affect the post-etch pattern profile The com bination of t echniques improved pos t-etch LWR b y up t o 16.4% . T he res ist-smoothing a nd et ch-smoothing processes were responsible for the majority of the improvement. Improvements from FIRM™ are minimal and may be considered as part of the noise. However, we might argue that FIRM™ was not applied in the normal manner and therefore the improvement was not as great as expected.

Proc. of SPIE Vol. 7969 796913-8

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

Figure.4-6 Through-Etch LWR Result of Each Technique Separately and Combined

InitialResist Pattern

Post FIRMTM

Resist Pattern Post SmoothingResist Pattern

Post EtchingSiN

Pro

cess

-AP

roce

ss-B

Pro

cess

-CP

roce

ss-D

CD:25.0nmLWR:4.95nm

CD:29.8nmLWR:4.29nm

(13.2%)

CD:25.4nmLWR:4.96nm

CD:25.6nmLWR:4.91nm

(1.1%)

CD:30.2nmLWR:4.25nm

(14.5%)

CD:25.6nmLWR:4.96nm

CD:25.3nmLWR:4.34nm

(12.4%)

CD:29.8nmLWR:4.17nm

(15.9%)

CD:25.6nmLWR:4.39nm

(10.7%)CD:25.3nm

LWR:4.92nm

CD:25.5nmLWR:4.90nm

(0.4%)

CD:30.4nmLWR:4.12nm

(16.3%)

L2

5n

m/P

64n

m

InitialResist Pattern

Post FIRMTM

Resist Pattern Post SmoothingResist Pattern

Post EtchingSiN

Pro

cess

-AP

roce

ss-B

Pro

cess

-CP

roce

ss-D

L3

0n

m/P

70n

m

CD:28.9nmLWR:4.58nm

CD:33.6nmLWR:4.10nm

(10.3%)

CD:27.6nmLWR:4.57nm

CD:29.4nmLWR:4.56nm

(0.26%)

CD:34.0nmLWR:3.98nm

(12.9%)

CD:28.9nmLWR:4.57nm

CD:28.9nmLWR:4.05nm

(11.3%)

CD:33.6nmLWR:3.86nm

(15.6%)

CD:29.1nmLWR:4.59nm

CD:29.1nmLWR:4.12nm

(10.2%)

CD:29.3nmLWR:4.57nm

(0.5%)

CD:34.0nmLWR:3.84nm

(16.4%) Figure.4-7 Top SEM Images

Proc. of SPIE Vol. 7969 796913-9

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

Figure.4-8 (left) Whole Wafer Post-Etching LWR of Combined Techniques: FIRM™, Smoothing, Etch-based Smoothing

Figure. 4-9 (right) Reference (etch smoothing) SiN Pattern Profile (b) Combined Techniques; SiN Pattern Profile

5. SUMMARY

Two key lithography issues, LWR and pattern collapse, have been identified as requiring improvement as EUV moves towards high volume manufacturing. In this work, we have described track- and etch-based techniques to address t hese i ssues. We have de monstrated a ~10% i mprovement i n LWR using a t rack-based sm oothing process that includes a solvent vapor treatment post-imaging. When the smoothing process was combined with a FIRM™ process, the results were encouraging, but did not yield as much of an improvement as smoothing alone. The combined process may need to be optimized to generate more significant results.

Realizing that LWR can be improved outside of the litho cluster, etch-based smoothing was studied. Our results, using a combination of track-based processing and etch optimization, showed significant improvements, on the order of 16–17%, with final LWR values in the 3–4nm range.

Pattern co llapse is also a significant is sue in EU V lit hography, li miting t he p rocess window a nd r esolution. Decreasing the resist thickness and aspect ratio of the final images is one way to mitigate collapse and improve resolution, but it yields images that often are not high enough to survive current etch and integration schemes. In our work, a 75nm high resist film was employed and an optimized FIRM™ process was developed to reduce capillary forces, thereby mitigating collapse. Using this approach, we were able to improve the collapse margin by 3–4nm for a 30nm 1:1 li ne/space feature, while i mproving LWR b y greater t han 1 nm. B y moving to a n alternative d evelop ch emistry u sing 0 .26N TB AH in co mbination with t he o ptimized FI RM™ material, we were able to improve the collapse margin by 7–8nm. Cross-section images showed cleaner imaging and better usable resolution with the co mbined FIRM™ and T BAH develop so lution. T his co mbined p rocess p rovided greater flexibility in CD targeting without sacrificing pattern fidelity.

6. ACKNOWLIDEMENTS

The authors would like to thank Shinji Kobayashi, Satoru Shimura, Minoru Kubota, and Junichi Kitano (Tokyo Electron Kyusyu LTD) for supporting these experiments, and we would like to thank Bill Pierson of ASML for his a ssistance with th e E UV exposure tool. Also t hanks to Keiich i N ishimura a nd Kazuk i Naris hige (T okyo Electron AT LTD) for etch process experiments. We greatly appreciate th e help we received from staff of the SEMATECH RMDC for processing assistance.

(a) (b)

Proc. of SPIE Vol. 7969 796913-10

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms

7. REFERENCE 1. Koh, C .,Georger, J .,Ren, L .,Huang, G .,Goodwin, F.,W urm, S., Ashworth, D .,Pierson, W ., Parc, J .,Naulleau, P.,

“Characterization of prom ising resist pla tforms for sub-30nm H P m anufacturability and EU V CA R e xtendibility study,” Proceedings SPIE, v 7636, 763604 (2010)

2. Cho, KY,Petrillo, K.,Ashworth, D.,Georger, J.,Ren, L.,Huang, G.,Montgomery, W., “EUV resist patterning results for 22nm HP and smaller. EUVL Symposium, Kobe Japan (2010)

3. Simons, J .,Goldfarb, D., Angelopoulos, M., Messick, S., Mor eau, W., Robinson, C., DePablo, J ., Nealey, P ., “Image Collapse Issues in Photoresist,” Proceedings SPIE, V(ol 4345 (2001)

4. Watanabe, M.,T omo, Y .,Yamabe, M., K ibu, Y ., T anaka, K .,Naito, R ., “ Improvement of Re sist Pattern C ollapse, ” Proceedings SPIE, Vol 5037 (2003)

5. Hein, S. ,Rich, G.,Molina, G.,Cao, H .,Nealey, P ., “Collapse behavior of s ingle layer 183 a nd 157 nm resists: Use of surfactants in the rinse to realize the sub 130nm nodes,” Proceedings SPIE, Vol 4690 (2002)

6. Huang, V.,Chiu, C.,Lin, C.,Chang, C.,Gua, T.,Lin, B., “Effect of novel rinsing material and surfactant treatment on the resist pattern performance,” Proceedings SPIE, Vol 6519 (2007)

7. Matsunaga, K., e t a l., “ Development of re sist material a nd process for hp-2x -nm de vices using EUV lithography, ” SPIE Proceedings, vol. 7636, (2010)

8. Itani, T., et al., “Alternate developer solutions for extreme ultraviolet resist," J. Vac. Sci. Technology. B 27(6), (2009) 9. Harumoto, M., et al., Study of post-develop defect on typical EUV resist,” SPIE Proceedings, vol 7636, 2010 10. Gronheid, R .,Winroth, G ., “ Mecahnism of pa ttern c ollapse i mprovement us ing T BAH de velopment,” EU VL

Symposium, Kobe, Japan (2010) 11. Petrillo, K.,Georger, J., Ashworth, D.,Cho, KY, “Process modifications to reduce image collapse,” EUVL Syposiumm

Kobe, Japan, (2010) 12. Kobayashi, S.,Shimura, S., et al., ‘LWR reduction by novel lithographic and etch techniques,” SPIE Proceedings, vol.

763914-1, (2010)

Proc. of SPIE Vol. 7969 796913-11

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/14/2014 Terms of Use: http://spiedl.org/terms


Recommended