+ All Categories
Transcript
  • 7/28/2019 MRAM processing NOZIERES.pdf

    1/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MRAM

    PROCESSING

  • 7/28/2019 MRAM processing NOZIERES.pdf

    2/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    - MTJ used as a variable resistance

    - Resistance compatible with CMOS (~ k)

    - End-of-back-end process- No trade-off with logic process

    -Easy / cheap to embedd

    - 0 to 3 add-masks

    - No HV required

    - Front-end contamination ?

    - Low-T BE process (T

  • 7/28/2019 MRAM processing NOZIERES.pdf

    3/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Microcontamination procedure

    Table values are for blanket film.

    Etch reduces values by 30-80% onpatterned wafer

    SEZ backside clean post deposition

    and etch steps Monitoring of tools pre/post MRAM

    wafers using TXRF

    ElementElement ConcentrationConcentration

    ((g/cm2)g/cm2)BB

  • 7/28/2019 MRAM processing NOZIERES.pdf

    4/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    90nmCMOS

    MRAM

    0.29m2BitCell

    90nm front end CMOS.

    Cladded Cu M5 / M6 lines

    MRAM DEMO CHIPS

    Freescale / Everspin

    4Mb Toggle MRAM

  • 7/28/2019 MRAM processing NOZIERES.pdf

    5/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MRAM DEMO CHIPS

    Hynix 32 Mb planar STT

    14F

  • 7/28/2019 MRAM processing NOZIERES.pdf

    6/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    PROCESS SCHEMATIC - STT MRAM

    6

    Low-T

    Back end MTJ

    M0

    Bit line

    Cell

    Strap

    Pads

    Regular

    Front end

    Non-standard

    Process

    Standard

    Process

  • 7/28/2019 MRAM processing NOZIERES.pdf

    7/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Low-TBack end

    V1

    M0

    M4 Bit-Line

    V3

    M2 Bit-Line

    VM2

    MM1

    MM2 - Strap

    V4

    V2

    M1

    STI

    Pads

    Regular

    Front end

    7

    MTJNon-standard

    Process

    Standard

    Process

    Shallow via

    Cladded line

    PROCESS SCHEMATIC FIELD MRAM

  • 7/28/2019 MRAM processing NOZIERES.pdf

    8/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Decreases write current by ~2x

    (improved power, electromigration)

    Lower cladded line easy to process

    Simple replacement of liner material

    with ferromagnetic material (e.g. NiFe)

    Upper cladded line more complex multi-step process to preserve film

    continuity and prevent ferromagnetic

    material at the bottom of the bit line.

    Beware of changes in wire resistivity

    and inductance !

    FIELD MRAM - CLADDED LINES

    NiFe

  • 7/28/2019 MRAM processing NOZIERES.pdf

    9/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    FRONT END BUILD-UP

    M2M2

    oxide

    SiNx

    V2

    Process Cu CMP

    Target Oxide surface roughness

  • 7/28/2019 MRAM processing NOZIERES.pdf

    10/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Orange peel coupling

    Smooth(5x5

    m)

    Rough(1x

    1m

    Hotspots / Orange peel coupling

    FRONT END BUILD-UP

  • 7/28/2019 MRAM processing NOZIERES.pdf

    11/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    No porous dielectrics

    No large-grain-boundary metals

    Extra smoothing polish on dielectricor metal layer before mag dep

    Beware of hillocks and voids in Cu

    Beware of dishing Self-aligned Cu cap (etch back +

    backfill + smoothing CMP)

    Beware of residual slurry particles In situ megasonic cleaning or use of

    abrasive-free slurries

    FRONT END BUILD-UP

    Cu CMP issues

  • 7/28/2019 MRAM processing NOZIERES.pdf

    12/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    edge right side

    center edge

    0,17 0,24 nm rms

    FRONT END BUILD-UP

    ILD surface roughness

  • 7/28/2019 MRAM processing NOZIERES.pdf

    13/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MAGNETIC STACK

    DEPOSITION / ANNEAL

    M2M2

    oxide

    SiNx

    V3 Strap / etch stop

    Reference layer

    Storage layer

    Hard mask

    13

    M4 Bit-Line

    VM2

    MM1

    MM2 - Strap

    Pads

    V2

    Process Magnetic PVD / Anneal

    Target RA / TMR uniformity < few %

    Key issues MgO integrity (pinholes, hot spots, ), layers roughness, proper magnetics

    Materials contamination

    Impact Cells resistance distribution, switching current distribution

  • 7/28/2019 MRAM processing NOZIERES.pdf

    14/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Base electrode

    Seed layer

    Pinning layer

    Tunnel barrier

    Capping layer

    Spin injectionlayer

    Thermal barrier

    Etch stop layer

    Contact to select transistor + diffusion barrier

    Ta(5) or NiFeCr(10) : Promotes texture of crit ical layers

    PtMn(20) : AF layer sets direct ion of reference layer

    CoFeB(2) / Ru(0.8)/CoFe(2) : SAF, immune to external fields

    MgO (1.1) : Defines cell R & TMR

    Reference layer

    NiFe(3) / CoFe(2) : Stores data (2 stable states)

    Storage layer

    Protects MTJ during process

    Top Electrode

    MAGNETIC STACK

    DEPOSITION / ANNEAL

  • 7/28/2019 MRAM processing NOZIERES.pdf

    15/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Magnetic film stack grown entirely without breaking vacuum asinterface properties are of high importance in magnetics.

    Conventional PVD tools poorly suited to MTJ film deposition, as they

    lack the necessary control of film thickness, film uniformity, and

    surface roughness. Additional chamber required for finely-controlled oxidation of tunnel

    barriers

    Specialized deposition tools derived from HDD industry

    TMR read

    head

    MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    16/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    17/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    10 targets, rotating drum

    Deposition chamber

    Oxidation module :Plasma oxidation

    Natural oxidation

    RF reactive deposition

    MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    18/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    19/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    20/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    21/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MAGNETIC STACK DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    22/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Sputter Ta in chamber (used as H2O getter) during MgO deposition.With Ta getter

    w/o Ta getter

    MAGNETIC STACK DEPOSITION

    Influence of water partial pressure

  • 7/28/2019 MRAM processing NOZIERES.pdf

    23/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Anneal (Magnetic)

    Set pinning layer(s) with desired magnetization direction.

    T~250-300C (depends upon AF material)

    In-plane MTJ requires magnetic field, H~1T, low skew

    Perpendicular MTJ may not require magnetic field anneal

    Anneal (Structural)

    MgO / CoFeB crystallization

    Perpendicular layers crystallization

    Beware, magnetic films can change stoichiometry dramatically

    MAGNETIC STACK ANNEAL

  • 7/28/2019 MRAM processing NOZIERES.pdf

    24/53

  • 7/28/2019 MRAM processing NOZIERES.pdf

    25/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Amorphous CoFeB

    Polycrystalline (001)

    textured MgO

    Amorphous CoFeB

    Ru spacer

    Cap layer (Ta or Ru)

    As-deposited Annealing

    bcc CoFe

    crystalline (001)

    textured MgO

    Ru spacer

    Cap layer (Ta or Ru)

    After annealing

    Improvement of MgO

    crystallization

    Crystallization of bcc

    CoFe from the MgO

    interface and expulsion

    of the B out-of the CoFeB

    alloy

    Crystallization of bcc

    CoFe from the MgO

    interface and expulsionof the B out-of the CoFeB

    alloy

    B rich CoFeB

    bcc CoFe

    B rich CoFeB

    Important to attract B away from the tunnel barrier during the crystallization process

    Insert B getters nearby free and reference layer (Ta, Ru, Ti, Nb, Zr, Hf)

    MAGNETIC STACK ANNEAL

    Growth of Tunnel Barrier

  • 7/28/2019 MRAM processing NOZIERES.pdf

    26/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Ru-based SAF reference layer important for anneal at T>300C

    Mn diffusion l imits anneal T at ~400C

    Ultrafast (flash) anneals also allow to get good recrystallization while preventing interdiffusion

    Higher Tanneal better (MgO) but issues with interdiffusion in the metallic layers

    MAGNETIC STACK ANNEALInfluence of annealing T

  • 7/28/2019 MRAM processing NOZIERES.pdf

    27/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013MTJ ETCH

    M2M2

    oxide

    SiNx

    V3

    27

    Process Hard mask Cl-RIE Ash / Clean + MTJ etch (IBE or RIE) / Clean

    Target >85-deg sidewall (e.g. CD gain), limited O/E

    Key issues Prevent sidewalls redeposit ion, magnetic layers damage/corrosion, CD cont rol

    Insert proper etch stop layers / post etch clean

    Impact Cell Resistance distribution, R/W performances, reliability

    M4 Bit-LineVM2

    MM1

    MM2 - Strap

    Pads

    V2

  • 7/28/2019 MRAM processing NOZIERES.pdf

    28/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    CD variation

    Parasitic resistances

    parallel (shunts)

    serial (contact R)

    Edge tapering / defects

    Influences switching process

    Materials damage

    Influences witching process

    Decreased TMR (read margin)

    Corrosion (reliability)

    Parallel R shunt

    CD variation

    Contact R

    Etch remains the major process challenge

    MTJ ETCH ISSUES

  • 7/28/2019 MRAM processing NOZIERES.pdf

    29/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MTJ ETCHDistribution Considerations (Read)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    30/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    MTJ ETCHDistribution Considerations (STT Write)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    31/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Low reactivity with etched films

    Control of incident angle

    (sidewalls clean)

    Similar etch rates across a wide range

    of different materials

    HM HM

    MTJ MTJ

    Low-density MRAM Cell High-density MRAM Cell

    Etch

    Clean

    Non volatile species

    (conductive sidewall redeposits)

    Poor selectivity (wrt. mask)

    Shadowing (limits density / AR)

    Low throughput

    No 300mm history (uniformity ?)

    ION BEAM ETCHING (IBE)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    32/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Taper angle (~ 10) for physical removal of

    sidewall redeposits.

    Tradeoff between optimal cleanliness, damage

    of upper layers and CD control

    Option 1 : Single angle etch (e.g. 70-80)

    Good CD control

    Important redepositions

    Option 2 : Dual angle etch

    High angle etch for CD control Low angle sidewalls clean

    Can etch down to bottom layer

    MgOTa

    TaPtMn

    Metallic

    Redeps

    ION BEAM ETCHING (IBE)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    33/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Applied MaterialsHot cathode DPS+ Etcher

    2MHz

    Tc=150-250C

    High throughputs

    Good CD control / Vertical sidewalls

    Volatile etch by-productLimited sidewalls redepositions

    No shadowing effectsPossibility to process dense (low pitch)devices

    REACTIVE ION ETCHING (RIE)

    Process of choice in

    semiconductor industry

    Poor volatility of magnetic materials by-

    products at moderate T

    Process is very materials (stack)dependent

  • 7/28/2019 MRAM processing NOZIERES.pdf

    34/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Chlorine, Bromine RIE Chlorine attack of magnetic films (corrosion, undercut)

    Poor reactant volatility (sidewall redeposits)

    Degraded magnetic properties (halogen exposure)

    Carbonyl-based RIE (methanol or CO/NH3) more volatile byproducts

    higher selectivity with metallic masks (Ti,Ta)

    low propensity towards corrosion in these chemistries

    Option 1 : Stop on MgO Prevents impact of sidewals redeps

    Poor selectivity for MgO stop (footing / residues)

    Additional masking/etch step required (cost, cell size)

    Option 2 : Full MTJ etch High selectivity to underlayer possible

    healthy overetch to scrub MTJ sidewalls

    Sidewalls redeps critical Moderate taper angle

    REACTIVE ION ETCHING (RIE)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    35/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    1- Hard mask etch : TiN, Ta, TN

    Cl2 / F based chemistries in DPS+

    T>150CStop on Ru etch stop (capping) layer

    3- Magnetic Tunneling Junction etching :

    One step process in DPS+ reactorCO/NH3, NH3 or N2H2 chemistries

    Tcat.=150-250C

    Formation of volatile carbonyl based products

    expected at elevated T

    Three-step process in RIE process

    2- Post Etch Treatment :

    Importance ofin situ clean before MTJ etch

    Avoid Chlorine diffusion within the magnetic stack NH3 clean to remove Cl and F from Ru surface

    SiO2 HM

    TA-MRAM Stack

    Ta

    Ta

    Ru

    FeMn

    NiFe

    RuCoFe

    PtMn

    MgO

    CoFeB

    CoFe

    Hard mask

    Storage

    Reference

    REACTIVE ION ETCHING (RIE)

    REACTIVE ION ETCHING (RIE)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    36/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Chlorine effect on MTJ stack :

    NH3 (100 sccm) - 5mTorr - 800Ws / 0Wb 2min

    To avoid metallic salt formation and corrosion,chlorine must be removed.

    Dechlorination using NH3 plasma :

    Evidence of chlorine contamination at the surface

    Metallic Salts formation on Ru after air exposure

    Efficiency of NH3 Clean

    step to remove Cl and Ffrom Ru surface.

    200 nm

    REACTIVE ION ETCHING (RIE)Post etch treatment

    ( )

  • 7/28/2019 MRAM processing NOZIERES.pdf

    37/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    From IBE .

    to Chlorine

    to carbonyls

    (methanol, CONH3)

    to hydrogen

    (NH3, N2H2)

    to IBE !

    Important physical damage to MTJ

    Volatile by-products but

    decomposed by plasma !

    Nice morphology

    Degraded magnetics

    Mixed RIE/IBE process

    (IBE for critical layers

    and/or sidewalls clean)

    IBE-

    like

    IBE-

    like

    REACTIVE ION ETCHING (RIE)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    38/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013LINER DEPOSITION

    M2M2

    oxide

    SiNxV3

    38

    Process Nitride PECVD

    Target stress: -50 to -150 MPa; mean thickness: 300 30A, < 2% 1s unif,, > 70% conformality

    Key issues Conformal coverage, Queue time (corrosion)

    Impact Reliability

    M4 Bit-LineVM2

    MM1

    MM2 - Strap

    Pads

    V2

    i MRAMi MRAMLINER DEPOSITION

  • 7/28/2019 MRAM processing NOZIERES.pdf

    39/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    In-situ MTJ encapsulation after etch better but notmandatory

    Silicon oxide (TEOS precursor) void-free / conformal films at T

  • 7/28/2019 MRAM processing NOZIERES.pdf

    40/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    STRAP ETCH

    40

    M4 Bit-LineVM2

    MM1

    MM2 - Strap

    Pads

    V2

    M2M2

    oxide

    SiNxV3

    RIE (mostly Cl-based) of metal strap (usually Ta)

    Option 1 : After cell etch Photo on topology

    Option 2 : Before cell etch Photo alignment (inflated cell size)

    Option 3 : Before MTJ deposition Front-end build up (roughness) must be adjusted

    i MRAMinMRAM

    TOP CONTACT TO MTJ

  • 7/28/2019 MRAM processing NOZIERES.pdf

    41/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    41

    M4 Bit-LineVM2

    MM1

    MM2 - Strap

    Pads

    V2

    M2M2

    oxide

    SiNxV3

    M4

    Two strategies

    M2M2

    Oxide or SoG

    SiNxV3

    Direct contact (CMP-open)

    Contact via (damascene process)

    TOP CONTACT TO MTJ

    inMRAMinMRAMTOP CONTACT TO MTJ

  • 7/28/2019 MRAM processing NOZIERES.pdf

    42/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Fewest processing steps (cost)

    Need good control of CMP

    Shadowing during MTJ etch.

    Standard process

    Overlay implies large cell size

    Beware of punch-through (contamination)

    CMP open

    Use (conducting) hard mask

    as self-aligned contact

    Contact via

    Additional via in

    damascene process

    TOP CONTACT TO MTJ

  • 7/28/2019 MRAM processing NOZIERES.pdf

    43/53

    inMRAMinMRAMTOOLING

  • 7/28/2019 MRAM processing NOZIERES.pdf

    44/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    ContactCell

    CMP open / Damascene fill Process

    Cu CMP

    Mag deposition

    Mag anneal

    Cell Photo

    Cell Etch / Clean

    Encapsulation

    Strap Etch

    ILD

    Oxide CMP

    Trench Photo

    Trench Etch/Clean

    Cu seed

    Backside clean

    Cu fill

    Cu CMP

    Backside clean

    Strap Photo

    Backside clean

    Etch stop layer Dep

    Via Photo

    Via Etch/Clean

    Surface polish

    /

    /

    inMRAMinMRAMTOOLING

  • 7/28/2019 MRAM processing NOZIERES.pdf

    45/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013TOOLING

    Specific tools

    Standard / Dedicated tools

    Standard / Generic tools

    FURNACE DEPOSITION PHOTO ETCH / STRIP WET / Clean CMP

    MAGNETIC SOLUTIONS

    MRT2000

    SINGULUS TIMARIS

    (for MTJ + stap + HM dep)

    NIKON /ASML DUV (193 nm)

    + track TEL ACT 8

    AMAT Centu ra DPS+ RIE

    (for TMR +HM + Metal)

    LAM SEZ

    (for back side cleaning)

    EBARA FREX -200

    orAMAT mirra mesa (for

    Cu CMP)

    AMAT CENTURA or

    NOVELLUS C2 SEQUEL

    (for low-T oxyde / nitride)

    NIKON /ASML UV (248 nm

    or i-line) + MUV track

    VEECO IBE TOOL FOR TMR

    STACK

    SEZ orSEMITOOL RAIDER

    (for wet)

    EBARA FREX -200

    orAMAT mirra mesa (for

    Oxide CMP)

    AMAT ENDURA orLAM EXL

    (for seed & Pads deposition)

    APPLIED CENTURA MxP+or TEL UNITY

    (for oxide/nitride etch)

    SEMITOOL EPA

    (for Cu fill)

    TEL SCCM or LAM EXL

    (for slug etch back)

    inMRAMinMRAMMAGNETIC METROLOGY EQUIPMENT

  • 7/28/2019 MRAM processing NOZIERES.pdf

    46/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Need for rapid in-line (magnetic) process monitoring

    Measurement of process yield Standard serpentine-comb and via chain structures

    Probes MTJ cell / contacts / lines resistances

    Measurements of the MRAM-specific layers

    Requires magnetic fields sweep (slow) Must be non destructive

    Probes magnetics and magneto-transport properties

    (Hc, Hexch, RA, TMR, )

    On-chip testing of arrays Standard e-test without magnetic fields

    Probes cell functionality (read/write, reliability, )

    MAGNETIC METROLOGY EQUIPMENT

    inMRAMinMRAMM t O ti l K Eff t (MOKE)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    47/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    Non Contact, fast Small Signal sensitivity

    No sample size limitation

    Spot measurement on wafer (~m)

    In line wafer mapping Penetration depth limited

    No absolute magnetization

    Principle : Rotation of light polarizationafter reflection from magnetic surface

    Magneto-Optical Kerr Effect (MOKE)

    inMRAMinMRAM

  • 7/28/2019 MRAM processing NOZIERES.pdf

    48/53

    InMRAM 2013 JP.Nozieres

    inMRAMinMRAM2013

    inMRAMinMRAMCurrent-In-Plane tunneling (CiPTec)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    49/53

    InMRAM 2013 JP.Nozieres

    inMRAM2013

    Problem : How to measure

    RA, TMR in blankets films ?

    Current In Plane tunneling (CiPTec)

    BARRIER

    TOP LAYER

    [FREE]

    BOTTOM LAYER

    [PINNED]

    I+

    V+

    V-

    I-

    x xx

    Rt x

    Rb x

    RA

    x

    RA

    x

    BARRIER

    TOP LAYER

    [FREE]

    BOTTOM LAYER[PINNED]

    I+ V+ V- I-

    x xx

    Rt x

    Small probe pitch measures Rt

    Intermediate probe pitch

    measures RA and MR

    RT // RB

    RT

    Probe pitch

    Rsq

    Large probe pitch measures RT // RB

    ( ) +

    = 2ln2||

    00

    xK

    xK

    R

    RRR

    I

    VR

    B

    TBT

    inMRAMinMRAMCurrent-In-Plane tunneling (CiPTec)

  • 7/28/2019 MRAM processing NOZIERES.pdf

    50/53

    InMRAM 2013 JP.Nozieres

    2013

    No need to pattern

    Local measurement (~100m)

    Non destructive if done in scribe line

    Mapping possible

    Need special capping layer (probe-to-stack contact)

    May require low conductivity underlayer

    Tips wear / cost

    Current In Plane tunneling (CiPTec)

    inMRAMinMRAMMagnetic QSW

  • 7/28/2019 MRAM processing NOZIERES.pdf

    51/53

    InMRAM 2013 JP.Nozieres

    2013Magnetic QSW

    Principle : Standard Electroglass wafer

    prober platform with built-inquadrupole

    magnet for rotating field generation

  • 7/28/2019 MRAM processing NOZIERES.pdf

    52/53

    inMRAMinMRAM2013

  • 7/28/2019 MRAM processing NOZIERES.pdf

    53/53

    InMRAM 2013 JP.Nozieres

    2013

    THANK YOU !


Top Related