Rapid Layout Pattern Classification - Semantic Scholar · 2018. 12. 5. · Pattern Morphing...

Post on 01-Mar-2021

1 views 0 download

transcript

Rapid Layout Pattern Classification

Jen-Yi Wuu, Fedor G. Pikus, Andres Torres, Malgorzata Marek-

Sadowska

1

Outline

IntroductionSupervised Machine LearningTwo-Level Hotspot Pattern ClassificationAccuracy and Runtime EnhancementExperimental Results and Analysis

2

Illumination

IC Fabrication and Optical Lithography

Fundamental of IC fabrication: Optical LithographyLithography

Accounts for about 30% of manufacturing cost.Tends to be the technical limiter for advance in feature size reduction.

3

Reference: Chris Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, 2007.

Sub-wavelength Lithography

4

Courtesy of Raghunath Murali (http://www.mirc.gatech.edu/raghu/?p=185&cpage=1)

Resolution Enhancement Techniques

5

Optical Proximity Correction (OPC)

Sub-Resolution Assist Feature

(SRAF)

Illumination

Mask

Off-Axis Illumination (OAI)

Intensity

Wafer

180o Phase0o Phase

Phase Shift Mask (PSM)

Mask

Lithographic Hotspots

Lithographic hotspots cannot be completely eliminated.Studies have shown that hotspots are largely pattern dependent.Radius of influence becomes larger. Peripheral patterns can no longer be ignored.

6

Pinching Bridging

Central Pattern

Peripheral Pattern

Physical Verification Tools

Design Rule CheckingOne-dimensional geometrical rules are too simple and cannot describe two-dimensional patterns wellChecks become overly conservative or result in escaped hotspots

Model-Based Lithography SimulationGenerates accurate printed images and enables robust checkingExtremely computationally expensiveRequires well-calibrated process models

7

Early Lithographic Hotspot Detection

Pattern Matching-Based MethodsCollect known bad patterns into database, and scan design for occurrencesFast and efficient, but weak in recognizing previously unseen bad patternsReferences: V. Dai, et al. (SPIE, 2007), H. Yao, et al. (IET-CDS, 2008), J. Ghan, et al. (SPIE, 2009).

Dual Graph-Based MethodDerive graphs from layout geometry to model cumulative effects from patterns in close proximityReference: A.B. Kahng, et al. (TCAD, 2008).

8

Early Lithographic Hotspot Detection

Machine Learning-Based Methods Construct classification models from known good and bad patternsCapable of making prediction on unseen patterns References: J.-Y. Wuu, et al. (SPIE, 2009), D. Ding, et al. (ICICDT, 2009), D. G. Drmanac, et al. (DAC, 2009).

We present a rapid two-level hotspot pattern classification flow, utilizing both central and peripheral pattern information.

Detailed analysis of classification results is presented.

9

Supervised Machine Learning

10

Training Set

Feature Encoding

Classifier Training

Machine Learning Classifier

Training

Testing Set Feature Encoding Prediction

Predicted Class Labels

Testing

SVM maps the training data into a higher dimensional space where samples of different classes are separated by a hyperplane.

Support Vector Machine (SVM)

11

Margin

Hyperplane

Support Vectors

Support Vectors

Decision Value:

Density-Based Feature Encoding

12

Candidate

Clip Size

Pixel Size

d1

d2

d3

d4

d5

d6

d7

d8

d9

d10

d11

d12

d13

d14

d15

d16

Feature Vector = (d1, d2, …, d16)

Two-Level Lithographic Hotspot Pattern Classification Flow

13

Test Samples

Level-1 Classifier

Level-2 Classifier

Prediction Output

Fast filteringusing central patterns

False alarm reductionusing central & peripheral patterns

Two-Level Lithographic Hotspot Pattern Classification Flow

14

HotspotHotspot Non-HotspotNon-Hotspot

Feature EncodingFeature Encoding

Level-1 ClassifierLevel-1 Classifier

Feature EncodingFeature Encoding

Level-2 ClassifierLevel-2 Classifier

Training DesignTraining Design

ClassificationClassification

Sampling

Predicted: HS

Training FlowTraining Flow Testing FlowTesting Flow

Feature EncodingFeature Encoding

Feature EncodingFeature Encoding

Level-2 ClassificationLevel-2 Classification

Non-HotspotNon-Hotspot HotspotHotspot

Test DesignTest Design

Test SamplesTest Samples

Level-1 ClassificationLevel-1 ClassificationNHS HS

NHS HS

Global Density Pre-Computation

Align pixel grids and save density computation time.

15

Global GridGlobal Grid

Output Density Vectors

Output Density Vectors

DesignDesign Grid SizeGrid Size

Candidate LocationsCandidate Locations

Global Density Database

Global Density Database Snapped LocationsSnapped Locations

Pattern Morphing

Symmetrical variants of a pattern may be equivalent in terms of printability.Equivalent variants are created for each training sample.

Performed on feature vectors.No modification on original design layout.

16

Experimental Setup

Test Cases (Layer: Metal-1)

Hotspot locations verified using Mentor Graphics Calibre with real process models and RET recipesLIBSVM used for classifier construction and pattern classification.

17

Experimental Results

18

Level-1 vs. (Level-1 + Level-2) Classification

19

Distribution of Classification Results

20

Level-1 Classification

Level-1 + Level-2 Classification

Pattern Examples

Two layout patternsUndistinguishable for Level-1 ClassifierSeparated by Level-2 Classifier

21

Hotspot Non-Hotspot

Effect of Global DensityPre-Computation

22

Effect of Pattern Morphing

23

Runtime Information

24

SampleLocation

Extraction

GlobalDensity

Database

Density Vector

SynthesisPrediction Total

L2Global 0.1 0.4 0.9 0.4 1.9[1] 0.1 N/A 147.2 0.4 147.7

LithographySimulation N/A 251.1

[1] Jen-Yi Wuu, Fedor G. Pikus, Andres Torres, and Malgorzata Marek-Sadowska, “Detecting Context Sensitive Hotspots in Standard Cell Libraries,” Proc. SPIE, Vol. 7275, 727515, 2009.

False Positive Analysis

Analysis shows that most false positives are very close to hotspots.

25

Conclusions

We presented a two-level lithographic hotspot pattern classification method, based on machine learning techniques.

We utilize density-based feature encoding.Accuracy and runtime enhanced by global density pre-computation and pattern morphing.Fast and effective, suitable for early design stages.

Our method is verified on several 45nm and 32nmreal designs.Analysis on classification results shows the false positives are very close to hotspots.

26