+ All Categories
Home > Documents > Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA...

Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA...

Date post: 20-Jan-2021
Category:
Upload: others
View: 5 times
Download: 0 times
Share this document with a friend
19
Challenges and Solutions in 3DIC Extractions Dusan Petranovic Design2Silicon Division
Transcript
Page 1: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

Challenges and Solutions

in 3DIC Extractions

Dusan Petranovic Design2Silicon Division

Page 2: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

2 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Agenda

I. Status of 3DIC integration II. Die stacking configurations III. Stack verification and extraction methodology IV. New challenges in extraction and future work V. Conclusion

VS 3DIC Extracation

Page 3: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

3 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Status of TSV based 3D-IC

3D ICs are real. Lot of activities and announcements Driven by the customer demands for more functionality, larger bandwidth, low power, smaller size; Inability of 2D SoC to respond to the customer demands in cost effective and timely manner. Problems with further scaling and SoC are becoming more obvious

ROI shrinks with every new technology node Fab cost, process R&D, mask set, Chip Design, EDA,… There are still challenges in 3DIC but no technological show stoppers Various configurations -- 2.5 D (interposer based) and 3D Interposers got into the center of attention due to the industry first stacked silicon (Xillinx Virtex FPGA) Will stay around long, but might not be sufficiently good for all applications

VS 3DIC Extracation

Source: P.Garrou, Micronews, Jan.2011.

Source: www.xilinx.com/technology/roadmap/ssi-technology.htm

Page 4: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

4 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Some of the typical 3DIC configurations

VS 3DIC Extracation

2.5D Side by side die stacked on a passive interposer that includes TSV’s

3D Memory on Logic One or More DRAM die stacked directly on logic die

3D + Interposer Mix of side by side and stacked implementations on an interposer

2.5D or 3D Interposer with top and bottom connection

Page 5: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

5 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

2.5D vs. 3D configurations

2.5D Stacking, Interposer 3D Stacking, Die on Die Advantage: No on-chip TSVs Advantage: Form factor, performance, power Concern: Interposer size and cost Concern: TSV integration, thermal, stress Applications: Not- Phone driven Applications : Mobile phone driven

VS 3DIC Extracation

Logic Die

Package Substrate

Back Metal Layers

Active Circuitry Top Metal Layers

Top Metal Layers

Memory Die Active Circuitry

TSV

Top Metal Layers

Silicon

Active Circuitry

Package Substrate

Passive Interposer

Top Metal Layers

Silicon

Active Circuitry

Metal Layers

Page 6: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

6 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

3D-IC Physical Verification

• DRC: verify micro-bumps are physically aligned

• LVS: verify proper electrical connectivity through die interfaces

• PEX: Extracts parasitics of interconnect and BRDL

• Inserts provided TSV circuit into the netlists

VS 3DIC Extracation

Page 7: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

7 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

3D-IC Verification Flows

VS 3DIC Extracation

Top Metal Layers

Silicon Active Circuitry

Package Substrate

Passive Interposer

Top Metal Layers

Silicon Active Circuitry

Metal Layers

Logic Die

Package Substrate

Back Metal Layers

Active Circuitry Top Metal Layers

Top Metal Layers

Memory Die

Active Circuitry

TSV

Die 1 GDS

LEF/DEF

DRC LVS xRC

SPICE or SPEF Netlist

Die 2 GDS

LEF/DEF

DRC LVS xRC SPICE or

SPEF Netlist

3D-IC Stack

Config file

3D-IC Stack verification

SPICE/ SPEF Netlist

Results &

Reports

Single net-list for double sided die

(3D) including front metal parasitics, TSV and back metal parasitics stack including TSV and backside metal

Separate Interposer netlist (2.5D) Combined netlist, if desired, for

simulation across the dies in the stack

2.5D 3D

Page 8: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

8 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Verification Flows: Analog vs. Digital

VS 3DIC Extracation

Analog flow

Requires more accurate TSV model

Treat TSV as a LVS device or as a via

LVS device described by Spice sub-circuit

Generates HSPICE, ELDO netlist

Dynamic circuit simulation

Digital flow

Lower accuracy model requirements

Treat TSV as a via

Extraction tool generates R(C) model Can be replaced by provided model

Generates SPEF or DSPF netlist

Static timing analysis

Page 9: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

9 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Issues in the existing verification solutions • Present Solution

TSV as LVS device or as a VIA Circuit for TSV provided

• Typically obtained by S-parameter measurements and circuit parameter extraction

Model of arbitrary complexity supported for TSV in simulation Double-sided die front and back metal parasitic extraction Sufficiently good for some applications (regular layout, no RDL, low density

TSVs)

• Problems with the existing solutions Not adequate for high density, high frequency applications Problem with non-uniform environment around the TSVs Does not account for TSV interactions with other TSVs, interconnect, devices Does not consider inter-die interactions

VS 3DIC Extracation

Page 10: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

10 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Issues in Modeling of TSVs and their interactions

TSD or TSV

— Nonlinear behavior

Interactions between the TSVs — Capacitive and Inductive couplings

Interaction between TSV and interconnect — Interactions with RDL and metal lines

Impact of TSVs on device performance

— Proper substrate description and modeling is needed

TSV

Devices

Back Metal

Front Metal

Substrate

VS 3DIC Extracation

Page 11: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

11 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Interposer couplings

Interposer metal coupling might be significant In 3D configurations substrate is grounded; Interposer substrate is floating Hard to take into account with rule based extraction due to semiconductor nature of the substrate and frequency dependence of couplings Substrate treated as

— Dielectric (for higher frequencies) — Floating Metal (for lower frequencies)

Not accurate for all frequencies of interest Field Solver based solution might be needed

VS 3DIC Extracation

Interposer Metal Layers

Interposer Metal Layers

Iterposer substarte

In 2.5D Configurations This coupling might need to be modeled!?

Page 12: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

12 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Dies Interface modeling

Bump/Pillar bonding is common

Bump/Pillar modeling, interactions and shielding

Other bonding techniques Typical for Monolithic 3DIC (3DIC Si Integration)

— Cu-Cu bonding — Oxide bonding

Source: Qualcomm

VS 3DIC Extracation

pillars

Source: Lincoln Lab Source: RPI

Page 13: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

13 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Inter-die interactions

Capacitive coupling might not be negligible between the dies, especially in Face-to-Face connection

Magnetic coupling between the dies

— The dies are getting closer together — Overlapping loops between the dies

Full stack IR drop is needed — As number of TSVs is increasing, the interactions are becoming

stronger, and IR drop analysis has to be done simultaneously for the entire stack

The paths go across the dies and LVS, extraction and simulation have

to go across the dies.

Devices

Devices

Devices

VS 3DIC Extracation

Page 14: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

14 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Alternative Modeling Approaches

Single TSV models — Advantage

– Easy to integrate into a flow ; Sufficient for present needs — Challenges

– Not adequate for high density, high frequency applications

Compact models — Advantage

– Can account for some interactions; Faster than FS — Challenges

– Hard to account for all situations, to parameterize for all important variables

Field solver approach — Advantage

– Most accurate — Challenges

– Performance; Integration

VS 3DIC Extracation

Page 15: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

15 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

TSV Modeling: Compact Model for a TSV Pair

Y2C1 C1

Y2C1 C1

C1 C1Y2

C1 C1Y2

Zmetal Zmetal

Louter

Rsub

Zmetal Zmetal

Louter

RsubTSV TSV

SiO

2

SiO

2 SiO

2

SiO 2

Si depletion region

Si d

eple

tion

regi

on

Si b

ulk

regi

on Si bulk region

Si dep Si de

p Si bulk

Port1 Port2

Port3 Port4 d

H

TSV TSV

SiO

2

SiO

2 SiO 2

SiO 2

Si depletion region

Si d

eple

tion

regi

on

Si b

ulk

regi

on Si bulk region

Si dep Si d

ep

Si bulk

Port1 Port2

Port3 Port4

H

V

Substrate 2

Substrate 1

Substrate 3

I

TSV1 TSV2

109 1010 101110-5

10-4

10-3

10-2

Im(Yopen) Re(Yopen)

Yop

en: A

dmitt

ance

of

open

stru

ctur

e (S

)

Frequency (Hz)

Symbols: HFSS simulation Lines: Analytical model

109 1010 101110-2

10-1

100

101

Im(Zshort) Re(Zshort)

Z shor

t: Im

peda

nce

ofsh

ort s

truct

ure

(Ω)

Frequency (Hz)

V

Substrate 2

Substrate 1

Substrate 3

I

TSV1 TSV2

Y2C1 C1

Y2C1 C1

C1 C1Y2

C1 C1Y2

Zmetal Zmetal

Louter

Rsub

Zmetal Zmetal

Louter

Rsub

Open Structure Short Structure

Compact RLGC Model accounts for Wide frequency range Skin effect Eddy currents in substrate MOS effect

Source: C. Xu, H. Li, R. Suaya, and K. Banerjee, “Compact AC Modeling and Analysis of Cu, W, and CNT Based Through-Silicon Vias (TSVs) in 3-D ICs,” in /IEDM /Tech. Dig./, 2009, pp.521-524

Models-based results show good agreement with Field solver results (HFSS)

VS 3DIC Extracation

Page 16: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

16 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

TSV Modeling: Fast Field Solver

Energy loss proportional to amplitude of S122

— ~ 6% @ 1.5GHz Inductance effect begins to show divergence at ~ 500MHz

Si

G S G

G G G

G G G

Top View

0.965

0.97

0.975

0.98

0.985

0.99

0.995

0 0.5 1 1.5 2

With Ind

Without Ind

0

0.005

0.01

0.015

0.02

0 0.5 1 1.5 2

With Ind

Without Ind

Amplitude of S12

Phase of S12 (radians)

Two ports defined: Port 1: Signal Pillar to Ground Pillars Port 2: Signal TSV to Ground TSV Ground TSVs are shortened together

pillars

TSVs

VS 3DIC Extracation

Page 17: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

17 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Fast Field Solver Output

VS 3DIC Extracation

Output: Netlist of frequency-independent linear elements. Values of those elements will be computed by fitting the frequency dependent results of the field solver

TSV_top TSV_top

TSV_top TSV_top

TSV_bot TSV_bot

TSV_bot TSV_bot

Page 18: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

18 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

Conclusions

Lot of challenges in design and verification of 3DICs, more in 3D then

in 2.5D Present verification solutions inadequate for high TSV density and

high frequency designs Challenges in parasitics extraction, not in DRC/LVS Determination of modeling/extraction accuracy needed to analyze

TSV, intra and inter die interactions Need for modeling of TSVs and their interactions Fast field solver solution needed for accurate substrate effect

modeling Efficient TSV model integration into the verification flows Analysis with inter die process variability

VS 3DIC Extracation

Source: Qualcomm

Page 19: Challenges and Solutions in 3DIC Extractions · •Present Solution TSV as LVS device or as a VIA Circuit for TSV provided • Typically obtained by S-parameter measurements and circuit

19 © 2011 Mentor Graphics Corp. All Rights Reserved www.mentor.com

w w w . m e n t o r . c o m

VS 3DIC Extracation


Recommended