+ All Categories
Home > Documents > Final Presentation

Final Presentation

Date post: 25-Nov-2014
Category:
Upload: harsh-shah
View: 199 times
Download: 1 times
Share this document with a friend
Popular Tags:
45
IMPLEMENTATION OF IMPLEMENTATION OF DIGITAL PHASE LOCK DIGITAL PHASE LOCK LOOP LOOP USING VHDL USING VHDL Implementation of Phase Lock Loop using VHDL 1
Transcript
Page 1: Final Presentation

IMPLEMENTATION OFIMPLEMENTATION OF

DIGITAL PHASE LOCK DIGITAL PHASE LOCK LOOPLOOP

USING VHDLUSING VHDLImplementation of Phase Lock Loop using VHDL 1

Page 2: Final Presentation

Group Members:Krunal Nandu – 0823125Sonali Desai – 0823142

Bhargav Shah – 0823144

Under the Guidance of:

Prof. (Mrs.) ANUDEEPA S. KHOLAPURE

Implementation of Phase Lock Loop using VHDL 2

Page 3: Final Presentation

ContentsContents• Introduction to Phase Lock Loop • Why FPGA(Field Programmable Gate

Array)?• MATLAB Modeling & Simulation Results• VHDL – An Introduction• System Generator Block – Code

Conversion Process• Xilinx Synthesis• Hardware Simulation Results• Conclusion & Future Scope

Implementation of Phase Lock Loop using VHDL 3

Page 4: Final Presentation

Introduction to PLL Introduction to PLL (Phase Lock Loop)(Phase Lock Loop)

Implementation of Phase Lock Loop using VHDL 4

Page 5: Final Presentation

Implementation of Phase Lock Loop using VHDL 5

DPLL-Digital Phase Lock Loop Block

Diagram:

PFD : Phase / Frequency Detector VCO: Voltage Controlled Oscillator

Page 6: Final Presentation

APPLICATIONS OF Phase Lock

Loops:• Clock Distribution• Frequency Synthesizers• AM Detection• FM Detector• Cell Phone Transceivers

Implementation of Phase Lock Loop using VHDL 6

Page 7: Final Presentation

Implementation of Phase Lock Loop using VHDL 7

Clock Distribution:

Page 8: Final Presentation

Implementation of Phase Lock Loop using VHDL 8

FREQUENCY SYNTHESIZERS:

Page 9: Final Presentation

Implementation of Phase Lock Loop using VHDL 9

AM DETECTION:

Page 10: Final Presentation

Implementation of Phase Lock Loop using VHDL 10

FM TRANSMITTER:

Page 11: Final Presentation

Implementation of Phase Lock Loop using VHDL 11

FM DETECTOR:

Page 12: Final Presentation

Why FPGA (Field Programmable

Gate Array)?

Implementation of Phase Lock Loop using VHDL 12

Page 13: Final Presentation

Need of FPGA: COMPARISON OF LARGE SCALE

INTEGRATED CIRCUITS

Implementation of Phase Lock Loop using VHDL 13

Page 14: Final Presentation

FPGA Architecture:

Implementation of Phase Lock Loop using VHDL 14

Page 15: Final Presentation

FPGA vs. Microcontrollers:

• Microcontrollers are based on CPU architecture. As all CPUs, they execute instructions in a sequential manner.

• FPGAs are programmable logic and run in a parallel fashion.

• Microcontrollers have on-chip peripherals that also execute in parallel with their CPU. But they are still much less configurable than programmable logic.

Implementation of Phase Lock Loop using VHDL 15

Page 16: Final Presentation

Advantages of FPGA• Time to Market• Performance• Cost• Reliability• Maintenance

Implementation of Phase Lock Loop using VHDL 16

Page 17: Final Presentation

DPLL Block Diagram

Implementation of Phase Lock Loop using VHDL 17

Page 18: Final Presentation

Phase Detection Circuit

Implementation of Phase Lock Loop using VHDL 18

Page 19: Final Presentation

MATLAB Digital Phase Lock Loop Model

Implementation of Phase Lock Loop using VHDL 19

Delay:Latency = 5 (Z-5)

Page 20: Final Presentation

Input Waveform

Implementation of Phase Lock Loop using VHDL 20

Input Waveform Characteristics:

Amplitude = 1v Period = 2sec

% Duty Cycle = 50

Phase delay = 0sec

Page 21: Final Presentation

Input Delayed Waveform

Implementation of Phase Lock Loop using VHDL 21

Input Delayed Waveform Characteristics:

Phase Delay = 5sec

% Duty Cycle = 50

Period = 2sec

Amplitude = 1v

Page 22: Final Presentation

Output Waveform

Implementation of Phase Lock Loop using VHDL 22

Page 23: Final Presentation

Error Signal

Implementation of Phase Lock Loop using VHDL 23

Error Signal is low when there is a mismatch in phase of both inputs.

Page 24: Final Presentation

VHDL – An VHDL – An IntroductionIntroduction

Implementation of Phase Lock Loop using VHDL 24

Page 25: Final Presentation

Implementation of Phase Lock Loop using VHDL 25

Introduction• Hardware description languages (HDL)

o Language to describe hardwareo Two popular languages

• VHDL: Very High Speed Integrated Circuits Hardware Description LanguageoDeveloped by DOD from 1983o IEEE Standard 1076-1987/1993/200xoBased on the ADA language

• Verilogo IEEE Standard 1364-1995/2001/2005oBased on the C language

Page 26: Final Presentation

Implementation of Phase Lock Loop using VHDL 26

Applications of Hardware Description Language

• Model and document digital systemsoDifferent levels of abstraction

• Behavioral, structural, etc.• Verify design• Synthesize circuits

oConvert from higher abstraction levels to lower abstraction levels

Page 27: Final Presentation

Implementation of Phase Lock Loop using VHDL 27

Built-in Datatypes• Scalar (single valued) signal types:

o bito booleano integero Examples:

• A: in bit;• G: out boolean;• K: out integer range -2**4 to 2**4-1;

• Aggregate (collection) signal types:o bit_vector: array of bits representing binary numberso signed: array of bits representing signed binary numberso Examples:

• D: in bit_vector(0 to 7);• E: in bit_vector(7 downto 0);• M: in signed (4 downto 0); --signed 5 bit_vector binary number

Page 28: Final Presentation

Implementation of Phase Lock Loop using VHDL 28

Input-Output specification of circuit

my_ckt

A

B

S

X

Y

Example: my_ckt Inputs: A, B, C Outputs: X, Y

VHDL description:

entity my_ckt is port (

A: in bit; B: in bit; S: in bit; X: out bit;

Y: out bit);

end my_ckt ;

Page 29: Final Presentation

RTL Design Flow Chart

Implementation of Phase Lock Loop using VHDL 29

RTL : Register Transfer Level

Page 30: Final Presentation

XiLiNX SysGen : XiLiNX SysGen : System GeneratorSystem Generator

Implementation of Phase Lock Loop using VHDL 30

Page 31: Final Presentation

SysGen Design Flow Chart

Implementation of Phase Lock Loop using VHDL 31

Page 32: Final Presentation

SysGen Toolbox in SIMULINK

Implementation of Phase Lock Loop using VHDL 32

Page 33: Final Presentation

SysGen– Code Conversion

Implementation of Phase Lock Loop using VHDL 33

System Generator Block Parameters:

Compilation = HDL Netlist

Synthesis Tool = XST

HD Language = VHDL

FPGA Clock Period = 100ns

Simulink System period = 1s

Page 34: Final Presentation

Spartan3 XC3S400 FPGA Trainer Kit:

Implementation of Phase Lock Loop using VHDL 34

Page 35: Final Presentation

XC3S400 Specifications

Implementation of Phase Lock Loop using VHDL 35

Page 36: Final Presentation

XiLiNX XiLiNX SynthesisSynthesis

Implementation of Phase Lock Loop using VHDL 36

Page 37: Final Presentation

Xilinx Project Navigator Xilinx Project Navigator WindowWindow

Implementation of Phase Lock Loop using VHDL 37

Page 38: Final Presentation

Implementation of Phase Lock Loop using VHDL 38

Page 39: Final Presentation

RTL Schematic of the VHDL Code

Implementation of Phase Lock Loop using VHDL 39

Page 40: Final Presentation

VHDL Design Summaryd_pll_cw Project Status Report

Project File: d_pll_cw.xise • Parser Errors: No Errors

Module Name: d_pll_cw • Implementation State:

Programming File Generated

Target Device: xc3s400-5pq208 • Errors: No Errors

Product Version: ISE 12.3 • Warnings: 32 Warnings (29 new)

Design Goal: Balanced • Routing Results: All Signals Completely Routed

Design Strategy: Xilinx Default (unlocked)

• Timing Constraints: All Constraints Met

Environment: System Settings • Final Timing

Score: 0 (Timing Report)

Implementation of Phase Lock Loop using VHDL 40

Page 41: Final Presentation

Conclusion & Future Scope

• The Digital PLL Model Using MATLAB & VHDL was successfully implemented on the FPGA kit.

• The input waveform seen on the CRO is tracked by the Digital PLL and it produces an output waveform with similar frequency characteristics.

• Although some amount of jitter is visible in the output waveform, we can further refine the same using high precision function generators and oscilloscopes.

Implementation of Phase Lock Loop using VHDL 41

Page 42: Final Presentation

The work of design, simulation and layout is challenging and interesting. We learned a lot in this process, not only the knowledge about VHDL, but also the importance of teamwork. We felt excited when the simulation results are the same as what we expected, when our design passed the Synthesis process and especially when the programming window showed “PROGRAMMING SUCCEEDED”.

Implementation of Phase Lock Loop using VHDL 42

Page 43: Final Presentation

Observation TableSr. No. Voltage Input Signal

FrequencyOutput Signal Frequency

1 3V 100 Khz 100 Khz2 3V 500 Khz 500 Khz3 3V 1 Mhz 1 Mhz4 3V 10 Mhz 10 Mhz

Implementation of Phase Lock Loop using VHDL 43

The lock in time of our model is very quick because of the simplicity of the design. If we design a more complex PLL, the lock-in time may be shorter.

Page 44: Final Presentation

References• [1] A. Demir, E. Liu, A. Sangiovanni-Vincentelli, and I. Vassiliou.

Behavioral simulation techniques for phase/delay locked systems. Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 453-456, May 1994.

• [2] Crawford, Advanced Phase-Lock Techniques using Simulink, Artech House, 2008.

• [3] Douglas Perry, VHDL by Example, 4th Ed. 2004.• [4] F. Gardener, Phase Lock Techniques, Wiley Interscience

publications, 1979.• [5] Karris, Circuit Analysis with MATLAB Computing and Simulink/

SimPowerSystems Modeling, Orchard Publications 2009• [6] R.E. Best, Phase-Locked Loops - Design, Simulation, and

Applications, 4th Ed., McGraw-Hill, 1996.• [7] Volnei A, Pedroni, MIT Press, Circuit Design With VHDL, 2004.• [8] Xilinx Inc., "Spartan3E Starter Kit Board User Guide," UG230 vl.0,

Mar. 2006.• [9] Xilinx Inc., "Spartan3E FPGA Family: Complete Datasheet," DS312,

May. 2006.Implementation of Phase Lock Loop using VHDL 44

Page 45: Final Presentation

THANK YOU!THANK YOU!

Implementation of Phase Lock Loop using VHDL 45


Recommended