+ All Categories
Home > Documents > II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit...

II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit...

Date post: 19-Mar-2018
Category:
Upload: ngonga
View: 225 times
Download: 3 times
Share this document with a friend
73
5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2 757.25 10 = 2F5.40 16 = 0010 1111 0101 .0100 0000 2 2 F 5 4 0 1.1 (a) 1.1 (b) 123.17 10 16 | 123 0.17 16 | 7 r11 16 0 r7 (2).72 16 (11).52 16 (8).32 123.17 10 = 7B.2B 16 = 0111 1011 .0010 1011 2 7 B 2 B 356.89 10 16 | 356 0.89 16 | 22 r4 16 16 | 1 r6 (14).24 0 r1 16 (3).84 16 (13).44 16 (7).04 356.89 10 = 164.E3 16 = 0001 0110 0100 .1110 0011 2 1 6 4 E 3 1.1 (c) 1.1 (d) 1063.5 10 16 | 1063 0.5 16 | 66 r7 16 16 | 4 r2 (8).00 0 r4 1063.5 10 = 427.8 16 = 0100 0010 0111 .1000 2 4 2 7 8 EB1.6 16 = E × 16 2 + B × 16 1 + 1 × 16 0 + 6 × 16 –1 = 14 × 256 + 11 × 16 + 1 + 6/16 = 3761.375 10 1110 1011 0001 .011(0) 2 E B 1 6 7261.3 8 = 7 × 8 3 + 2 × 8 2 + 6 × 8 1 + 1 + 3 × 8 –1 = 7 × 512 + 2 × 64 + 6 × 8 + 1 + 3/8 = 3761.375 10 111 010 110 001 .011 8 7 2 6 1 3 1.2 (a) 1.2(b) 59D.C 16 = 5 × 16 2 + 9 × 16 1 + D × 16 0 + C × 16 –1 = 5 × 256 + 9 × 16 + 13 + 12/16 = 1437.75 10 0101 1001 1101 .1100 16 5 9 D C 2635.6 8 = 2 × 8 3 + 6 × 8 2 + 3 × 8 1 + 5 × 8 0 + 6 × 8 –1 = 2 × 512 + 6 × 64 + 3 × 8 + 5 + 6/8 = 1437.75 10 010 110 011 101 .110 8 2 6 3 5 6 3BA.25 14 = 3 × 14 2 + 11 × 14 1 + 10 × 14 0 + 2 × 14 –1 + 5 ×14 –2 = 588 + 154 + 10 + 0.1684 = 752.1684 10 6 | 752 0.1684 6 | 125 r2 6 6 | 20 r5 (1).0104 6 | 3 r2 6 0 r3 (0).0624 6 (0).3744 6 (2).2464 6 (1).4784 3BA.25 14 = 752.1684 10 = 3252.1002 6 1.3 1.4 (a) 1457.11 10 16 | 1457 0.11 16 | 91 r1 16 16 | 5 r11=B 16 (1).76 0 r5 16 (12).16 1457.11 10 = 5B1.1C 16 1.4 (c) 5B1.1C 16 = 11 23 01 .01 30 4 5 B 1 1 C 5 B 1 1 C 1.4 (b) 5B1.1C 16 = 010110110001.00011100 2 =2661.070 8 2 6 6 1 0 7 0 1.4 (d) DEC.A 16 = D × 16 2 + E × 16 1 + C × 16 0 + A× 16 –1 = 3328 + 224 + 12 + 0.625 =3564.625 10
Transcript
Page 1: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

5

II SOLUTIONS TO HOMEWORK PROBLEMSUnit 1 Problem Solutions

7572510 16 | 757 025 16 | 47 r5 16 16 | 2 r15=F16 (4)00 0 r2

there4 7572510 = 2F54016 = 0010 1111 01010100 00002 2 F 5 4 0

11 (a) 11 (b) 1231710 16 | 123 017 16 | 7 r11 16 0 r7 (2)72 16 (11)52 16 (8)32

there4 1231710 = 7B2B16 = 0111 10110010 10112 7 B 2 B3568910

16 | 356 089 16 | 22 r4 16 16 | 1 r6 (14)24 0 r1 16 (3)84 16 (13)44 16 (7)04

there4 3568910 = 164E316 = 0001 0110 01001110 00112 1 6 4 E 3

11 (c)

11 (d) 1063510 16 | 1063 05 16 | 66 r7 16 16 | 4 r2 (8)00 0 r4

there4 1063510 = 427816 = 0100 0010 011110002 4 2 7 8

EB1616 = E times 162 + B times 161 + 1 times 160 + 6 times 16ndash1

= 14 times 256 + 11 times 16 + 1 + 616 = 3761375101110 1011 0001011(0)2 E B 1 6

726138 = 7 times 83 + 2 times 82 + 6 times 81 + 1 + 3 times 8ndash1

= 7 times 512 + 2 times 64 + 6 times 8 + 1 + 38 = 376137510111 010 110 0010118

7 2 6 1 3

12 (a) 12(b) 59DC16 = 5 times 162 + 9 times 161 + D times 160 + C times 16ndash1

= 5 times 256 + 9 times 16 + 13 + 1216 = 143775100101 1001 1101110016 5 9 D C

263568 = 2 times 83 + 6 times 82 + 3 times 81 + 5 times 80 + 6 times 8ndash1

= 2 times 512 + 6 times 64 + 3 times 8 + 5 + 68 = 14377510010 110 011 1011108 2 6 3 5 6

3BA2514 = 3 times 142 + 11 times 141 + 10 times 140 + 2 times 14ndash1

+ 5 times14ndash2

= 588 + 154 + 10 + 01684 = 752168410

6 | 752 01684 6 | 125 r2 6 6 | 20 r5 (1)0104 6 | 3 r2 6 0 r3 (0)0624 6 (0)3744 6 (2)2464 6 (1)4784

there4 3BA2514 = 752168410 = 325210026

13 14 (a) 14571110 16 | 1457 011 16 | 91 r1 16 16 | 5 r11=B16 (1)76 0 r5 16 (12)16

there4 14571110 = 5B11C16

14 (c) 5B11C16 = 11 23 0101 304 5 B 1 1 C

5 B 1 1 C14 (b) 5B11C16 = 010110110001000111002 =26610708 2 6 6 1 0 7 0

14 (d) DECA16 = D times 162 + E times 161 + C times 160 + Atimes 16ndash1 = 3328 + 224 + 12 + 0625 =356462510

6 7

130537510 16 | 1305 0375 16 | 81 r9 16 5 r1 (6)000

there4 130537510 = 51960016 = 0101 0001 10010110 0000 00002 5 1 9 6 0 0

110 (d) 164487510 16 | 1644 0875 16 | 102 r12 16 6 r6 (14)000

there4 164487510 = 66CE0016 = 0110 0110 11001110 0000 00002 6 6 C E 0 0

110 (a)

110 (c)

15 (a) 1 1 1 1111 (Add) 1111 (Sub) +1010 minus1010 11001 0101

1111 (Multiply) times1010 0000 1111 11110 0000 011110 1111 10010110

See FLD p 625 for solution

101 111 010 100101 2 = 572458= 5 times 83 + 7 times 82 + 2 times 81 + 4 times 80 + 5 times 8ndash1

= 5 times 512 + 7 times 64 + 2 times 8 + 4 + 58= 302862510

1011 1101 010010102 = BD4A16B times 162 + D times 161 + 4 times 160 + A times 16ndash1

11 times 256 + 13 times 16 + 4 + 1016= 302862510

111 (a)

375548 = 3 times 64+ 7 times 8 + 5 + 58 + 464 = 253687510 3 | 253 069 3 | 84 r1 3 3 | 28 r0 (2)07 3 | 9 r1 3 3 | 3 r0 (0)21 3 | 1 r0 3 0 r1 (0)63 3 (1)89there4 375548 = 10010120013

3011210 16 | 301 012 16 | 18 r13 16 1 r2 (1)92 16 (14)72

there4 3011210 = 12D1E8 = 0001 0010 11010001 11102

1 2 D 1 E

110 (b) 1113310 16 | 111 033 6 r15 = F16 16 (5)28 16 (4)48

there4 1113310 = 6F5416 = 0110 11110101 01002 6 F 5 4

111 (b) 100 001 101 1110102 = 415728= 4 times 83 + 1 times 82 5 times 81 + 7 times 80 + 2 times 8ndash1

= 4 times 512 + 1 times 64 + 5 times 8 + 7 + 28= 21592510

1000 0110 111101002 = 86F416= 8 times 162 + 6 times 161 + F times 160 times 4 times 16ndash1

= 8 times 256 + 6 times 16 + 15 + 416= 21592510

112 (b) 3847410 4 | 384 074 4 | 96 r0 4 4 | 24 r0 (2)96 4 | 6 r0 4 4 | 1 r2 (3)84 0 r1 4 (3)36there4 3847410 = 120002331134

112 (a)

16 17 18

15 (b c) See FLD p 625 for solution

6 7

1 1 1 1 1 1

1111 (Add) 1111 (Subtract) 1001 1001 11000 0110

1111 (Multiply) 1001 1111 0000 01111 0000 001111 1111 10000111

115(b) 1 1 1 1 1 1101001 (Add) 1101001 (Sub) 110110 110110 10011111 110011

1101001 (Mult) 110110 0000000 1101001 11010010 1101001 1001110110 0000000 1001110110 1101001 100100000110 1101001 1011000100110

115 (a)

112 (c)

2983 636410 = 8 | 2983 0984 8 | 372 r7 8 8 | 46 r4 (7)872 9 | 5 r6 8 0 r5 (6)976

there4 2983 636410 = 5647768 (or 5647778) = 101 110 100 111111 1102 (or 101 110 100 111111 1112)

114 (b) 937010 8 | 93 070 8 | 11 r5 8 8 | 1 r3 (5)60 0 r1 8 (4)80

there4 937010 = 135548 = 001 011 101101 1002

114 (a)

114 (c)

A52A411 = 10 times 121 + 5 times 11 + 2 + 1011 + 4121 = 12679410

9 | 1267 094 9 | 140 r7 9 9 | 15 r5 (8)46 9 | 1 r6 9 0 r1 (4)14

there4 A52A411 = 12679410 = 165784279

113 1110212202113 01 11 02 1220 21 10 = 14256739

Base 3 Base 900 001 102 210 311 412 520 621 722 8

1900 313210 8 | 1900 0969 8 | 273 r4 8 8 | 29 r5 (7)752 9 | 3 r5 8 0 r3 (6)016

there4 1900 313210 = 3554768 = 011 101 101 100111 1102

114 (d) 1093010 8 | 109 030 8 | 13 r5 8 8 | 1 r5 (2)40 0 r1 8 (3)20

there4 1093010 = 155238 = 001 101 101010 0112

1 1 1

1 1 1

8 9

1011 Quotient 1010 )1110100 1010 10010 1010 10000 1010 110 Remainder

117(b) 11011 Quotient 1110 )110000001 1110 10100 1110 11000 1110 10101 1110 111 Remainder

1 1 1 1 1 110010 (Add) 110010 (Sub) 11101 11101 1001111 10101

1 1 1 1 1116 (a) 10100100 (b) 10010011 01110011 01011001 0110001 00111010

1 1 (c) 11110011 10011110 01010101

10111 Quotient 110 )10001101 110 1011 110 1010 110 1001 110 11 Remainder

100011 Quotient 1011 )110000011 1011 10001 1011 1101 1011 10 Remainder

4 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 1 0 0 05 1 0 0 16 1 0 1 07 1 1 0 08 1 1 0 19 1 1 1 0

9154 = 1110 0001 1001 1000

115(c)

117 (a)

117(c)

1 1 1 1

101110 Quotient 101 )11101001 101 1001 101 1000 101 110 101 11 Remainder

1100 Quotient 1001 )1110010 1001 1010 1001 110 Remainder

110010 (Mult) 11101 110010 000000 0110010 110010 11111010 110010 1010001010 110010 10110101010

118(b)

119

118 (a)

118(c)

8 9

5-3-1-1 is possible but 6-4-1-1 is not because there is no way to represent 3 or 9 Alternate Solutions

5 3 1 10 0 0 0 01 0 0 0 12 0 0 1 13 0 1 0 04 0 1 0 15 1 0 0 06 1 0 0 17 1 0 1 18 1 1 0 09 1 1 0 1

5-4-1-1 is not possible because there is no way to represent 3 or 8 6-3-2-1 is possible

6 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 1 0 0 07 1 0 0 18 1 0 1 09 1 1 0 0

Alternate Solutions

6 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 0 1 1 16 1 0 0 07 1 0 0 18 1 0 1 09 1 0 1 1

1100 0011 = 83

(0100)(0101)

(1100)(1101)

Alternate Solutions

5 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 1 0 0 06 1 0 0 17 1 0 1 08 1 0 1 19 1 1 1 0

1110 0110 = 94

(0100)(0101)

(1100)(1101)

120 122121

123 Alternate Solutions

7 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 0 1 1 17 1 0 0 08 1 0 0 19 1 0 1 0A 1 1 0 0B 1 1 0 1

(0011)

(1011)

124

B4A9 = 1101 0101 1100 1010 Alt = 1011

125 (a) 2222210 16 | 222 022 16 | 13 r14 16 0 r13 (3)52 16 (8)32there4 2222210 = DE3816= 1000100 1000101 0101110 0110011 0111000 D E 3 8

125 (b) 1838110 16 | 183 081 16 | 11 r7 16 0 r11 (12)96 16 (15)36there4 1838110 = B7CF16= 1000010 0110111 0101110 1000011 1000110 B 7 C F

(0010)

(0110)

(1010)

(1110)

10

(ndash8) + (ndash11) (ndash8) + (ndash11) 111000 110111 110101 110100 (1)101101 (ndash19) 1101011 1 101100 (ndash19)

10110 10110 + 10011 + 10010 (1)01001 101000 overflow 1 01001 overflow

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash6) (ndash10) + (ndash6) 110110 110101 11010 111001 (1)110000 (ndash16) 1101110 1 101111 (ndash16)

11011 11011 + 11001 + 11000 (1)10100 110011 1 10100

126 (a)

126 (c)

126 (e)127 (a)

127 (c)

10001 10001 + 10110 + 10101 (1)00111 100110 overflow 1 00111 overflow

In 2rsquos complement In 1rsquos complement 11100 11100 + 01011 + 01010 (1)00111 100110 1 00111

127 (e)

128 (a)

10101 10101 + 00110 + 00101 11011 11010

128 (c)

128 (b)

128 (d)

In 2rsquos complement In 1rsquos complement 11010 11010 + 01100 + 01011 (1)00110 100101 1 00110

01011 01011 + 01000 + 00111 10011 10010

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash11) (ndash10) + (ndash11) 110110 110101 110101 110100 (1)101011 (ndash21) 1101001 1 101010 (ndash21)

(ndash11) + (ndash4) (ndash11) + (ndash4) 110101 110100 111100 111011 (1)110001 (ndash15) 1101111 1 110000 (ndash15)

126 (b)

126 (d) 11 + 9 11 + 9 001011 001011 001001 001001 010100 (20) 010100 (20)

01001minus11010 In 2rsquos complement In 1rsquos complement 01001 01001 + 00110 + 00101 01111 01110

127 (b) In 2rsquos complement In 1rsquos complement 11010 11010 + 00111 + 00110 (1)00001 100000 1 00001

127 (d)

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 2: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

6 7

130537510 16 | 1305 0375 16 | 81 r9 16 5 r1 (6)000

there4 130537510 = 51960016 = 0101 0001 10010110 0000 00002 5 1 9 6 0 0

110 (d) 164487510 16 | 1644 0875 16 | 102 r12 16 6 r6 (14)000

there4 164487510 = 66CE0016 = 0110 0110 11001110 0000 00002 6 6 C E 0 0

110 (a)

110 (c)

15 (a) 1 1 1 1111 (Add) 1111 (Sub) +1010 minus1010 11001 0101

1111 (Multiply) times1010 0000 1111 11110 0000 011110 1111 10010110

See FLD p 625 for solution

101 111 010 100101 2 = 572458= 5 times 83 + 7 times 82 + 2 times 81 + 4 times 80 + 5 times 8ndash1

= 5 times 512 + 7 times 64 + 2 times 8 + 4 + 58= 302862510

1011 1101 010010102 = BD4A16B times 162 + D times 161 + 4 times 160 + A times 16ndash1

11 times 256 + 13 times 16 + 4 + 1016= 302862510

111 (a)

375548 = 3 times 64+ 7 times 8 + 5 + 58 + 464 = 253687510 3 | 253 069 3 | 84 r1 3 3 | 28 r0 (2)07 3 | 9 r1 3 3 | 3 r0 (0)21 3 | 1 r0 3 0 r1 (0)63 3 (1)89there4 375548 = 10010120013

3011210 16 | 301 012 16 | 18 r13 16 1 r2 (1)92 16 (14)72

there4 3011210 = 12D1E8 = 0001 0010 11010001 11102

1 2 D 1 E

110 (b) 1113310 16 | 111 033 6 r15 = F16 16 (5)28 16 (4)48

there4 1113310 = 6F5416 = 0110 11110101 01002 6 F 5 4

111 (b) 100 001 101 1110102 = 415728= 4 times 83 + 1 times 82 5 times 81 + 7 times 80 + 2 times 8ndash1

= 4 times 512 + 1 times 64 + 5 times 8 + 7 + 28= 21592510

1000 0110 111101002 = 86F416= 8 times 162 + 6 times 161 + F times 160 times 4 times 16ndash1

= 8 times 256 + 6 times 16 + 15 + 416= 21592510

112 (b) 3847410 4 | 384 074 4 | 96 r0 4 4 | 24 r0 (2)96 4 | 6 r0 4 4 | 1 r2 (3)84 0 r1 4 (3)36there4 3847410 = 120002331134

112 (a)

16 17 18

15 (b c) See FLD p 625 for solution

6 7

1 1 1 1 1 1

1111 (Add) 1111 (Subtract) 1001 1001 11000 0110

1111 (Multiply) 1001 1111 0000 01111 0000 001111 1111 10000111

115(b) 1 1 1 1 1 1101001 (Add) 1101001 (Sub) 110110 110110 10011111 110011

1101001 (Mult) 110110 0000000 1101001 11010010 1101001 1001110110 0000000 1001110110 1101001 100100000110 1101001 1011000100110

115 (a)

112 (c)

2983 636410 = 8 | 2983 0984 8 | 372 r7 8 8 | 46 r4 (7)872 9 | 5 r6 8 0 r5 (6)976

there4 2983 636410 = 5647768 (or 5647778) = 101 110 100 111111 1102 (or 101 110 100 111111 1112)

114 (b) 937010 8 | 93 070 8 | 11 r5 8 8 | 1 r3 (5)60 0 r1 8 (4)80

there4 937010 = 135548 = 001 011 101101 1002

114 (a)

114 (c)

A52A411 = 10 times 121 + 5 times 11 + 2 + 1011 + 4121 = 12679410

9 | 1267 094 9 | 140 r7 9 9 | 15 r5 (8)46 9 | 1 r6 9 0 r1 (4)14

there4 A52A411 = 12679410 = 165784279

113 1110212202113 01 11 02 1220 21 10 = 14256739

Base 3 Base 900 001 102 210 311 412 520 621 722 8

1900 313210 8 | 1900 0969 8 | 273 r4 8 8 | 29 r5 (7)752 9 | 3 r5 8 0 r3 (6)016

there4 1900 313210 = 3554768 = 011 101 101 100111 1102

114 (d) 1093010 8 | 109 030 8 | 13 r5 8 8 | 1 r5 (2)40 0 r1 8 (3)20

there4 1093010 = 155238 = 001 101 101010 0112

1 1 1

1 1 1

8 9

1011 Quotient 1010 )1110100 1010 10010 1010 10000 1010 110 Remainder

117(b) 11011 Quotient 1110 )110000001 1110 10100 1110 11000 1110 10101 1110 111 Remainder

1 1 1 1 1 110010 (Add) 110010 (Sub) 11101 11101 1001111 10101

1 1 1 1 1116 (a) 10100100 (b) 10010011 01110011 01011001 0110001 00111010

1 1 (c) 11110011 10011110 01010101

10111 Quotient 110 )10001101 110 1011 110 1010 110 1001 110 11 Remainder

100011 Quotient 1011 )110000011 1011 10001 1011 1101 1011 10 Remainder

4 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 1 0 0 05 1 0 0 16 1 0 1 07 1 1 0 08 1 1 0 19 1 1 1 0

9154 = 1110 0001 1001 1000

115(c)

117 (a)

117(c)

1 1 1 1

101110 Quotient 101 )11101001 101 1001 101 1000 101 110 101 11 Remainder

1100 Quotient 1001 )1110010 1001 1010 1001 110 Remainder

110010 (Mult) 11101 110010 000000 0110010 110010 11111010 110010 1010001010 110010 10110101010

118(b)

119

118 (a)

118(c)

8 9

5-3-1-1 is possible but 6-4-1-1 is not because there is no way to represent 3 or 9 Alternate Solutions

5 3 1 10 0 0 0 01 0 0 0 12 0 0 1 13 0 1 0 04 0 1 0 15 1 0 0 06 1 0 0 17 1 0 1 18 1 1 0 09 1 1 0 1

5-4-1-1 is not possible because there is no way to represent 3 or 8 6-3-2-1 is possible

6 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 1 0 0 07 1 0 0 18 1 0 1 09 1 1 0 0

Alternate Solutions

6 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 0 1 1 16 1 0 0 07 1 0 0 18 1 0 1 09 1 0 1 1

1100 0011 = 83

(0100)(0101)

(1100)(1101)

Alternate Solutions

5 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 1 0 0 06 1 0 0 17 1 0 1 08 1 0 1 19 1 1 1 0

1110 0110 = 94

(0100)(0101)

(1100)(1101)

120 122121

123 Alternate Solutions

7 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 0 1 1 17 1 0 0 08 1 0 0 19 1 0 1 0A 1 1 0 0B 1 1 0 1

(0011)

(1011)

124

B4A9 = 1101 0101 1100 1010 Alt = 1011

125 (a) 2222210 16 | 222 022 16 | 13 r14 16 0 r13 (3)52 16 (8)32there4 2222210 = DE3816= 1000100 1000101 0101110 0110011 0111000 D E 3 8

125 (b) 1838110 16 | 183 081 16 | 11 r7 16 0 r11 (12)96 16 (15)36there4 1838110 = B7CF16= 1000010 0110111 0101110 1000011 1000110 B 7 C F

(0010)

(0110)

(1010)

(1110)

10

(ndash8) + (ndash11) (ndash8) + (ndash11) 111000 110111 110101 110100 (1)101101 (ndash19) 1101011 1 101100 (ndash19)

10110 10110 + 10011 + 10010 (1)01001 101000 overflow 1 01001 overflow

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash6) (ndash10) + (ndash6) 110110 110101 11010 111001 (1)110000 (ndash16) 1101110 1 101111 (ndash16)

11011 11011 + 11001 + 11000 (1)10100 110011 1 10100

126 (a)

126 (c)

126 (e)127 (a)

127 (c)

10001 10001 + 10110 + 10101 (1)00111 100110 overflow 1 00111 overflow

In 2rsquos complement In 1rsquos complement 11100 11100 + 01011 + 01010 (1)00111 100110 1 00111

127 (e)

128 (a)

10101 10101 + 00110 + 00101 11011 11010

128 (c)

128 (b)

128 (d)

In 2rsquos complement In 1rsquos complement 11010 11010 + 01100 + 01011 (1)00110 100101 1 00110

01011 01011 + 01000 + 00111 10011 10010

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash11) (ndash10) + (ndash11) 110110 110101 110101 110100 (1)101011 (ndash21) 1101001 1 101010 (ndash21)

(ndash11) + (ndash4) (ndash11) + (ndash4) 110101 110100 111100 111011 (1)110001 (ndash15) 1101111 1 110000 (ndash15)

126 (b)

126 (d) 11 + 9 11 + 9 001011 001011 001001 001001 010100 (20) 010100 (20)

01001minus11010 In 2rsquos complement In 1rsquos complement 01001 01001 + 00110 + 00101 01111 01110

127 (b) In 2rsquos complement In 1rsquos complement 11010 11010 + 00111 + 00110 (1)00001 100000 1 00001

127 (d)

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 3: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

6 7

1 1 1 1 1 1

1111 (Add) 1111 (Subtract) 1001 1001 11000 0110

1111 (Multiply) 1001 1111 0000 01111 0000 001111 1111 10000111

115(b) 1 1 1 1 1 1101001 (Add) 1101001 (Sub) 110110 110110 10011111 110011

1101001 (Mult) 110110 0000000 1101001 11010010 1101001 1001110110 0000000 1001110110 1101001 100100000110 1101001 1011000100110

115 (a)

112 (c)

2983 636410 = 8 | 2983 0984 8 | 372 r7 8 8 | 46 r4 (7)872 9 | 5 r6 8 0 r5 (6)976

there4 2983 636410 = 5647768 (or 5647778) = 101 110 100 111111 1102 (or 101 110 100 111111 1112)

114 (b) 937010 8 | 93 070 8 | 11 r5 8 8 | 1 r3 (5)60 0 r1 8 (4)80

there4 937010 = 135548 = 001 011 101101 1002

114 (a)

114 (c)

A52A411 = 10 times 121 + 5 times 11 + 2 + 1011 + 4121 = 12679410

9 | 1267 094 9 | 140 r7 9 9 | 15 r5 (8)46 9 | 1 r6 9 0 r1 (4)14

there4 A52A411 = 12679410 = 165784279

113 1110212202113 01 11 02 1220 21 10 = 14256739

Base 3 Base 900 001 102 210 311 412 520 621 722 8

1900 313210 8 | 1900 0969 8 | 273 r4 8 8 | 29 r5 (7)752 9 | 3 r5 8 0 r3 (6)016

there4 1900 313210 = 3554768 = 011 101 101 100111 1102

114 (d) 1093010 8 | 109 030 8 | 13 r5 8 8 | 1 r5 (2)40 0 r1 8 (3)20

there4 1093010 = 155238 = 001 101 101010 0112

1 1 1

1 1 1

8 9

1011 Quotient 1010 )1110100 1010 10010 1010 10000 1010 110 Remainder

117(b) 11011 Quotient 1110 )110000001 1110 10100 1110 11000 1110 10101 1110 111 Remainder

1 1 1 1 1 110010 (Add) 110010 (Sub) 11101 11101 1001111 10101

1 1 1 1 1116 (a) 10100100 (b) 10010011 01110011 01011001 0110001 00111010

1 1 (c) 11110011 10011110 01010101

10111 Quotient 110 )10001101 110 1011 110 1010 110 1001 110 11 Remainder

100011 Quotient 1011 )110000011 1011 10001 1011 1101 1011 10 Remainder

4 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 1 0 0 05 1 0 0 16 1 0 1 07 1 1 0 08 1 1 0 19 1 1 1 0

9154 = 1110 0001 1001 1000

115(c)

117 (a)

117(c)

1 1 1 1

101110 Quotient 101 )11101001 101 1001 101 1000 101 110 101 11 Remainder

1100 Quotient 1001 )1110010 1001 1010 1001 110 Remainder

110010 (Mult) 11101 110010 000000 0110010 110010 11111010 110010 1010001010 110010 10110101010

118(b)

119

118 (a)

118(c)

8 9

5-3-1-1 is possible but 6-4-1-1 is not because there is no way to represent 3 or 9 Alternate Solutions

5 3 1 10 0 0 0 01 0 0 0 12 0 0 1 13 0 1 0 04 0 1 0 15 1 0 0 06 1 0 0 17 1 0 1 18 1 1 0 09 1 1 0 1

5-4-1-1 is not possible because there is no way to represent 3 or 8 6-3-2-1 is possible

6 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 1 0 0 07 1 0 0 18 1 0 1 09 1 1 0 0

Alternate Solutions

6 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 0 1 1 16 1 0 0 07 1 0 0 18 1 0 1 09 1 0 1 1

1100 0011 = 83

(0100)(0101)

(1100)(1101)

Alternate Solutions

5 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 1 0 0 06 1 0 0 17 1 0 1 08 1 0 1 19 1 1 1 0

1110 0110 = 94

(0100)(0101)

(1100)(1101)

120 122121

123 Alternate Solutions

7 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 0 1 1 17 1 0 0 08 1 0 0 19 1 0 1 0A 1 1 0 0B 1 1 0 1

(0011)

(1011)

124

B4A9 = 1101 0101 1100 1010 Alt = 1011

125 (a) 2222210 16 | 222 022 16 | 13 r14 16 0 r13 (3)52 16 (8)32there4 2222210 = DE3816= 1000100 1000101 0101110 0110011 0111000 D E 3 8

125 (b) 1838110 16 | 183 081 16 | 11 r7 16 0 r11 (12)96 16 (15)36there4 1838110 = B7CF16= 1000010 0110111 0101110 1000011 1000110 B 7 C F

(0010)

(0110)

(1010)

(1110)

10

(ndash8) + (ndash11) (ndash8) + (ndash11) 111000 110111 110101 110100 (1)101101 (ndash19) 1101011 1 101100 (ndash19)

10110 10110 + 10011 + 10010 (1)01001 101000 overflow 1 01001 overflow

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash6) (ndash10) + (ndash6) 110110 110101 11010 111001 (1)110000 (ndash16) 1101110 1 101111 (ndash16)

11011 11011 + 11001 + 11000 (1)10100 110011 1 10100

126 (a)

126 (c)

126 (e)127 (a)

127 (c)

10001 10001 + 10110 + 10101 (1)00111 100110 overflow 1 00111 overflow

In 2rsquos complement In 1rsquos complement 11100 11100 + 01011 + 01010 (1)00111 100110 1 00111

127 (e)

128 (a)

10101 10101 + 00110 + 00101 11011 11010

128 (c)

128 (b)

128 (d)

In 2rsquos complement In 1rsquos complement 11010 11010 + 01100 + 01011 (1)00110 100101 1 00110

01011 01011 + 01000 + 00111 10011 10010

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash11) (ndash10) + (ndash11) 110110 110101 110101 110100 (1)101011 (ndash21) 1101001 1 101010 (ndash21)

(ndash11) + (ndash4) (ndash11) + (ndash4) 110101 110100 111100 111011 (1)110001 (ndash15) 1101111 1 110000 (ndash15)

126 (b)

126 (d) 11 + 9 11 + 9 001011 001011 001001 001001 010100 (20) 010100 (20)

01001minus11010 In 2rsquos complement In 1rsquos complement 01001 01001 + 00110 + 00101 01111 01110

127 (b) In 2rsquos complement In 1rsquos complement 11010 11010 + 00111 + 00110 (1)00001 100000 1 00001

127 (d)

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 4: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

8 9

1011 Quotient 1010 )1110100 1010 10010 1010 10000 1010 110 Remainder

117(b) 11011 Quotient 1110 )110000001 1110 10100 1110 11000 1110 10101 1110 111 Remainder

1 1 1 1 1 110010 (Add) 110010 (Sub) 11101 11101 1001111 10101

1 1 1 1 1116 (a) 10100100 (b) 10010011 01110011 01011001 0110001 00111010

1 1 (c) 11110011 10011110 01010101

10111 Quotient 110 )10001101 110 1011 110 1010 110 1001 110 11 Remainder

100011 Quotient 1011 )110000011 1011 10001 1011 1101 1011 10 Remainder

4 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 1 0 0 05 1 0 0 16 1 0 1 07 1 1 0 08 1 1 0 19 1 1 1 0

9154 = 1110 0001 1001 1000

115(c)

117 (a)

117(c)

1 1 1 1

101110 Quotient 101 )11101001 101 1001 101 1000 101 110 101 11 Remainder

1100 Quotient 1001 )1110010 1001 1010 1001 110 Remainder

110010 (Mult) 11101 110010 000000 0110010 110010 11111010 110010 1010001010 110010 10110101010

118(b)

119

118 (a)

118(c)

8 9

5-3-1-1 is possible but 6-4-1-1 is not because there is no way to represent 3 or 9 Alternate Solutions

5 3 1 10 0 0 0 01 0 0 0 12 0 0 1 13 0 1 0 04 0 1 0 15 1 0 0 06 1 0 0 17 1 0 1 18 1 1 0 09 1 1 0 1

5-4-1-1 is not possible because there is no way to represent 3 or 8 6-3-2-1 is possible

6 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 1 0 0 07 1 0 0 18 1 0 1 09 1 1 0 0

Alternate Solutions

6 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 0 1 1 16 1 0 0 07 1 0 0 18 1 0 1 09 1 0 1 1

1100 0011 = 83

(0100)(0101)

(1100)(1101)

Alternate Solutions

5 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 1 0 0 06 1 0 0 17 1 0 1 08 1 0 1 19 1 1 1 0

1110 0110 = 94

(0100)(0101)

(1100)(1101)

120 122121

123 Alternate Solutions

7 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 0 1 1 17 1 0 0 08 1 0 0 19 1 0 1 0A 1 1 0 0B 1 1 0 1

(0011)

(1011)

124

B4A9 = 1101 0101 1100 1010 Alt = 1011

125 (a) 2222210 16 | 222 022 16 | 13 r14 16 0 r13 (3)52 16 (8)32there4 2222210 = DE3816= 1000100 1000101 0101110 0110011 0111000 D E 3 8

125 (b) 1838110 16 | 183 081 16 | 11 r7 16 0 r11 (12)96 16 (15)36there4 1838110 = B7CF16= 1000010 0110111 0101110 1000011 1000110 B 7 C F

(0010)

(0110)

(1010)

(1110)

10

(ndash8) + (ndash11) (ndash8) + (ndash11) 111000 110111 110101 110100 (1)101101 (ndash19) 1101011 1 101100 (ndash19)

10110 10110 + 10011 + 10010 (1)01001 101000 overflow 1 01001 overflow

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash6) (ndash10) + (ndash6) 110110 110101 11010 111001 (1)110000 (ndash16) 1101110 1 101111 (ndash16)

11011 11011 + 11001 + 11000 (1)10100 110011 1 10100

126 (a)

126 (c)

126 (e)127 (a)

127 (c)

10001 10001 + 10110 + 10101 (1)00111 100110 overflow 1 00111 overflow

In 2rsquos complement In 1rsquos complement 11100 11100 + 01011 + 01010 (1)00111 100110 1 00111

127 (e)

128 (a)

10101 10101 + 00110 + 00101 11011 11010

128 (c)

128 (b)

128 (d)

In 2rsquos complement In 1rsquos complement 11010 11010 + 01100 + 01011 (1)00110 100101 1 00110

01011 01011 + 01000 + 00111 10011 10010

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash11) (ndash10) + (ndash11) 110110 110101 110101 110100 (1)101011 (ndash21) 1101001 1 101010 (ndash21)

(ndash11) + (ndash4) (ndash11) + (ndash4) 110101 110100 111100 111011 (1)110001 (ndash15) 1101111 1 110000 (ndash15)

126 (b)

126 (d) 11 + 9 11 + 9 001011 001011 001001 001001 010100 (20) 010100 (20)

01001minus11010 In 2rsquos complement In 1rsquos complement 01001 01001 + 00110 + 00101 01111 01110

127 (b) In 2rsquos complement In 1rsquos complement 11010 11010 + 00111 + 00110 (1)00001 100000 1 00001

127 (d)

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 5: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

8 9

5-3-1-1 is possible but 6-4-1-1 is not because there is no way to represent 3 or 9 Alternate Solutions

5 3 1 10 0 0 0 01 0 0 0 12 0 0 1 13 0 1 0 04 0 1 0 15 1 0 0 06 1 0 0 17 1 0 1 18 1 1 0 09 1 1 0 1

5-4-1-1 is not possible because there is no way to represent 3 or 8 6-3-2-1 is possible

6 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 1 0 0 07 1 0 0 18 1 0 1 09 1 1 0 0

Alternate Solutions

6 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 0 1 1 16 1 0 0 07 1 0 0 18 1 0 1 09 1 0 1 1

1100 0011 = 83

(0100)(0101)

(1100)(1101)

Alternate Solutions

5 2 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 1 05 1 0 0 06 1 0 0 17 1 0 1 08 1 0 1 19 1 1 1 0

1110 0110 = 94

(0100)(0101)

(1100)(1101)

120 122121

123 Alternate Solutions

7 3 2 10 0 0 0 01 0 0 0 12 0 0 1 03 0 1 0 04 0 1 0 15 0 1 1 06 0 1 1 17 1 0 0 08 1 0 0 19 1 0 1 0A 1 1 0 0B 1 1 0 1

(0011)

(1011)

124

B4A9 = 1101 0101 1100 1010 Alt = 1011

125 (a) 2222210 16 | 222 022 16 | 13 r14 16 0 r13 (3)52 16 (8)32there4 2222210 = DE3816= 1000100 1000101 0101110 0110011 0111000 D E 3 8

125 (b) 1838110 16 | 183 081 16 | 11 r7 16 0 r11 (12)96 16 (15)36there4 1838110 = B7CF16= 1000010 0110111 0101110 1000011 1000110 B 7 C F

(0010)

(0110)

(1010)

(1110)

10

(ndash8) + (ndash11) (ndash8) + (ndash11) 111000 110111 110101 110100 (1)101101 (ndash19) 1101011 1 101100 (ndash19)

10110 10110 + 10011 + 10010 (1)01001 101000 overflow 1 01001 overflow

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash6) (ndash10) + (ndash6) 110110 110101 11010 111001 (1)110000 (ndash16) 1101110 1 101111 (ndash16)

11011 11011 + 11001 + 11000 (1)10100 110011 1 10100

126 (a)

126 (c)

126 (e)127 (a)

127 (c)

10001 10001 + 10110 + 10101 (1)00111 100110 overflow 1 00111 overflow

In 2rsquos complement In 1rsquos complement 11100 11100 + 01011 + 01010 (1)00111 100110 1 00111

127 (e)

128 (a)

10101 10101 + 00110 + 00101 11011 11010

128 (c)

128 (b)

128 (d)

In 2rsquos complement In 1rsquos complement 11010 11010 + 01100 + 01011 (1)00110 100101 1 00110

01011 01011 + 01000 + 00111 10011 10010

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash11) (ndash10) + (ndash11) 110110 110101 110101 110100 (1)101011 (ndash21) 1101001 1 101010 (ndash21)

(ndash11) + (ndash4) (ndash11) + (ndash4) 110101 110100 111100 111011 (1)110001 (ndash15) 1101111 1 110000 (ndash15)

126 (b)

126 (d) 11 + 9 11 + 9 001011 001011 001001 001001 010100 (20) 010100 (20)

01001minus11010 In 2rsquos complement In 1rsquos complement 01001 01001 + 00110 + 00101 01111 01110

127 (b) In 2rsquos complement In 1rsquos complement 11010 11010 + 00111 + 00110 (1)00001 100000 1 00001

127 (d)

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 6: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

10

(ndash8) + (ndash11) (ndash8) + (ndash11) 111000 110111 110101 110100 (1)101101 (ndash19) 1101011 1 101100 (ndash19)

10110 10110 + 10011 + 10010 (1)01001 101000 overflow 1 01001 overflow

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash6) (ndash10) + (ndash6) 110110 110101 11010 111001 (1)110000 (ndash16) 1101110 1 101111 (ndash16)

11011 11011 + 11001 + 11000 (1)10100 110011 1 10100

126 (a)

126 (c)

126 (e)127 (a)

127 (c)

10001 10001 + 10110 + 10101 (1)00111 100110 overflow 1 00111 overflow

In 2rsquos complement In 1rsquos complement 11100 11100 + 01011 + 01010 (1)00111 100110 1 00111

127 (e)

128 (a)

10101 10101 + 00110 + 00101 11011 11010

128 (c)

128 (b)

128 (d)

In 2rsquos complement In 1rsquos complement 11010 11010 + 01100 + 01011 (1)00110 100101 1 00110

01011 01011 + 01000 + 00111 10011 10010

In 2rsquos complement In 1rsquos complement (ndash10) + (ndash11) (ndash10) + (ndash11) 110110 110101 110101 110100 (1)101011 (ndash21) 1101001 1 101010 (ndash21)

(ndash11) + (ndash4) (ndash11) + (ndash4) 110101 110100 111100 111011 (1)110001 (ndash15) 1101111 1 110000 (ndash15)

126 (b)

126 (d) 11 + 9 11 + 9 001011 001011 001001 001001 010100 (20) 010100 (20)

01001minus11010 In 2rsquos complement In 1rsquos complement 01001 01001 + 00110 + 00101 01111 01110

127 (b) In 2rsquos complement In 1rsquos complement 11010 11010 + 00111 + 00110 (1)00001 100000 1 00001

127 (d)

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 7: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

11

(A + B + C + D) (A + B + C + E) (A + B + C + F)= A + B + C + DEF

Apply second distributive law (Th 8D) twice

See FLD p 626 for solution21

Unit 2 Problem Solutions

22 (a) In both cases if X = 0 the transmission is 0 and if X = 1 the transmission is 1

22 (b) In both cases if X = 0 the transmission is YZ and if X = 1 the transmission is 1

For the answer to 23 refer to FLD p 62623

F = [(Amiddot1) + (Amiddot1)] + E + BCD = A + E + BCD24 (a) Y = (AB + (AB + B)) B + A = (AB + B) B + A = (A + B) B + A = AB + B + A = A + B

24 (b)

(A + B) (C + B) (D + B) (ACD + E) = (AC + B) (D + B) (ACD + E) By Th 8D = (ACD + B) (ACD + E) By Th 8D = ACD + BE By Th 8D

25 (a) (A + B + C) (A + C + D) (B + D) = (A + C + BD) (B + D) By Th 8D with X = A + C= AB + BC + BBD + AD + CD + BDD = AB + AD + CB + CD

25 (b)

AB + CD = (AB + C) (AB + D) = (A + C) (B + C) (A + D) (B + D)

26 (a) WX + WYX + ZYX = X(W + WY + ZY) = X(W + ZY) By Th 10 = X(W +Z) (W + Y)

26 (b)

ABC + EF + DEF = ABC + E(F +DF) = ABC + E(F +D) = (ABC + E) (ABC + F + D) = (A + E) (B + E) (C + E) (A + F + D)

(B + F + D) (C + F + D)

26 (c) XYZ + WZ + XQZ = Z(XY + W + XQ) = Z[W + X(Y + Q)] = Z(W + X) (W + Y + Q) By Th 8D

26 (d)

DEF A

CB

UVW X

ZY

X

X Y

X

Y

X

Y Z

X

Z

X

ACD + CD + AC = D (AC + C) + AC = D (A + C) + AC By Th 11D= (D + AC) (A + C + AC) = (D + A) (D + C) (A + C + A) By Th 11D= (A + D) (C + D)

26 (e) A + BC + DE = (A + BD + D) ( A + BC + E) = (A + B + D) (A + C + D) (A + B + E)

(A + C + E)

26 (f)

27 (a) WXYZ + VXYZ + UXYZ = XYZ (W + V + U) By first distributive law (Th 8)

27 (b)

[(AB) + CD] = AB(CD) = AB(C + D) = ABC + ABD

28 (a) [A + B (C + D)] = A(B(C + D)) = A(B + (C + D)) = A(B + CD) = AB + ACD

28 (b)

((A + B) C) (A + B) (C + A) = (AB + C) (A + B)CA = (AB + C)ABC = ABC

28 (c)

DEF A

CB

UVW X

ZY

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 8: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

12 13

ABC + (ABC) = 1 By Th 5

A + B + CD(A + B) = A + B + CD By Th 11D

[AB + (CD) +EF]CD = ABCD + EFCD By Th 8

F1 = AA + B + (B + B) = 0 + B + B = B

F3 = [(AB + C)D][(AB + C) + D] = (AB + C)D (AB + C) + (AB + C) D= (AB + C) D By Th 5D amp Th 2D

ACF(B + E + D)

A(B + CD) + B + CD = B + CD By Th 10

(AB + CD)(AB + CE) = AB + CDE By Th 8D

(A + BC)(DE + F) + (DE + F) = DE + F + A + BC By Th 11D

211 (a)

212 (a) (W + X + YZ)(W + X + YZ) = X+ YZ By Th 9D

(V + WX)(V + WX + YZ) = V + WX By Th 10D

(V + U + W)(WX + Y + UZ) + (WX + UZ + Y) = WX + UZ + Y By Th 10

(X + YZ)(X + YZ) = 0 By Th 5

(VW + X) (X + Y + Z + VW) = (VW + X) (Y + Z) By Th 11

(W + X)YZ + (W + X)YZ = YZ By Th 9

213 (a) F2 = AA + AB = A + AB = A + B

Z = [(A + B)C] + (A + B)CD = [(A + B)C] + DBy Th 11D with Y = [(A + B) C] = AB + C + D

214 (a) W + Y + Z + VUX

211 (c)

211 (e)

211 (b)

211 (d)

211 (f)

212 (c)

212 (e)

212 (b)

212 (d)

212 (f)

213 (c)

213 (b)

213 (d)

214 (b)

F = [(A + B) + (A + (A + B))] (A + (A + B)) = (A + (A + B)) By Th 10D with X=(A+(A+B))

= A(A + B) = AB

29 (a) G = [(R + S + T) PT(R + S)] T = (R + S + T) PT(R + S) + T = T + (RST) P(RS)T = T + PRSTT = T

29 (b)

XY

X Y

X

Y

XY X Y

X Y

X

B

A

Z Y Z

XB

AC

B

AC

Y

X

Z

X

Y Z

X

Y

XX

Y

X

210 (a) 210 (b)

210 (c)210 (d)

210 (e) 210 (f)

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 9: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

12 13

ABC + BCD + EF = ABC + BCD + EF = BC (A + D) + EF = (BC + EF) (A + D + EF) = (B + E) (B + F) (C + E) (C + F ) (A + D + E) (A + D + F)

WXY + WX + WY = X(WY + W) + WY = X(W + Y) + WY = (X + W) (X + Y) (W + Y + W) (W + Y + Y) = (X + W) (X + Y) (W + Y)

AB + (CD + E) = AB + (C + E)(D + E) = (AB + C + E)(AB + D + E) |= (A + C + E)(B + C + E)(A + D + E)(B + D + E)

ABC + ADE + ABF = A(BC + DE + BF) = A[DE + B(C + F)] = A(DE + B)(DE + C + F) = A(B + D)(B + E)(C + F + D)(C + F + E)

[(XY) + (X + Y)Z] = X + Y + (X + Y)Z = X + Y + Z By Th 11D with Y = (X + Y)

[(A + B) + (ABC) + CD] = (A + B)ABC(C + D) = ABC

215 (d)

216 (a)

217 (a) (X + (Y(Z + W))) = XY(Z + W) = XYZW

(A + B) CD + (A + B) = CD + (A + B) By Th 11D with Y = (A + B)

= CD + AB

HI + JK = (HI + J)(HI + K) = (H + J)(I + J)(H + K)(I + K)

ABC + ABC + CD = C(AB + AB + D) = C[(A + B)(A + B) + D] = C(A + B + D)(A + B + D)

AB + ACD + ADE = A(B + CD + DE) = A[B + D(C + E)] = A(B + D)(B + C + E)

215 (a)

215 (b)

215 (c)

215 (e)

215 (f)

216 (b)

216 (c) 216 (d)

W + XYZ = (W + X)(W + Y) (W + Z) VW + XY + Z = (V+X+Z)(V+Y+Z)(W+X+Z)(W+Y+Z)

ABC + BCD + BE = B(AC + CD + E) = B[E + C(A + D)] = B(E + C)(E + A + D)

217 (c)

217 (b)

217 (d)

F = [(A + B)B]C + B = [A + B + B]C + B = C + B

H = [WX(Y + Z)] = W + X + YZ

218 (a)

219

220 (a)

G = [(AB)(B + C)]C = (AB + BC)C = ABC

F = (V + X + W) (V + X + Y) (V + Z)= (V + X + WY)(V + Z) = V + Z (X + WY) By Th 8D with X = V

F = ABC + ABC + ABC + ABC = BC + ABC + ABC (By Th 9)

= C (B + AB) + ABC = C (A+ B) + ABC (By Th 11D)

= AC + BC + ABC = AC + B (C + AC) = AC + B (A + C) = AC + AB + BC

Beginning with the answer to (a)

F = A (B + C) + BC

220 (b)

218 (c)

218 (b)

++

WY

XZ

VF

B

C

A

C B F++

+

BC

AC

AB

FAlternate solutions

F = AB + C(A + B)

F = AC + B(A + C)

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 10: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

14 15

221 (b)

221 (c)

2-21 (d)

W X Y Z WXY WZ WXY+WZ W+Z W+XY (W+Z)(W+XY)0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

A B C A+C AB+C (A+C)(AB+C) AB AC AB+AC0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 1 1 1 0 1 11 0 1 1 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1

X Y Z X+Y X+Z (X+Y)(X+Z) XZ XY XZ+XY0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1

X Y Z XY YZ XZ XY+YZ+XZ XY+XZ0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 1 1 1 0 1 1

221 (a)

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 11: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

14 15

X Y Z X+Y Y+Z X+Z (X+Y)(Y+Z)(X+Z) (X+Y)(X+Z)0 0 0 0 0 1 0 0 0 0 1 0 1 1 0 00 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1

(X + 0)D = Xsdot1 X D = X

[(X+Y)Y]D = XY + Y (XY)D = X + Y222

221 (e)

Unit 3 Problem Solutions

(W + X + Z) (W + Y) (W + X + Z) (W + X) (W + Y + Z)

= (W + X) (W + Y) (W + X + Z) (W + Y + Z)

= (W + X) [W + Y (X + Z)] (W + Y + Z )

= [W + X (Y + Z)] [W + Y(X + Z)] = WY (X + Z) + WX (Y + Z) Using (X + Y) (X + Z) = XY +XZ with X=W= WYX + WYZ + WXY + WXZ

36 (a)

(A + B + C + D) (A + B + C + D) (A + C) (A + D) (B + C + D)

= (B + C + D) (A + C) (A + D) = (B + C + D) (AD + AC) Using (X + Y) (X + Z) = XY + XZ with X = A= ADB + ADC + AD + ABC + AC + ACD = AD + AC

36 (b)

37 (a) BCD + CD + BCD + CD

= CD + C(D + BD) = (C + D) [C + (D + BD)] Using (X + Y) (X + Z) = XY + XZ with X=C= (C + D) [C + (D + B) (D + D)] = (C + D) (C + D + B)

37 (b) ACD + ABD + ACD + BD

= D (AC + AB) + D (AC + B) = D [(A + B) (A + C)] + D [(B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) twice inside the brackets= [D + (A + B) (A + C)] [D + (B + A) (B + C)] Using XY + XZ = (X + Y) (X + Z) with X = D = (D + A + B) (D + A + C) (D + B + A) ( D + B + C) Using the Distributive Law

38F = AB oplus [(A equiv D) + D] = AB oplus (AD + AD + D) = AB oplus (AD + D) = AB oplus (A + D) = (AB) (A + D) + AB(A + D) = (A + B) (A + D) + AB(AD) = A + BD + ABD Using (X + Y) (X + Z) = X + YZ = A + BD + BD Using X + XY = X + Y

39 A oplus BC = (A oplus Β) (Α oplus C) is not a valid distributive law PROOF Let A = 1 B = 1 C = 0 LHS A oplus BC = 1 oplus 1 middot 0 = 1 oplus 0 = 1 RHS (A oplus B) (A oplus C) = (1 oplus 1) (1 oplus 0) = 0 middot 1 = 0

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 12: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

16 17

310 (a) 310 (b) (A oplus BC) + BD + ACD = ABC + A(BC) + BD + ACD

= ABC + A (B + C) + BD + ACD = ABC + AB + AC + BD + ACD

= ABC + AB + AC + AD + BD + ACD(Add consensus term AD eliminate ACD)

= ABC + AB + AC + BD(Remove consensus term AD)

(X + W) (Y oplus Z) + XW = (X + W) (YZ + YZ) + XW

= XYZ + XYZ + WYZ + WYZ + XW

Using Consensus TheoremWYZ + WYZ + XW

310 (c) (A + C + D) (A + B + C) (A + B + D) (A + C + D)

= (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + C + D) Add consensus term

= (A + B + C) (A + B + D) = (A + C + D) (B + C + D) (A + C + D) Removing consensus terms

314 (a) ABCD + ABCD + CD = ABCD + CD = C(ABD + D) = C(D + AB) By Th 11D with Y = D = CD + ABC

311 (A + B + C + E) (A + B +D + E) (B + C + D + E) = [A + B + (C + E) (D + E)] (B + C + D + E) = (A + B + DE + CE) (B + C + D + E) = B + (A + DE + CE) (C + D + E) CD Add consensus term

= B + AC + AD + AE + CDE + DE + DE + CDE = B + AC + AD + AE + CD +CDE + DE

= B + AC + AE + CD + DE

312 ACDE + ABD + ABCE + ABD = ABD + ABD + BCDE

Proof LHS ACDE + BCDE + ABD + ABCE + ABD Add consensus term to left-hand side and use it to eliminate two consensus terms = BCDE + ABD + ABD This yields the right-hand sidethere4 LHS = RHS

(A + B + C) (A + C + D) (A + B) (A + D) (A + C + D)

= [A + D (B + C)] [A + B ( C + D)] = AD (B + C) + AB (C + D) = ADB + ADC + ABC + ABD

(A + C + D) (A + C) (B + C + D) (A + B + C) (C + D)

= (C + DB + AD) (C + AD) = C (BD + AD) + (CAD) Using XY + XZ = (X + Z)(X + Y) with X = C= CBD + CAD + CAD

313 (a)

313 (b)

(A + B + C) (A + D) (A + B + D) (A + B) (A + C + D)

= [A + (B + C) ( B + D)] (A + BD) = (A + BC + BD) (A + BD) By Th 14 with X = B= A (BC + BD) + ABD By Th 14 with X = A

= ABC + ABD + ABD

(A + B + C) (A + B + D) (A + B + C) (A + B + D) = ( A + B + CD) (A + B + CD)= A ( B + CD) + A( B + CD) By Th 14 with X = A = AB + ACD + AB + ACD

(A + B + C) (A + C + D) (A + B + C) (A + C + D) = (A + C + BD) (A + C + BD) = A(C + BD) + A(C + BD) = AC + ABD + AC + ABD

Alt solns AC + AC + BCD + BCD (or) AC + AC + ABD + BCD (or) AC + AC + ABD + BCD

313 (c)

313 (d)

313 (e)

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 13: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

16 17

(A + B) (A + B + D) (B + C + D) = B + A (A + D) (C + D) = B + AD (C + D) = B + ACD

ABC + CD + BCD = ABC + D(C + BC) = ABC + D(C + B) = ABC + CD + BD

314 (c)

314 (b)

ABC + ACD + ABC + BCD = C (AD + BD) + C (AB + AB) = C [(A + D) (B + D)] + C [(A + B) (A + B)] By Th 14 twice with X = D and X = B= [C + (A + D) (B + D) ] [C + (A + B) (A + B)] By Th 14 with X = C= (C + A + D) (C + B + D) (C + A + B) (C + A + B) By Distributive Law

315 (a)

314 (d)

AB + AB + BCD + BCD = B (A + CD) + B (A + CD) = (B + A + CD) (B + A + CD) By Th 14 with X = B= (B + A + C) (B + A + D) (B + A + C) (B + A + D)

315 (b)

(A + B + C + D) (A + C + D + E) (A +C + D + E) AC = [A + C + (B + D) (D + E) (D + E)] AC By Th 8D twice with X = A + C = [A + C + (B + D)D] AC = [A + C + D] AC = ACD

AB + ABC + BCD + BCD = B [AC + CD] + B [A + CD] = B [(C + D) (C + A)] + B [(A + C) (A + D)]= [B + (C + D) (C + A)][B + (A + C) (A + D)] = (B + C + D) (B + C + A) (B + A + C) (B + A + D)

315 (c)

ACD + ABD + ACD + BD = D (AC + B) + D (AB + AC) = D ( B + A) (B + C) + D (B + A) (A + C) = [D + (B + A) (B + C)] [D + (B + A) (A + C)] = (D + B + A) (D + B + C) (D + B + A) (D + A + C)

315 (d)

WXY + WXY + WYZ + XYZ = WY (X + X + Z) + XYZ = WY + XYZ = Y (W + XZ) = Y (W + X) (W + Z)315 (e)

(AB oplus C) + CD = (AB)C + ABC + CD = (A + B) C + ABC + CD = C (A + B) + C (AB + D) = (C + A + B) ( C + D + AB) = (C + A + B) (C + D + A) (C + D + B)

316 (a)

C (A oplus D) + CD + AD = C [AD + AD] + CD + AD = ACD + ACD + CD + AD = ACD + D (C + AC + A) = ACD + D (C + A + C) = ACD + D = D + AC = (A + D) (C + D)

316 (b)

(X oplus Y) oplus Z = X oplus (Y oplus Z) Proof LHS Let X oplus Y = AA oplus Z = AZ + AZ = (X oplus Y) Z + (X oplus Y) Z = (X oplus Y ) Z + (X equiv Y) Z By (3-18) on FLD p 61)= (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y oplus Z = B X oplus B = XB + XB = X (Y oplus Z) + X (Y oplus Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (a)

(X equiv Y) equiv Z = X equiv (Y equiv Z) Proof LHS Let X equiv Y = A(A equiv Z) = AZ + AZ = (X equiv Y) Z + (X equiv Y) Z = (X equiv Y ) Z + (X oplus Y) Z = (XY + XY) Z + (XY + XY) Z = XYZ + XYZ + XYZ + XYZRHS Let Y equiv Z = B (X equiv B) = XB + XB = X (Y equiv Z) + X (Y equiv Z) = X (Y equiv Z) + X (Y oplus Z) = X [YZ + YZ] + X [YZ + YZ] = XYZ + XYZ + XYZ + XYZ there4 LHS = RHS

317 (b)

BCD + ABC + ACD + ABD + ABD = BCD + ABC + ABD + ABD = ABC + ABD + ABD318 (a)

WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + XYZ + WXY + WXZ = WY + WYZ + WXY + WXZ

= WY + WXY + WXZ

318 (b)

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 14: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

18 19

(B + C + D) (A + B + C) (A + C + D) (B + C + D) = (A + B + C) (A + C + D) (B + C + D)318 (c)

WXY + WXZ + WYZ + WZ = WXY + WXZ + WYZ +WZ + XYZ = WYZ + WZ + XYZ

XYZ (add consensus term)

318 (d)

ABC + BCD + ACD + BCD + ABD = BCD + BCD + ABD318 (e)

Z = ABC + DE + ACF + AD + ABE = A (BC + CF + D + BE) + DE = (A + DE) (DE + BC + CF + D + BE) By Th 8D with X = DE

= (A + D) (A + E) (BC + CF + D + E + BE) = (A + D) (A + E) (D + E + B + BC + CF) Since E + BE = E + B = (A + D) (A + E) (D + E + B + C + CF) Since B + BC = B + C = (A + D) (A + E) (D + E + B +C) Since C + CF = C = (A + DE) (D + E + B + C)

= AD + AE + AB + AC + DE + DEB + DEC eliminate consensus term AE use X + XY = X where X = DE

= AD + AB + AC + DE

319

320

321 XYZ + XYZ = (X + YZ) (X + YZ) = (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z)

= (X + Y) (X + Z) (X + Y) (X + Z) (Y + Z) = (X + Y) (X + Z) (X + Z) (Y + Z)

= (X + Y) (X + Z) (Y + Z) Alt (X + Y) (Y + Z) (X + Z) by adding (Y + Z) as consensus in 3rd step

xy + xyz + yz = y (x + xz) + yz = xy + yz + yz = xy + y = y

Alternate Solution xy + xyz + yz = y (x + xz + z) = y (x + z + z) = y (x + 1) = y

322 (a) 322 (b)

322 (c) xy + z + (x + y) z = xy + (x + y) By Th 11D with Y = z= xy + x + y = x + x + y = 1 + y = 1Alt xy + z + (x + y) z = (xy + z) + (xy + z) = 1

322 (d)

(xy + z) (x + y) z = (xy + xz + yz) z = xyz + xz + yz = xz + yz

Alternate Solution (xy + z) (x+y) z = z ( x + y)

= zx + zy

ad (b + c) + ad (b + c) +(b + c) (b + c)

= abd + acd + abd + acd + bc + bc

= abd + abd + bc + bcOther Solutions bc + bc + acd + abd bc + bc + acd + acd bc + bc + abd + acd

318 (f) (A + B + C) (B + C + D) (A + B + D) (A + B + D) = (A + B + C) (B + C + D) (A + B + D)

F = AB + AC + BCD + BEF + BDF = (A + B) (A + C) + B (CD + EF + DF) = [(A + B) (A + C) + B] [(A + B) (A + C) + CD + EF + DF] = (A + B) (A + C + B) (A + B + CD + EF + DF) (A + C + CD + EF + DF ) B + C C + D= (A + B) (A + C + B) (C + B) (A + B + CD + EF + DF) (A + C + D + EF + DF)

= (A + B) ( B + C) (A + C + D + FE + DF) = (A + B) (B + C) (A + C + D + F + FE) = (A + B) (B + C) (A + C + D + F) = (B + AC) (A + C + D + F)

= (AB + BC + BD + BF + AC + ACD + ACF = AB + BD + BF + AC use consensus X + XY = X where X = AC

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 15: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

18 19

322 (e) wx + xy + yz + wz + xz Add redundant term

= wx + xy + yz + wz + xz

= xy + yz + wz + xz Remove redundant term

= xy + yz + wz322 (f)

322 (g) [(a + d + bc) (b + d + ac)] + bcd + acd = ad (b + c) + bd (a + c) +bcd + acd = abd + acd+ abd + bcd + bcd + acd

cd bd= abd + abd + bd + cd = abd + bd + cd

ABCD + ABCD+ BEF+ CDEG+ADEF+ABEF

= ABD + BEF + CDEG + ADEF (consensus)

= ABD + BEF + CDEG

324 325 (a)

325 (b) NOT VALID Counterexample a = 0 b = 1 c = 0 LHS = 0 RHS = 1 there4 This equation is not always validIn fact the two sides of the equation are complements [(a + b) (b + c) (c + a)] = [(b + ac) (a + c)] = [ab + ac + bc] = (a + b) (a + c) (b + c)

325 (c) VALID Starting with the right side add consensus termsRHS = abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + acd + acd

= abc + abc + bcd + bcd + ad = LHS

VALID ab + bc + ca = ab (c + c) + (a + a) bc + (b + b) ac = abc + abc + abc + abc + abc + abc

= ac + bc + ab Alternate Solution ab + bc + caAdd all consensus terms ab bc cathere4 We get = ab + bc + ca + ab + bc + ca

= ab + bc + ca

323 (a) 323 (b)ACD + AC + BCD + ACD + ABC + ABC

= AD + AC + BCD + ABC consensus

= AD + AC + BCD

ABC + ABD + AC + ACD + ACD + ABC

= BC + ABD + AC + ACD

= BC + ABD + AC

WXY + (WY equiv X) + (Y oplus WZ) = WXY + WYX + (WY) X + Y (WZ) + YWZ = WXY + WXY + (W + Y) X + Y (W + Z) + YWZ

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + XY + WY + YZ + WYZ + WY

= XY + WX + WY + YZ + WY

= X + WX + WY + YZAlternate Solutions F = WY + WX + WZ + XY F = YZ + WX + XY + WY F= WX + XY + XZ + WY F= WX + XY + WZ + WY

325 (d) VALID LHS = xy + xz + yz

consensus terms yz xz xy

= xy + xz + yz + yz + xz + xy

= yz + xz + xy = RHS

325 (e) NOT VALID Counterexample x = 0 y = 1 z = 0 then LHS = 0 RHS = 1 there4 This equation is not always valid In fact the two sides of the equations are complementsLHS = (x + y) (y + z) (x + z) = [(x + y) + (y + z) + (x + z)] = (xy + yz + xz) = [x (y + z) + yz]=[(x + yz) (y + z + yz)] = [(x + y) (x + z) (y + z)] ne (x + y) (y + z) (x + z)

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 16: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

20

AC + BC + AB + ABD + BCD + ACD Consensus terms (1) BC using AC + AB (2) AB using AC + BC (3) AC using AB + BC (4) ABD using BCD + ACDUsing 1 2 3 AC + BC + AB + ABD + BCD + ACD + BC + AB + AC = AC + BC + AB (Using the consensus theorem to remove the added terms since the terms that generated them are still present)

325 (f) VALIDLHS = (X + Y) (X equiv Z) + (X + Y) (X oplus Z) = (X + Y) (XZ + XZ) + (X + Y) (XZ + XZ) = XZ + XYZ + XYZ + XYZ + XZ + XYZ

= XZ + (XY + XY)Z + XZ= Z + Z(X oplus Y) = Z + (X oplus Y) = RHS

326 (a)VALID LHS = abc + abc + bcd + bcd

consensus terms abd abd= abc + abc + bcd + bcd + abd + abd adabc + abc + ad + bcd + bcd = RHS

VALID [A + B = C] rArr [D (A + B) = D(C)] [A + B = C] rArr [AD + BD = CD]

327 (a) NOT VALID Counterexample A = 1 B = C = 0 and D = 1 then LHS = 0 0 + 0 0 = 0 RHS = 0 1 = 0 = LHSbut B + C = 0 + 0 = 0 D = 1 ne B + Cthere4 The statement is false

327 (b)

VALID [A + B = C] rArr [(A + B) + D = (C) + D] [A + B = C] rArr [A + B + D = C + D]

327 (c) NOT VALID Counterexample C = 1 A = B = 0 and D = 1 then LHS = 0 + 0 + 1 = 1 RHS = 1 + 1 = 1 = LHSbut A + B = 0 + 0 = 0 ne Dthere4 The statement is false

327 (d)

328 (a) ACD + BCD + ABC + ABC Consensus terms (1) ABC using ACD + BCD (2) ACD using ABC + BCD (3) BCD using ACD + ABC (4) ABD using ACD + ABC (5) ABD using BCD + ABCUsing 1 ACD + BCD + ABC + ABC + AB which is the minimum solution

328 (b)

LHS = ABC + ACD + ABD + ACD = AC (B + D) + AD (B + C) = (A + D (B + C)) (A + C(B + D)) = (A + D) (A + B + C) (A + C) (A + B + D) = (A + D) (A + B + C) (A + C) (A + B + D) (B + C + D)

consensus B + C + D= (A + D) (A + B + C) (A + C) (B + C + D) = (A + D) (A + C) (B + C + D) = RHS

326 (c)

326 (b) LHS = (W + X + Y) (W + X + Y) (W + Y + Z) = (W + X + Y) (W + (X + Y) (Y + Z)) = (W + X + Y) (W + (XY + YZ)) = (W (XY + YZ) + W (X + Y )) = WXY + WYZ + WX + WY

consensus terms XY XYZ

= WXY + WYZ + WX + WY + XYZ + XY = WXY + WXZ + WYZ + XYZ + WX + WY + XY

= WXZ + WYZ + XYZ + WX + XY = WYZ + XYZ + WX + XY

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 17: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

21

x y z0 z1 z2 z3 z4 z5 z6 z7 z8 z9 z10 z11 z12 z13 z14 z15

0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 10 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 11 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 11 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

22n = 222 = 24 = 1644 (a)

44 (b)

0xy

xy x xy

yxy

+xy

x+

y xyxy

+xy y

x+y x

x+y

x +

y 1

Alternate SolutionsA B C D E F Z0 0 0 1 1 X3 10 0 1 X2 X2 1 10 1 0 X1 X1 X1 X0 1 1 X2 X2 1 11 0 0 X4 0 0 01 0 1 X2 X2 1 11 1 0 X1 X1 X1 X1 1 1 X4 0 0 0

45

A B C D E F Z

0 1 1 1 1 X3 1

1 1 1 0 X4 0 0

1 These truth table entries were made dont cares because ABC = 110 and ABC = 010 can never occur

2 These truth table entries were made dont cares because when F is 1 the output Z of the OR gate will be 1 regardless of its other input So changing D and E cannot affect Z

3 These truth table entries were made dont cares because when D and E are both 1 the output Z of the OR gate will be 1 regardless of the value of F

4 These truth table entries were made dont cares because when one input of the AND gate is 0 the output will be 0 regardless of the value of its other input

Of the four possible combinations of d1 amp d5 d1 = 1 and d5 = 0 gives the best solutionF = ABC + ABC + ABC + ABC = AB + AB

46 (a)

46 (b) By inspection G = C when both donrsquot cares are set to 0

Unit 4 Problem Solutions

See FLD p 628 for solution

A B C D E y z0 0 0 0 0 (less than 10 gpm) +1 0 0 0 0 (at least 10 gpm) +1 1 0 0 0 (at least 20 gpm) + +1 1 1 0 0 (at least 30 gpm) +1 1 1 1 0 (at least 40 gpm) +1 1 1 1 1 (at least 50 gpm)

42 Y = ABCDE + ABCDE + ABCDE

Z = ABCDE + ABCDE + ABCDE

42 (a)

42 (b)

F1 = sum m(0 4 5 6) F2 = sum m(0 3 4 6 7) F1 + F2 = sum m(0 3 4 5 6 7)General rule F1 + F2 is the sum of all minterms that are present in either F1 or F2

Proof Let F1 = ai mi F2 = bj mj F1 + F2 = ai mi + bj mj = a0m0 + a1m1 + a2m2 +

+ b0m0 + b1m1 + b2m2 + = (a0 + b0 ) m0 + (a1 + b1 ) m1 + (a2 + b2 ) m2 + = (ai + bi ) mi

43

2nndash1

Σi = 0

2nndash1

Σj = 0

2nndash1

Σi = 0

2nndash1

Σj = 0 2nndash1

Σi = 0

41

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 18: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

22 23

Exactly one variable not complemented F = ABC + ABC + ABC = sum m(1 2 4)

A B C D F0 0 0 0 0 times 0 = 0 le 2 10 0 0 1 0 times 1 = 0 le 2 10 0 1 0 0 times 2 = 0 le 2 10 0 1 1 0 times 3 = 0 le 2 10 1 0 0 1 times 0 = 0 le 2 10 1 0 1 1 times 1 = 1 le 2 10 1 1 0 1 times 2 = 2 le 2 10 1 1 1 1 times 3 = 3 gt 2 01 0 0 0 2 times 0 = 0 le 2 11 0 0 1 2 times 1 = 2 le 2 11 0 1 0 2 times 2 = 4 gt 2 01 0 1 1 2 times 3 = 6 gt 2 01 1 0 0 3 times 0 = 0 le 2 11 1 0 1 3 times 1 = 3 gt 2 01 1 1 0 3 times 2 = 6 gt 2 01 1 1 1 3 times 3 = 9 gt 2 0

48

F(A B C D) = sum m(0 1 2 3 4 5 6 8 9 12)Refer to FLD for full term expansion

48 (a)

F(A B C D) = Π M(7 10 11 13 14 15)Refer to FLD for full term expansion

48 (b)

F = abc + b (a + a) (c + c) = abc + abc + abc + abc + abc F = sum m(0 1 4 5 6)

49 (a)

Remaining terms are maxterms F = prod M(2 3 7)49 (b)

Maxterms of F are minterms of F F = sum m(2 3 7)

49 (c)

Minterms of F are maxterms of FF = prod M(0 1 4 5 6)

49 (d)

411 (a) difference di = xi oplus yi oplus bi bi+1 = bi xi + xiyi + bi yi

xi yi bi bi+1 di

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

411 (b) di = si bi+1 is the same as ci+1 with xi replaced by xi

See FLD p 629 for solution

410 (a) F = sum m(1 4 5 6 7 10 11)

F = sum m(0 2 3 8 9 12 13 14 15)

410 (b) F = prod M(0 2 3 8 9 12 13 14 15)

F = prod M(1 4 5 6 7 10 11)410 (c) 410 (d)

F(a b c d) = (a + b + d) (a + c) (a + b + c) (a + b + c + d)

= (a + b + c + d) (a + b + c + d) (a + c + bb + dd) (a + b + c + d) (a + b + c + d) (a + b + c + d) = (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d) (a + b + c + d)

(a + b + c + d) (a + b + c + d) (a + b + c + d)

410

47 (a)

412

Remaining terms are maxterms F = prod M(0 3 5 6 7) = (A + B + C) (A + B + C) (A + B + C) (A + B + C) (A + B + C)

47 (b)

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 19: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

22 23

413 A B C D Z 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

414 A B C D Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABC + ABC + ABCD + ABCD

= ABC + ABC + ABCD + ABCD + BCD + BCD (Added consensus terms)

there4 Z = ABC + ABC + BCD + BCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

= ABD + ABC + ABC + ABCD = AB + ABD + ABCD + ABC

(Added consensus terms)there4 Z = AB + ABD + ABC

The buzzer will sound if the key is in the ignition switch and the car door is open or the seat belts are not fastened B K D Sthere4 The two possible interpretations are B = KD + S and B = K(D + S)

415 (a)

You will gain weight if you eat too much or you do not exercise enough and your metabolism rate is too low W F E Mthere4 The two possible interpretations are W = (F + E) M and W = F + EM

415 (b)

The speaker will be damaged if the volume is set too high and loud music is played or the stereo is too powerful D V M Sthere4 The two possible interpretations are D = VM + S and D = V (M + S)

415 (c)

The roads will be very slippery if it snows or it rains and there is oil on the road V S R Othere4 The two possible interpretations are V = (S + R) O and V = S + RO

415 (d)

Z = AB + AC + BC416 Z = (ABCDE + ABCDE) Y = ABCDE417

1310 = D16 = 0001101 there4 X = ABCDEFG418 (a) 1010 = 0001010 there4 Y = ABCDEFG418 (b)

010 = 00000002 6410 = 10000002 3110 = 00111112 12710 = 11111112 3210 = 01000002 there4 Z = (AB) = A + B418 (c)

ABCABCBCDBCD

Z

ABC

ABD

AB

Z

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 20: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

24 25

A B C D F G H J 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0

420 (a) F(A B C D) = sum m(5 6 7 10 11 13 14 15)= prod M(0 1 2 3 4 8 9 12)

(b) G (A B C D) = sum m(0 2 4 6)= prod M(1 3 5 7 8 9 10 11

12 13 14 15)

(c) H (A B C D) = sum m(7 11 13 14 15)= prod M(0 1 2 3 4 5 6 8 9

10 12)

(d) J (A B C D) = sum m(4 8 12 13 14)= prod M(0 1 2 3 5 6 7 9 10

11 15)

421 You can also work this problem using a truth table as in problem 422f(a b c) = a (b + c) = ab + ac = ab (c + c) +

a (b + b) c = abc + abc + abc + abc m3 m2 m2 m0

421 (a) 421 (b)

421 (c) 421 (d)

a b c d f 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

422 (a) f = sum m(1 2 4 5 6 10 11 12 14 15)

(b) f = prod M(0 3 7 8 9 13)

(c) f = sum m(0 3 7 8 9 13)

(d) f = prod M(1 2 4 5 6 10 11 12 14 15)

You can also work this problem algebraically as in problem 421

F(A B C D) = sum m(3 4 5 8 9 10 11 12 14)F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

423 (a) 423 (b)

f = sum m(0 2 3) f = prod M(1 4 5 6 7)

f = sum m(1 4 5 6 7) f = prod M(0 2 3)

F (A B C D) = prod M(0 1 2 6 7 13 15)F = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

424 (a) F (A B C D) = sum m(0 3 4 7 8 9 11 12 13 14) = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD m0 m3 m4 m7 m8 m9+ ABCD + ABCD + ABCD + ABCD

m11 m12 m13 m14

F (A B C D) = prod M(1 2 5 6 10 15) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) M1 M2 M5 M6(A + B + C + D) (A + B + C + D) M10 M15

424 (b)

F1F2 = prod M(0 3 4 5 6 7) General rule F1F2 is the product of all maxterms that are present in either F1 or F2Proof

Let F1 = (ai + Mi) F2 = (bj + Mj) F1F2 = (ai + Mi) (bj + Mj)

= (a0 + M0) (b0 + M0) (a1 + M1) (b1 + M1) (a2 + M2) (b2 + M2) = (a0b0 + M0) (a1b1 + M1) (a2b2 + M2)

= (aibi + Mi)

Maxterm Mi is present in F1F2 iff aibi = 0 Maxterm Mi is present in F1 iff ai =0 Maxterm Mi is present in F2 iff aj = 0 Therefore maxterm Mi is present in F1F2 iff it is present in F1 or F2

419

2nndash1

Πi = 0

2nndash1

Πj = 0

2nndash1

Πi = 0

2nndash1

Πj = 0

3nndash1

Πi = 0

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 21: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

24 25

427 (a) G1(A B C) = sum m(0 7) = prod M(1 2 3 4 5 6) 427 (b) G2(A B C) = sum m(0 1 6 7) = prod M(2 3 4 5)

428 A B C D 1s X Y Z 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 2 0 1 0 0 1 0 0 1 0 0 1 0 1 0 1 2 0 1 0 0 1 1 0 2 0 1 0 0 1 1 1 3 0 1 1 1 0 0 0 1 0 0 1 1 0 0 1 2 0 1 0 1 0 1 0 2 0 1 0 1 0 1 1 3 0 1 1 1 1 0 0 2 0 1 0 1 1 0 1 3 0 1 1 1 1 1 0 3 0 1 1 1 1 1 1 4 1 0 0

429 A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1

(a) X = ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

428 (b) Y = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

Z = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

429 (b)

(a) X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

Y = ABCD + ABCD + ABCD + ABCD + ABCD

Z = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

425 (a) If dont cares are changed to (1 1) respectively F1 = ABC + ABC + ABC + ABC = AB + AC

A B C D E F Z0 0 0 1 1 X2 00 0 1 0 1 X2 10 1 0 0 X2 1 10 1 1 X1 X1 X1 X1 0 0 0 1 X2 11 0 1 0 X2 1 11 1 0 X1 X1 X1 X1 1 1 1 X2 1 0

426 1 These truth table entries were made dont cares because ABC = 110 and ABC = 011 can never occur2 These truth table entries were made dont cares because when one input of the OR gate is 1 the output will be 1 regardless of the value of its other input

425 (b) If dont cares are changed to (1 0) respectivelyF2 = ABC+ ABC + ABC + ABC = C

425 (c) If dont cares are changed to (1 1) respectivelyF3 = (A + B + C) (A + B + C) = A + B

425 (d) If dont cares are changed to (0 1) respectivelyF4 = ABC + ABC + ABC + ABC = BC + BC

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 22: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

26

A B C D S T U V W X Y Z0 0 0 0 0 times 5 = 00 0 0 0 0 0 0 0 00 0 0 1 1 times 5 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 5 = 10 0 0 0 1 0 0 0 00 0 1 1 3 times 5 = 15 0 0 0 1 0 1 0 10 1 0 0 4 times 5 = 20 0 0 1 0 0 0 0 00 1 0 1 5 times 5 = 25 0 0 1 0 0 1 0 10 1 1 0 6 times 5 = 30 0 0 1 1 0 0 0 00 1 1 1 7 times 5 = 35 0 0 1 1 0 1 0 11 0 0 0 8 times 5 = 40 0 1 0 0 0 0 0 01 0 0 1 9 times 5 =45 0 1 0 0 0 1 0 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = A U = B V = C W = 0 X = D Y = 0 Z = D

430 A B C D S T U V W X Y Z0 0 0 0 0 times 4 + 1 = 01 0 0 0 0 0 0 0 10 0 0 1 1 times 4 + 1 = 05 0 0 0 0 0 1 0 10 0 1 0 2 times 4 + 1 = 09 0 0 0 0 1 0 0 10 0 1 1 3 times 4 + 1 = 13 0 0 0 1 0 0 1 10 1 0 0 4 times 4 + 1 = 17 0 0 0 1 0 1 1 10 1 0 1 5 times 4 + 1 = 21 0 0 1 0 0 0 0 10 1 1 0 6 times 4 + 1 = 25 0 0 1 0 0 1 0 10 1 1 1 7 times 4 + 1 = 29 0 0 1 0 1 0 0 11 0 0 0 8 times 4 + 1 = 33 0 0 1 1 0 0 1 11 0 0 1 9 times 4 + 1 =37 0 0 1 1 0 1 1 1

Note Rows 1010 through 1111 have dont care outputs

S = 0 T = 0 U = BD + BC + A V = BCD + BCD + A W = BCD + BCD X = BCD + BD Y = BCD + BCD + A Z = 1

431

Notice that the sign bit X3 of the 4-bit number is extended to the leftmost full adder as well

432

X Y Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

433

HA

S3

C2 HA

S2

C1 HA

S1

C0 HA

S0

X0X1X2X31

C4 FA

S4

C3 FA

S3

C2 FA

S1

C0

X1

FA

S0

X0

0

X3

Y1 Y0

FA

S2

C1

X2Y2Y3Y4

XY

Sum

Cout

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 23: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

27

Unit 5 Problem Solutions

53 (a) f1

ab c 0 1

00

01

11

10

1

1

1

1

f1 = ac + a bc + b c

53 (b) 53 (c) f3

rs t 0 1

00

01

11

10

1

1

1

1

1

1

f3 = r + t

53 (d) f4

xy z 0 1

00

01

11

10

0

1

1

1

1

0

1

1

f4 = xz + y + x z

54 (a)F

A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

0

1

0

1

0

1

1

1

1

1

1

F = (A + B+ D) (B + C + D)

54 (b) 54 (c)

f2d

e f 0 100

01

11

10

1

1

1

1

f2 = de + df + ef f4 = xz + y + xz

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = B D + BC D + A B C + A B CD + BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = D + BC + A B

See FLD p 630 for solution

21 1 112 11 11Z = C X X + C X X + C C X X + C X X + C X X2 22221

C CX X 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

0

0

0

0

1

1

0

0

1

1 21 2

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 11221

21 1 212 11 11Z = C X X + C X X + C C X X + C X X + C C X2 21221

Alt

55 (b)

56 (a)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = ABC + AD + BC D + A B D + B C D

F = ABC + AD + BC D + A B D + AB C

Alt

56 (b) A BC D 00 01 11 10

00

01

11

10

1

0

1

1

1

1

1

1

1

0

1

0

0

1

0

1

F = AC + BD + B D + AB

F = AC + BD + B D + AD

Alt

BDrarrm13 or m15 ACrarrm3 BDrarrm8 or m10

A () indicates a minterm that makes the corresponding prime implicant essential

AD rarrm5 ABCrarrm0 BCDrarrm11ABDrarrm12

() Indicates a minterm that makes the corresponding prime implicant essential

55 (a)

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 24: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

28 29

57 (b)F

A BC D 00 01 11 10

00

01

11

10

X

1

1

X

1

X

1

F = AB + ACD + A B C

57 (c)F

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0F = BCD + A BC + AB C + B CD + A D

57 (d)

A BC D 00 01 11 10

00

01

11

10

0

X

0

1

0

1

0

1

0

X

1

1

X

1

1

X

F = D + A C

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = (C+ D) (B+ C) (A + B + C ) (A+ C + D )

58 (a)

58 (b)A B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = (A+ C ) (B+ D) (B + D ) (B+ C)

F = (A+ C ) (B+ D) (B + D ) (C+ D )

Alt

F = BCD + ABC + ABC + BCD + AD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

X

1

1

0

X

0

1

1

0

0

1

X

X

F = AB C + A CD + BC D

FA B

C D 00 01 11 1000

01

11

10

0

1

X

1

1

0

0

X

X

0

0

1

X

0

X

X

F = ABD + B CD + C D

56 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

X

X

1

0

1

0

1

X

1

1

1

0

0

0

F = AD + B + CD

CDrarrm12 ADrarrm6 Brarrm10 or m11

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

F = ACD + AC D + BCD + A B C D + ABC

F = ACD + AC D + BCD + A B C D + ABD

Alt

57 (a)

() Indicates a minterm that makes the corresponding prime implicant essential

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 25: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

28 29

59 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0F = (A+ B+ C + E ) (A+ B + C+ D) (A + B+ C+ E ) (B+ D + E )

(A + C+ D) (A+ C + D + E) (A+ B+ C+ E)

59 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1F = (A+ B+ E ) (A+ C+ D + E ) (C + D+ E) (A + B + D+ E )

(A + B + C ) (B+ D + E)

F = (A + B + C + E) (A + B + C + D) (A + B + C + E) (B + D + E) (A + C + D) (A + C + D + E) (A + B + C + E)

F = (A + B + E) (A + C + D + E) (C + D + E) (A + B + D + E) (A + B + C) (B + D + E)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

X

X

0

1

1

0

1

1

X

X

0

0

1

1

0

1

X

1

1

0

0

0

0

0

1

0

0

1

0 F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABE

F = ACE + ACD + AD E + A BC D + CD E + A B C D E + BCE + ABD

Alt

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

X

0

1

1

0

1

1

0

1

0

X

X

1

1

1

1

1

0

0

1

1

1

0

0

0

0

0

0

0

1

F = AC D + AB E + C D E + A BCE + A BD E + BDE

F = AC D + AB E + C D E + A BCE + A BC D + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BDE

F = AC D + AB E + C D E + A BCD + A BD E + BC E

Alt

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 26: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

30 31

510 (a)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

510 (b)F

b cd e

a

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

Essential prime implicants CDE (m16 m24) ACE (m14) ACE (m31) ABDE (m3)

Prime implicants ABDE ADE CDE ACE ACE ABC BCE CDE ACD

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

512 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D) (A + B + C + D)

511

(A + B + C + D ) (A+B+ C + D ) (C + D + E)

0

B CD E

A

01

00 01 11 10

00

01

11

10

0

X

1

0

1

1

1

1

1

0

1

0

1

0

1

0

1

0

1

0

0

0

0

0

0

0

1

1

1

X

1

F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)

(A + B + C + D ) (A+B+ C + E ) (C + D + E)F = (A+ B + C) (A+ D+ E ) (A + B+ E) (A + C + E)Alt

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = ABC + A B D + A CD

512 (b)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

512 (c)

513 F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

Minterms m0 m1 m2 m3 m4 m10 and m11 can be made donrsquot cares individually without changing the given expression However if m13 or m14 is made a donrsquot care the term BCD or the term ACD (respectively) is not needed in the expression

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 27: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

30 31

FA

B C 0 100

01

11

10

1

1

1

1

F = AC + A C

514 (a)F2

DE F 0 1

00

01

11

10

1

1

1

1

F2 = EF + D E + D F

514 (b) 514 (c)F

RS T 0 1

00

01

11

10

1

1

1

1

1

1

F = T + R

514 (d)FA

B C 0 100

01

11

10

1

1

1

1

F = B C + A C

514 (e)F

NP Q 0 1

00

01

11

10 1

1

1

1

F = NP + N Q

FX

Y Z 0 100

01

11

10

1

1

1

0

1

1

0

1

F = Y + XZ + X Z

514 (f) 515 (a)f

ab c 0 1

00

01

11

10

1

1 1

1

1

f = ab + a b + a c

f = ab + a b + bc

515 (b)G

DE F 0 1

00

01

11

10

X

1

X

X

1

G = D E F + EFG = D E F + DF

G = D E F + DE

515 (c)F

pq r 0 1

00

01

11

10

1

1

1

1

1

1

F = pq + p r + q r

F = pr + qr + p q

515 (d)F

st u 0 1

00

01

11

10

X

1

1

1

X

X

F = s

515 (e)F

ab c 0 1

00

01

11

10

1

1

1

1

1

1

F = ab + b c + a cF = ac + bc + a b

515 (f)g

de f 0 1

00

01

11

10

X

1

X

1

1

X

g = de + f

516 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 11

1

F = AB + C D + A B C

516 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

1

0

0

0

1

0

0

0

1

1

F = (B+ C ) (A + B+ D) (A+ C ) (A+ B + D)

517 (a) (b) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

F = A + CD + BC D

0 0

0 0

0

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

0

1

1

0

0

1

0

0

F = (A+ C + D ) (A+ C+ D) (A+ B+ C)

F = (A+ C + D ) (A+ C+ D) (A+ B+ D )

Alt

517 (c)

516 (b)

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 28: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

32 33

520 (b)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

0

1

1

0

1

1

0

1

1

1

1

F = BCD + C D + B D + B C + A B

520 (c)F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

1

X

1

1

F = BD + C D + A CD

520 (a)F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

11

1

F = AD + AB C + CD + B D

518 (a) 518 (b)C1 C2 X1 X2 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1

(C + C + X + X ) (C + X + X ) (C + C + X )

C CX X 00 01 11 10

00

01

11

10

0

0

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1 21 2

2

12

22

211

1 21 21

1F = (C + C + X ) (C + X + X ) (C + C + X + X )

11

11 222

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1 1

1

1

F = A B C + BC D + AC + ABD + B CD

F = A B C + BC D + AC + ABD + AB D

Alt

519 (a) 519 (b)A B

C D 00 01 11 1000

01

11

10

X

1

X

1

X

1

F = ACD + BCD + ABC

F = ACD + BCD + ABD

Alt

519 (c)F

A BC D 00 01 11 10

00

01

11

10

1

X

1

1

1

X

1

F = ACD + AB + B CD

519 (d)W X

Y Z 00 01 11 1000

01

11

10

1

X

1

1

X

1

1

1

1

X

1

1

X

F = XY + W Y + WZ + W X

F = XY + W Y + WZ + W Z

F = XY + WZ + YZ + W Z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

X

0

1

0

1

0

X

0

1

X

1

1

F = ABC D + B D + A D + A B

519 (e)

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 29: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

32 33

520 (d)A B

C D 00 01 11 1000

01

11

10

0

X

0

X

1

X

0

0

1

0

1

1

0

0

1

1

F = AB C + A BD + A C

521 (a)F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

X

X

0

F = (C+ D ) (A+ B + D) (A + B+ C ) (A + D ) F = (B+ C ) (A+ B + C) (A + D ) (C+ D )

A BC D 00 01 11 10

00

01

11

10

0

1

0

1

0

0

X

X

1

1

0

0

X

0

0

1

F = (B+ C ) (A+ B + C) (A + D ) (B+ D )Alt

521 (b)

522 (b)

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = CD + A BC + AB + AD

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = (w + x+ z ) (w + y+ z ) (w+ x+ y)

F = (w + x+ z ) (w + y+ z ) (w+ y+ z)

Alt

w xy z 00 01 11 10

00

01

11

10

1

X

0

1

0

1

X

1

1

1

1

X

1

1

X

0

F = xy + w y + wz + w z

F = xy + w y + wz + w x

F = xy + wz + yz + w z

Alt

FA B

C D 00 01 11 1000

01

11

10

0

1

0

1

1

X

1

X

1

1

0

0

0

1

0

0

F = (A+ B+ D ) (A+ C) (A + B + D )

522 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

X

1

1

1

1

1

X

F = BD + AD + CD

523

Notice that abcd = 0101 and 1111 never occur so minterms 5 and 15 are donrsquot cares

524 (a)

F = prod M(0 1 9 12 13 14) = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D) (A + B + C + D) (A + B + C + D)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = A BD + AB + AC + C D

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 30: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

34 35

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

1

1

1

X

1

11

1

1

1

1

1

1

1

X

1

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ABE

F = ABC + AB C + A BCD + CDE + A B D + B C D E + ACE

Alt

529 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

0

0

0

0

1

0

0

1

1

1

0

F = A B D + ABC + A CD

524 (b) 524 (c)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

1

F = (A+ B+ D ) (A + B + C ) (A+ C + D)

525F

A BC D 00 01 11 10

00

01

11

10

1

1

X

1

X

X

1

1

1

F = D + A B C

Prime implicants for f abce acd abe ace bcde cde ade

Prime implicants for f ade ace ace bde abc bce bcde acde abcd abde

For F bcde ace abe acd abce cde ade

For G abce abcd abde cde bde abcd ace

526

527

528 (a)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

528 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1 1

1

1

1

11

1

1

1

1

1

1

abd cde bcde bcd acde abce abde acde abce abcd bcde abde abceabdrarrm1 cderarrm28 bcderarrm25 bcdrarrm21

() Indicates a minterm that makes the corresponding prime implicant essential

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 31: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

34 35

F = ABCD + BCDE + BCD + BCDE + ABCD + ABCE + ADE

530

529 (b) B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1 1

1

1 1

1

1

ABDE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + ABC EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

BC DE + AC D EF = ABCE + AB CD + B C D E + A BDE + A B D E + A C DE +

Alt

1

FB C

D E

A

01

00 01 11 10

00

01

11

10

1

0 0

00

0

0

00

1 1

1

1 1

1

11 1

1

1

1

1

1

0

0

00

0

0

0

0

1 F = ABCD + B CD E + B C D + BC D E + A B CD +ABC E + A DE

532 (a)F

w xy z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = vx yz + xyz + v z + w xy z + v w x

531F

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

X

X

1

X

1

1

1 X

1

11

F = A BC DE + B CD + A B D E + ABCE +ACD E + AC DE

F = ABCDE + BCD + ABDE + ABCE + ACDE + ACDE

Fw x

y z

v

01

00 01 11 10

00

01

11

10

0

X

X

0

1

0

0

0

0

1

1

0

1

0

0

0

X

X

0

1

0

1

0

1

0

1

1

1

1

1

1

1

F = (x + y + z ) (v + y+ z) (v + x+ z) (v + x+ y) (v+ w + z )

532 (b) B CD E

A

01

00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

F = (C + D + E ) (A+ B ) (A + B) (B + C+ D+ E)

F = (C + D + E ) (A+ B ) (A + B) (A + C+ D+ E)

Alt

533 (a)

F = (x + y + z) (v + y + z) (v + x + z) (v + x + y) (v + w + z)

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 32: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

36

535 (b)F

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

1

F = CD + B D + A B

536 (a)

m4

Fw x

y z

v

01

00 01 11 10

00

01

11

10

X

X

1

1

1

1

1

X

X

1

1

1

1

1

1

1

1 1

F = vx y + vw z + x y z + v wxz + v wy z + wyz

F = vx y + vw z + x y z + v wxz + v wx y + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wyz

F = vx y + vw z + x y z + v wxy + v wy z + wx zm31m8

Changing m1 to a dont care removes CD from the solution

vwzrarrm8 xyzrarrm31 vxyrarrm4536 (b)

534 (a) w xy z

v

01

00 01 11 10

00

01

11

10

0

0

0

0

1

1

0

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

0

1

0

0

1

0

1

1 F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (x + y+ z)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ w+ x + z ) (w+ x + y)

F = (v+ w+ x+ y + z) (w + y+ z) (v + y) (w + x + y )(v+ x + y + z ) (w+ x + y)

Alt

534 (b)F

B CD E

A

01

00 01 11 10

00

01

11

10

X

0

0

0

0

0

0

X

0

0

0

0

0 0

0

0

X

XF = (C + D + E ) (A+ C+ D) (A+ B + C + D ) (A + C+ D )

(B + D+ E ) (A + C + E )

F = (C + D + E) (A + C + D) (A + B + C + D) (A + C + D) (B + D + E) (A + C + E)

535 (a) A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

F = A C D + B CD + BC + AC

m m or m change the minimumsum of products removing AC BCDor ACD respectively

4 13 14

533 (b) B CD E

A

01

00 01 11 10

00

01

11

10

X

1

X

X

X

1

0

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

1

0

0

0

0

0

1

1F = (C + D) (A + D+ E) (A + B+ C ) (B+ C + E)

(A+ B+ C+ D ) (B + C+ E )

F = (C + D) (A + D+ E) (B+ C + E) (A+ B+ C+ D )(A + C + E ) (B + C+ E )

Alt

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 33: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

37

Unit 6 Problem Solutions

1 0001uuml 1 5 0-01 acd5 0101uuml 1 9 -001 bcd9 1001uuml 5 7 01-1 abd12 1100uuml 9 11 10-1 abd7 0111uuml 12 14 11-0 abd11 1011uuml 7 15 -111 bcd14 1110uuml 11 15 1-11 acd15 1111uuml 14 15 111- abc

62 (a)

Prime implicants acd bcd abd abd abd bcd acd abc

0 0000uuml 0 1 000- abc 1 3 5 7 0--1 ad1 0001uuml 0 8 -000 bcd 1 5 3 7 0--18 1000uuml 1 3 00-1uuml 6 7 14 15 -11- bc3 0011uuml 1 5 0-01uuml 6 14 7 15 -11-5 0101uuml 8 10 10-0 abd6 0110uuml 3 7 0-11uuml10 1010uuml 5 7 01-1uuml7 0111uuml 6 7 011-uuml14 1110uuml 6 14 -110uuml15 1111uuml 10 14 1-10 acd

7 15 -111uuml14 15 111-uuml

62 (b)

Prime implicants abc bcd abd acd ad bc

1 5 7 9 11 12 14 151 5 acd times times1 9 bcd times times5 7 abd times times9 11 abd times times12 14 abd times times7 15 bcd times times11 15 acd times times14 15 abc times times

63 (a)

0 1 3 5 6 7 8 10 14 151 3 5 7 ad times times times times6 7 14 15 bc times times times times0 1 abc times times0 8 bcd times times8 10 abd times times10 14 acd times times

f = abd + acd + abd + bcd f = abd + bcd + abd + acd

63 (b)

f = ad + bc + abc + abdf = ad + bc + bcd + abdf = ad + bc + bcd + acd

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 34: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

38 39

1 0001uuml 1 3 00-1uuml 1 3 5 7 0--1 ad2 0010uuml 1 5 0-01uuml 1 5 3 7 0--14 0100uuml 1 9 -001uuml 1 5 9 13 --01 cd3 0011uuml 2 3 001-uuml 1 9 5 13 --015 0101uuml 2 6 0-10uuml 2 3 6 7 0-1- ac6 0110uuml 2 10 -010 bcd 2 6 3 7 0-1-9 1001uuml 4 5 010-uuml 4 5 6 7 01-- ab10 1010uuml 4 6 01-0uuml 4 5 12 13 -10- bc12 1100uuml 4 12 -100uuml 4 6 5 7 01--7 0111uuml 3 7 0-11uuml 4 12 5 13 -10-13 1101uuml 5 7 01-1uuml 5 7 13 15 -1-1 bd15 1111uuml 5 13 -101uuml 5 13 7 15 -1-1

6 7 011-uuml9 13 1-01uuml12 13 110-uuml7 15 -111uuml13 15 11-1uuml13 15 11-1uuml

64

Prime implicants bcd ad cd ac ab bc bd

f = bc + bcd + ad + abf = bc + bcd + cd + acf = bc + bcd + ac + ad

65 1 0001uuml 1 5 0-01uuml 1 5 9 13 --01 cd4 0100uuml 1 9 -001uuml 1 9 5 13 --018 1000uuml 4 5 010-uuml 4 5 12 13 -10- bc5 0101uuml 4 12 -100uuml 4 12 5 13 -10-9 1001uuml 8 9 100-uuml 5 7 13 15 -1-1 bd12 1100uuml 8 12 1-00uuml 5 13 7 15 -1-17 0111uuml 5 7 01-1uuml 8 9 12 13 1-0- ac11 1011uuml 5 13 -101uuml 8 12 9 13 1-0-13 1101uuml 9 11 10-1uuml 9 11 13 15 1--1 ad14 1110uuml 9 13 1-01uuml 9 13 11 15 1--115 1111uuml 12 13 110-uuml 12 13 14 15 11-- ab

12 14 11-0uuml 12 14 13 15 11--7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

Prime implicants cd bc bd ac ad ab

1 3 4 5 6 7 10 12 131 3 5 7 ad times times times times1 5 9 13 cd times times times2 3 6 7 ac times times times4 5 6 7 ab times times times times4 5 12 13 bc times times times times5 7 13 15 bd times times times2 10 bcd times

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 35: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

38 39

9 12 13 15P1 (1 5 9 13) cd times timesP2 (4 5 12 13) bc times timesP3 (5 7 13 15) bd times timesP4 (8 9 12 13) ac times times timesP5 (9 11 13 15) ad times times timesP6 (12 13 14 15) ab times times times

65(contd)

F = (AC + BD) or (AD + BC) or (AD + AC) or (AB + AD) or (AB + AC) or (AB + CD) P4 P3 P5 P2 P5 P4 P6 P5 P6 P4 P6 P1

66 (a)F

A BC D 00 01 11 10

00

01

11

10

1

E

1

1

X

1

1

XE

MSA B

C D 00 01 11 1000

01

11

10

1 1

1

X

1

1

X

MS = ACD + AB + A BD

0

0

ZA B

C D 00 01 11 1000

01

11

10

1

X

X

1

G

E

X

E

X

F

1

X

1

A BC D 00 01 11 10

00

01

11

10

X

1

X

X

X

X

X

X1

MS = AC + A C D

MS1

1

E = 0 E = 1

MS1 = AC + ACDMS1 = AC + BCD

F = MS0 + EMS1 = AB + ACD + ABD + E (AC + ACD)

or E (AC + BCD)

66 (b)MS

A BC D 00 01 11 10

00

01

11

10

1

X

X

1 X

X1

X

1

MS = AB + A B D

0

0

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

1

XX

X

X

MS = BC + B C

MS = BC + AC1

1

1E = F = G = 0 E = 1 F = G = 0

(P1 + P4 + P5) (P2 + P4 + P6) (P1 + P2 + P3 + P4 + P5 + P6) (P3 + P5 + P6) = (P4 + P1P2 + P1P6 + P2P5 + P5P6) (P3 + P5 + P6) = P3P4 + P4P5 + P4P6 + P1P2P3 + P1P2P5 + P1P2P6 + P1P3P6 + P1P5P6 + P1P6 + P2P3P5 + P2P5 + P2P5P6 + P3P5P6 + P5P6 = 1

MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X X

X

1

X

X

X

MS = A B2

2 MSA B

C D 00 01 11 1000

01

11

10

X

X

X

X

1

X

XX

X

X

MS = B D

MS = CD

MS = AD3

3

3

3

MS3 = AD or CD or BD

Z = AB + ABD + E (BC + AC) + F (AB) + G (AD)

F = 1 E = G = 0 G = 1 E = F = 0

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 36: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

40 41

1 0001uuml 1 3 00-1uuml 1 3 9 11 -0-1 bd2 0010uuml 1 9 -001uuml 1 9 3 11 -0-14 0100uuml 2 3 001-uuml 2 3 10 11 -01- bc3 0011uuml 2 10 -010uuml 2 10 3 11 -01-9 1001uuml 4 12 -100 bcd 3 7 11 15 --11 cd10 1010uuml 3 7 0-11uuml 3 11 7 15 --1112 1100uuml 3 11 -011uuml 9 11 13 15 1--1 ad7 0111uuml 9 11 10-1uuml 9 13 11 15 1--111 1011uuml 9 13 1-01uuml 10 11 14 15 1-1- ac13 1101uuml 10 11 101-uuml 10 14 11 15 1-1-14 1110uuml 10 14 1-10uuml 12 13 14 15 11-- ab15 1111uuml 12 13 110-uuml 12 14 13 15 11--

12 14 11-0uuml7 15 -111uuml11 15 1-11uuml13 15 11-1uuml14 15 111-uuml

69 (a)

Prime implicants bcd bd bc cd ad ac ab

0 0000uuml 0 4 0-00 acd4 0100uuml 4 5 010- abc3 0011uuml 3 7 0-11 acd5 0101uuml 3 11 -011 bcd9 1001uuml 5 7 01-1 abd7 0111uuml 5 13 -101 bcd11 1011uuml 9 11 10-1 abd13 1101uuml 9 13 1-01 acd

67 (a)

Prime implicants acd abc acd bcd abd bcd abd acd

2 0010uuml 2 6 0-10 acd 4 5 12 13 -10- bc4 0100uuml 2 10 -010 bcd 4 12 5 13 -10-5 0101uuml 4 5 010-uuml 9 11 13 15 1--1 ad6 0110uuml 4 6 01-0 abd 9 13 11 15 1--19 1001uuml 4 12 -100uuml10 1010uuml 5 13 -101uuml12 1100uuml 9 11 10-1uuml11 1011uuml 9 13 1-01uuml13 1101uuml 10 11 101- abc15 1111uuml 12 13 110-uuml

11 15 1-11uuml13 15 11-1uuml

67 (b)

Prime implicants ad bc acd bcd abd abc

0 3 4 5 7 9 11 130 4 acd times times4 5 abc times times3 7 acd times times3 11 bcd times times5 7 abd times times5 13 bcd times times9 11 abd times times9 13 acd times times

68 (a)

2 4 5 6 9 10 11 12 13 152 6 acd times times2 10 bcd times times4 6 abd times times10 11 abc times times4 5 12 13 bc times times times times9 11 13 15 ad times times times times

68 (b)

f = acd + acd + abd + bcdf = acd + acd + abd + bcd

f = bc + ad + acd + bcdf = bc + ad + acd + abcf = bc + ad + abd + bcd

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 37: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

40 41

f = bc + bcd + cd + bd + abf = bc + bcd + cd + ad + abf = bc + bcd + cd + ad + ac

0 0000uuml 0 1 000-uuml 0 1 8 9 -00- bc1 0001uuml 0 8 -000uuml 0 8 1 9 -00- 8 1000uuml 1 5 0-01uuml 1 5 9 13 --01 cd5 0101uuml 1 9 -001uuml 1 9 5 13 --01 6 0110uuml 8 9 100-uuml 8 9 10 11 10-- ab9 1001uuml 8 10 10-0uuml 8 10 9 11 10-- 10 1010uuml 8 12 1-00uuml 8 9 12 13 1-0- ac12 1100uuml 5 7 01-1 abd 8 12 9 13 1-0- 7 0111uuml 5 13 -101uuml11 1011uuml 6 7 011- abc13 1101uuml 9 11 10-1uuml

9 13 1-01uuml10 11 101-uuml12 13 110-uuml

69 (b)

Prime implicants abd abc bc cd ab ac

f = abc + bc + ab + cd

69 (a) (contd)

2 3 4 7 9 11 12 13 144 12 bcd times times1 3 9 11 bd times times times2 3 10 11 bc times times times3 7 11 15 cd times times times9 11 13 15 ad times times times10 11 14 15 ac times times12 13 14 15 ab times times times

0 1 5 6 8 9 11 135 7 abd times6 7 abc times0 1 8 9 bc times times times times1 5 9 13 cd times times times times8 9 10 11 ab times times times8 9 12 13 ac times times times

69 (c) f = ab + bc + abc + bd + cd f = ab + bc + abc + ad + cdf = ab + bc + abc + ad + ac

610 Prime implicants abc bcd abd bcd ac abd

f = abc + bcd + ac + abd + abd f = abc + bcd + ac + abd + bcd

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 38: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

42 43

0 00000uuml 0 2 000-0uuml 0 2 4 6 00--0uuml 0 2 4 6 8 10 12 14 0---0 AE2 00010uuml 0 4 00-00uuml 0 2 8 10 0-0-0uuml 0 2 8 10 4 6 12 14 0---04 00100uuml 0 8 0-000uuml 0 2 16 18 -00-0 BCE 0 4 8 12 2 6 10 14 0---08 01000uuml 0 16 -0000uuml 0 4 2 6 00--016 10000uuml 2 6 00-10uuml 0 4 8 12 0--00uuml6 00110uuml 2 10 0-010uuml 0 8 2 10 0-0-09 01001uuml 2 18 -0010uuml 0 8 4 12 0--0010 01010uuml 4 6 001-0uuml 0 16 2 18 -00-012 01100uuml 4 12 0-100uuml 2 6 10 14 0--10uuml18 10010uuml 8 9 0100-uuml 2 10 6 14 0--107 00111uuml 8 10 010-0uuml 4 6 12 14 0-1-0uuml11 01011uuml 8 12 01-00uuml 4 12 6 14 0-1-013 01101uuml 16 18 100-0uuml 8 9 10 11 010-- ABC14 01110uuml 6 7 0011- ABCD 8 9 12 13 01-0- ABD19 10011uuml 6 14 0-110uuml 8 10 9 11 010--21 10101uuml 9 11 010-1uuml 8 10 12 14 01--0uuml29 11101uuml 9 13 01-01uuml 8 12 9 13 01-0-30 11110uuml 10 11 0101-uuml 8 12 10 14 01--0

10 14 01-10uuml12 13 0110-uuml12 14 011-0uuml18 19 1001- ABCD13 29 -1101 BCDE14 30 -1110 BCDE21 29 1-101 ACDE

611

0 2 6 7 8 10 11 12 13 14 16 18 19 29 306 7 ABCD times times18 19 ABCD times times13 29 BCDE times times14 30 BCDE times times21 29 ACDE times0 2 16 18 BCE times times times times8 9 10 11 ABC times times times8 9 12 13 ABD times times times0 2 4 6 8 10 12 14 AE times times times times times times times

F = BCDE + ABCD + BCE + ABC + ABCD + BCDE + AE

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 39: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

42 43

612 (a) 0 00000uuml 0 1 0000-uuml 0 1 2 3 000--uuml 0 1 2 3 8 9 10 11 0-0-- AC1 00001uuml 0 2 000-0uuml 0 1 8 9 0-00-uuml 0 1 8 9 2 3 10 11 0-0--2 00010uuml 0 8 0-000uuml 0 2 1 3 000-- 0 2 8 10 1 3 9 11 0-0--8 01000uuml 1 3 000-1uuml 0 2 8 10 0-0-0uuml3 00011uuml 1 9 0-001uuml 0 8 1 9 0-00-6 00110uuml 1 17 -0001uuml 0 8 2 10 0-0-09 01001uuml 2 3 0001-uuml 1 3 9 11 0-0-1uuml10 01010uuml 2 6 00-10 ABDE 1 9 3 11 0-0-117 10001uuml 2 10 0-010uuml 1 9 17 25 --001 CDE20 10100uuml 8 9 0100-uuml 1 17 9 25 --00111 01011uuml 8 10 010-0uuml 2 3 10 11 0-01-uuml21 10101uuml 3 11 0-011uuml 2 10 3 11 0-01-25 11001uuml 9 11 010-1uuml 8 9 10 11 010--uuml28 11100uuml 9 25 -1001uuml 8 10 9 11 010--23 10111uuml 10 11 0101-uuml30 11110uuml 17 21 10-01 ABDE31 11111uuml 17 25 1-001uuml

20 21 1010- ABCD20 28 1-100 ACDE21 23 101-1 ABCE28 30 111-0 ABCE23 31 1-111 ACDE30 31 1111- ABCD

f = AC + CDE + ABDE + ACDE + ABCE + ABCDf = AC + CDE + ABDE + ACDE + ABCE + ABCD

612 (b) f = BD + CDE + ABCE + ABCD +BCDE + ABDE

f = BD + CDE + ABCE + ABDE + ABCD + BCDE

F = BD + ABD + AB + AC + BCF = BD + ABD + AB + AC + CDF = BD + ABD + AB + CD + AD

613

Prime implicants ace cde bce ace abc acd abde cde ade

Essential prime implicants are underlinedF = ace + cde + ace + abde + acdF = ace + cde + ace + abde + cde

614

0 1 2 3 6 8 9 10 11 17 20 21 23 25 28 30 312 6 ABDE times times17 21 ABDE times times20 21 ABCD times times20 28 ACDE times times21 23 ABCE times times28 30 ABCE times times23 31 ACDE times times30 31 ABCD times times1 9 17 25 CDE times times times times0 1 2 3 8 9 10 11 AC times times times times times times times times

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 40: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

44 45

1 000001uuml 1 3 0000-1uuml 1 3 17 19 0-00-1 ACDF2 000010uuml 1 17 0-0001uuml 1 17 3 19 0-00-116 010000uuml 2 3 00001-uuml 2 3 18 19 0-001- ACDE32 100000uuml 2 18 0-0010uuml 2 18 3 19 0-001-3 000011uuml 16 17 01000-uuml 16 17 18 19 0100-- ABCD17 010001uuml 16 18 0100-0uuml 16 18 17 19 0100--18 010010uuml 16 48 -10000 BCDEF48 110000uuml 32 48 1-0000 ACDEF19 010011uuml 3 19 0-0011uuml26 011010uuml 17 19 0100-1uuml28 011100uuml 18 19 01001-uuml15 001111 ABCDEF 18 26 01-010 ABDEF29 011101uuml 26 30 011-10 ABCEF30 011110uuml 28 29 01110- ABCDE39 100111 ABCDEF 28 30 0111-0 ABCDF63 111111 ABCDEF

615

1 2 3 16 17 18 19 26 32 39 48 6315 ABCDEF39 ABCDEF times63 ABCDEF times16 48 BCDEF times times32 48 ACDEF times times18 26 ABDEF times times26 30 ABCEF times28 29 ABCDE28 30 ABCDF1 3 17 19 ACDF times times times times2 3 18 19 ACDE times times times times16 17 18 19 ABCD times times times times

G = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD +ABDEFG = ABCDEF + ABCDEF + ACDF + ACDE +ACDEF +ABCD + ABCEF

Essential prime implicants are underlined in 615 (a)

If there were no dont cares prime implicants 15 (26 30) (28 29) and (28 30) are omitted There is only one minimum solution Same as (a) except delete the second equation

615 (a)

615 (b)

615 (c)

616 (a) Prime implicants ABDEF ABCDF ABCDEF ACDEF ABCDEF BCEF ABDEF BCDEF ABCDE ABDEF ABCEF ABCDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABDEF + ABCDE

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ACDEF

G = BCEF + ABCDEF + ABCDEF + ABDEF + BCDEF + ABCDF + ABCDE

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 41: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

44 45

617 Prime implicants AC AD AB CD BD AD

Minimum solutions (AD + CD) (AD + BD) (AB + BD) (AB + CD) (AB + AD)

FA B

C D 00 01 11 1000

01

11

10

1

E

X

1

1

X

E

1

1

618 (a)

F = ACD + BCD + AD + E (ABC + BD) MS0 MS1

618 (b)Z

A BC D 00 01 11 10

00

01

11

10

G

X

1

X

X

1

1

1

F

E

E

X

X

Z = CD + ACD + E (BC + BD) + F(CD) + G (AC) MS0 MS1 MS2 MS3

619 (a)

B CD E

A

01

00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

X

X

1

11

1

X

X 1

F = ACD + AB + A BD + ACE + B C D EF = ACD + AB + A BD + ACE + A C D E

616 (b) Essential prime implicants are underlined in 616(a)

616 (c) If there are no donrsquot cares the prime implicants areABDEF ABCDF ABCDEF ACDEF

ABCDEF BCEF ABDEF BCDEFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABCDFG = BCEF + ABCDEF + ACDEF + ABCDEF

+ABDEF + BCDEF + ABDEF

619 (b) Prime implicants ACD AB ABD ACE ACDE BCDE BCDE

F = ACD + AB + ABD + ACE + ACDEF = ACD + AB + ABD + ACE + BCDE

Each minterm of the four variables A B C D expands to two minterms of the five variables A B C D E For example m4(ABCD) = ABCD = ABCDE + ABCDE = m8(ABCDE) + m9(ABCDE)

620 C DE F 00 01 11 10

00

01

11

10

A

1

A

1

1

A

A

B

1

This square contains 1 + B which reduces to 1

G = CEF + DEF + A (DF) + B (DF) MS0 MS1 MS2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 42: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

46 47

Unit 7 Problem Solutionsf

a bc d 00 01 11 10

00

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = (a+ b) (a + b ) (a + c + d) (b + c+ d)

f = (a+ b) (a + b ) (b + c+ d) (b+ c + d)

f = (a+ b) (a + b ) (a + c + d) (a+ c+ d)

f = (a+ b) (a + b ) (b+ c + d) (a+ c+ d)

fa b

c d 00 01 11 1000

01

11

10

0

0

0

0

1

0

1

1

1

1

1

0

0

0

0

0

f = a bd + a bc + ab c + ab d

f = ab (c + d) + ab (c + d)2 2

2

3 3

71 (a)

Sum of products solution requires 5 gates 16 inputs

Beginning with a minimum product of sums solution we can get

Beginning with the minimum sum of products solution we can get

F = (AD + B) (E + C) + ADE

2 22

22

3

F = (E + FG) [A + B (C + D)]2

22

2

2

2

71 (b)

ACD + ADE + BE + BC + ADE = E (AD + B) + ADE + C (AD + B)

72 (a)

4 levels 6 gates 13 inputs

72 (b) AE + BDE + BCE + BCFG + BDFG + AFG = AE + AFG + BE (C + D) + BFG (C + D)

4 levels 6 gates 12 inputs

Product of sums solution requires 5 gates 14 inputs so product of sums solution is minimum

5 gates 12 inputs

f = (a + b) (a + b) (d + ac + ac))2 222 3

36 gates 14 inputs

So sum of products solution is minimum

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 43: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

46 47

ac

ab

d

F

NOR-NOR

d

ac

ab F

AND-NOR NAND-AND

d

ac

ab F

OR-ANDac

ab

d

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = (A + B ) (D ) (A+ C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

1

0

1

0

1

0

0

0

1

0

0

F = A CD + AB D

NAND-NAND OR-NAND

F

abdacd

NOR-ORabdacd

F

abdacd

F

AND-ORabdacd

F

73 F (a b c d)n = abd + acd or d (ab + ac) = d (a + b) (a + c) You can obtain this equation in the product of sums form using a Karnaugh map as shown below

F=abd+acd (F)=[(abd)(acd)] (F)=[(a+b+d)(a+c+d)] (F)=(a+b+d)+(a+c+d) (F)=[(abd+acd)]

F=d(a+b)(a+c) (F)=[d+(a+b)+(a+c)] (F)=[d+ab+ac] (F)=d(ab)(ac) (F)=[[d(a+b)(a+c))]

74F

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

0

1

1

1

1

0

0

F = B CD + A BC + A B C

F = BC (A + D) + ABC2 3

32

BC

AD

ABC

F

F (A B C D) = sum m(5 10 11 12 13) F = ABC + BCD + ABC = BC (A + D) + ABC

4 gates 10 inputs

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 44: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

48 49

75Z

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

0

1

1

1

1

0

0

0

0

0

0

0

Z = (A + C + D ) (A+ D) (A+ C) (A+ B)

Z = (A + C + D) (A + BCD)

23

2

3

BC

ADZA

CD

4 gates 10 inputs

76 BC D

A

CD

Z

Z = ABC + AD + CD = A (BC + D) + CD

C

DF

B A EZ

77 Z = AE + BDE + BCEF= E (A + BD + BCF) = E [A + B (D + CF)]

For the solution to 78 see FLD P 633

79F1

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

F1 = A C D + A D + ABD

F2A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1 11

F2 = AD + ABD + A C D6 gates

78

710

F1A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F1 = A BD + BC D + AB D

F2A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

F2 = A BC + BC D + B CD + A CD

F2 = A BC + BC D + B CD + A BD

F3A B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

F3 = A BC + BC D + AB C

11 gates

f1 (A B C D) = sum m(3 4 6 9 11)f2 (A B C D) = sum m(2 4 8 10 11 12)f3 (A B C D) = sum m(3 6 7 10 11)

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 45: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

48 49

712 F1A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

0

1

1

1

1

1

0

1

0

1

F1 = (A+B+C)(B+D)

F3A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

1

1

1

1

0

0

0

1

1

1

F3 = (B+C+D)(A+C)(B+C)

9 gates

713 (a) Using F = (F) from Equations (7-23(b)) p 194f1 = [(ABD) (ABD) (ABC) (BC)] f2 = [C (ABD)] f3 = [(BC) (ABC) (ABD)]

ABD

BC

ABDABC

f1

ABD f2

C BC

ABCABD

f3

F2A B

C D 00 01 11 1000

01

11

10

0

0

1

1

0

1

1

1

0

0

1

1

0

0

1

1

F2 = (A+B+C)(B+C+D)(A+C)

711F1

A BC D 00 01 11 10

00

01

11

10

0

0

1

1

0

0

0

0

1

1

0

0

0

0

1

1

F1 = (A + C) (A + B) (A+B+C)(A+B+C)

F2A B

C D 00 01 11 1000

01

11

10

1

1

0

0

0

1

0

0

1

1

0

0

0

0

1

1

F2 = (B+C+D)(A+B+C)(A+C)(A+B+C)

F2 = (A+B+D)(A+B+C)(A+C)(A+B+C)

8 gates

713 (b) Using F = (F) from Equations derived in problem 712f1 = [(A + B + C) + (B + D)]f2 = [(A + B + C) + (B + C + D) + (A + C)]f3 = [(B + C + D) + (A + C) + (B + C)]

BD

ABC

f1

AC

ABCBCD

f2

BC

BCDAC f3

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 46: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

50 51

714 (a)

acdacdabab

F

cd a

b

cd a

b

F

5 gates 16 inputs

and f = ab + ab + bcd + acd f = ab + ab + acd + bcd

(two other minimun solutions)5 gates 14 inputs minimal

714 (b) Beginning with the sum of products solution we get

f = ab + ab + d (ac + ac)= ab + ab + d (a + c) (a + c) mdash 6 gates 14 inputs

But beginning with the product of sums solution above we get

f = (a + b + cd) (a + b + cd) mdash 5 gates 12 inputs which is minimum

acdacdabab

F

cd a

b

cd a

b

F

fa b

c d 00 01 11 1000

01

11

10

0

0

1

0

1

1

1

1

1

1

1

1

1

0

0

0

f = (a + b + c ) (a + b + d) (a+ b+ d) (a+ b+ c)f = a cd + ac d + ab + a b

715 (a)

cb

ac

F

a

cd

cb

ac

F

a

cd a

d

F

bc

c

From K-mapsF = ac + bcd + acd mdash 4 gates 11 inputsF = (a + b + c) (c + d) (a + c) mdash 4 gates 10

inputs minimal

715 (b) From K-mapsF = cd + ac + bc mdash 4 gates 9 inputsF = (b + c) (a + c + d) mdash 3 gates 7 inputs

minimal

db

ac

F

a

ad

ab

Fac

bd d

b

ac

F

a

ad

ab

Fac

bd

715 (c) From K-mapsF = ad + acd + bcd = ad + acd + abc mdash 4 gates 11 inputsF = (a + c) ( a + d) (a + b + d) mdash 4 gates 10

inputs minimal

715 (d) From K-mapsF = ab + ac + bd mdash 4 gates 9 inputs minimalF = (a + b) (a + c + d) (a + b + c) = (a + b) (a + c + d) (b + c + d) mdash 4 gates

11 inputs

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 47: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

50 51

AB

F

A

C

DA

C

B

C

A

C

D

F = ABE (F + G) + AC (D + E)

22

2

4 3

716 (a) In this case multi-level circuits do not improve the solution From K-maps F = ABC + ACD + ABC + ACD mdash 5 gates 16

inputs minimalF = (A + B + C) (A + C + D) (A + C + D)

(A + B + C) mdash 5 gates 16 inputs also minimal

Either answer is correct

AB

F

A

C

DA

C

B

C

A

C

D

G

F

E

EAC

BA

D

716 (b) Too many variables to use a K-map use algebraAdd ACE by consensus then use X + XY = X

ABCE + ABEF + ACD + ABEG + ACDE + ACE

= ABEF + ACD + ABEG + ACE

5 gates 13 inputs minimal

BCD

A

C

BAD

F

A B C D F0 0 0 0 0 01 0 0 0 1 02 0 0 1 0 03 0 0 1 1 14 0 1 0 0 05 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 09 1 0 0 1 110 1 0 1 0 111 1 0 1 1 112 1 1 0 0 113 1 1 0 1 114 1 1 1 0 115 1 1 1 1 1

717 (a) F = prod M(0 1 2 4 8)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

1

1

1

0

1

1

1

1

1

1

1

F = (A + C + D) (A + B + C) (A + B + D) (B + C + D)

= (A + D + BC)(B + C + AD) or= (A + C + BD)(B + D + AC) or= (C + D + AB)(A + B + CD)

This solution has 5 gates 12 inputs Beginning with the sum of products requires 6 gates

717 (b)

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 48: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

52 53

718 (b)

NAND-NANDabc

bcd

Fabc

abc

bcd

F

OR-NAND

abc

AND-ORabc

bcd

Fabc

F

NOR-ORabc

bcd

abc

F(a b c d) = sum m(4 5 8 9 13)From KmapF = abc + abc + bcdF = abc + abc + acd

F = c (a + b) (a + b + d)

wxy

wz

wxy

wxy

wz

wxy

NAND-NAND

F F

OR-NANDAND-ORwxy

wz

Fwxy

F

NOR-ORwxy

wz

wxy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

x

zy

w

F

AND-NOR

w

F

NOR-NOR

w

F

NAND-AND

x

zw

F

OR-AND

y

F (w x y z) = (x + y + z) (x + y + z) w718 (a)

From Karnaugh map F = wxy + wxy + wz

b

c

aba

dF

AND-NORaba

dc

F

NOR-NOR

b

c

aba

dF

NAND-AND

b

aba

dc

F

OR-AND

b

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 49: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

52 53

719 (a)

y

xy

z

z

f

y

xy

z

z

f

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = (y+ z ) (x+ y + z)

From KmapF = (y + z) (x + y + z)

xy

yz

yz

f

xz

()

orxy

yz

yz

f

xz

()

or

Fx

y z 0 100

01

11

10

1

1

0

1

1

0

0

1

F = y z + yz + xz

F = y z + yz + xy

719 (b)

720 (b)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = (B+ C ) (B+ D ) (A+ C ) (A+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

0

0

0

1

0

0

0

1

0

0

0

1

F = AB + C D

Using OR and NOR gates720 (a)

f f

ab

cd

ab

cd

c

d

b

a

f

d

c

a

b

c

d

b

a

f

d

c

a

bUsing NOR gates only

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 50: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

54 55

721 (a) NAND gatesF = D + BC + AB(Refer to problem 54 for K-map)NOR gatesF = (A + B + D) (B + C + D)

721 (b) NAND gatesF = abc + acd + bcd(Refer to problem 58(a) for K-map)NOR gatesF = (b + c) (c + d) (a + b + c) (a + c + d)

721 (c) NAND gatesF = abd + bcd + cd(Refer to problem 58(b) for K-map)NOR gatesF = (b + d) (b + d) (a + c) (b + c)F = (b + d) (b + d) (a + c) (c + d)

721 (d) NAND gatesF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABDF = ABCD + ACE + CDE + ADE + ABCDE +

ACD + BCE + ABE(Refer to problem 59(a) for K-map)NOR gatesF = (B + D + E) (A + C + D) (A + B + C + D)

(A + B + C + E ) (A + B + C + E) (A + C + D + E) (A + B + C + E)

721 (e) NAND gatesF=ACD+ABE+CDE+ABCD+BDE+ABDEF=ACD+ABE+CDE+ABCE+ABCD+BDEF=ACD+ABE+CDE+ABDE+ABCE+BDEF=ACD+ABE+CDE+ABDE+ABCE+BCE(Refer to problem 59(b) for K-map)NOR gatesF = (A + C + D + E) (C + D + E) (A + B + E)

(A + B + D + E) (A + B + C) (B + D + E)

721 (f) NAND gatesF = CD + AB + AD + ABC(Refer to problem 522(a) for K-map)NOR gatesF = (A + B + D) (A + B + D) (A + C)

721 (g) NAND gatesf = xy + wy + wz+ wzf = xy + wy + wx + wzf = xy + wy + yz+ wz(Refer to problem 522(b) for K-map)NOR gatesf = (w + x + z) (w + y + z) (w + y + z)f = (w + x + z) (w + y + z) (w + x + y)

ae b

de

d

bcacd

F

722 (a) 722 (b) f = (b + d + ae) (b + c + de) (a + c + d)F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

1

1

0

0

1

0

0

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

1

1

0

1

1

1

0

1

F = (B + C+ D ) (B + C+ E) (B+ D+ E ) (A + C + D ) (A + B+ D)f = (b + c + d) (b + c + e) (b + d + e) (a + c + d) (a + b + d)

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 51: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

54 55

bd

cd

a

ab

f

723F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

0

0

0

0

0

0

0

1

0

1

0

1

F = (A+ D ) (A+ B + C ) (A + B) f = (a + d) (a + b + c) (a + b) = (a + b) [a + d (b + c)] = (a + b) (a + bd + cd)

724 (a)

ghcda

b

ef

zghcde

f

ab

z

Z= (a + b +e + f)(c + a + b)(d + a + b)(g+h) = [a + b + cd (e + f)] (g + h)

Z = abef + cef + def + gh= ef (ab + c + d) + gh

724 (b)

727 (a) FA B

C D 00 01 11 1000

01

11

10

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

F = (B + C + D) (B+ D ) (A+ D ) (A+ B+ C)

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1 1

1

F = B CD + BC D + ABD + AB D

F = B CD + BC D + ABD + AC D

F

aed

b

c

bc

a

725 F = abde + ab + c= (a + b) (a + bde) + c= (a + b + c) (a + c + bde)

726

yx

fzw

z

xvy

f = xyz + xvyw + xvyz = xyz + xvy (z + w)

Draw AND-OR circuit and replace all gates with NANDs

Alternate F = (a + b + c) (b + c + ade)

727 (b)

Draw OR-AND circuit and replace all gates with NORs

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 52: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

56 57

A

C

B

D

BF

D

C

D

D

A

F = B (AD + CD) + B (AD + CD)727 (c)

FA B

C D 00 01 11 1000

01

11

10

1

0

0

1

0

0

1

0

0

1

0

0

1

0

0

1

F = (A + C + D) (B + C+ D ) (A + B+ C ) (A + B+ D) (A+ B + D )(A+ B +C) (B+ C + D) (A+ C+ D )

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

F = A B C D + A B CD + A BCD + AB C D + ABC D + ABCD

728 (a)

F = (A + C + D) (B + C + D) (A + B + C) (A + B + D) (A + B + D) (A + B + C) (B + C + D) (A + C + D)

F = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD

728 (b)

D

D

D

D

C

B

B

B

B

C

C

CF

A

A

728 (c) Many solutions exist Here is one drawn with alternate gate symbolsF = A (BCD + BCD + BCD) + A (BCD + BCD + BCD)

= A (B(CD + CD) + BCD) + A (B(CD + CD) + BCD)

Alternative F = A (BD + BD) + D (BC + BC)

= D (AB + BC) + B (AD + CD)= A (BD + CD) + D (BC + BC)=D (AC + BC) + B (AD + CD)

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 53: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

56 57

729 (a)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

1

F = ABC + BD + AC + BCD = B (D + AC) + C (A + BD)

Many NOR solutions exist Here is one F = (B + C) (A + C + D) (A + B + D) (A + B + C + D)

= (B + C) [A + (B + D) (B + C + D)] (A + C + D)= (B + C) [A (C + D) + A (B + D) (B + C + D)]= (B + C) [A (C + D) + A (B(C + D) + BD)]

CD

BD

CD

AA

BCB

F

A BC D 00 01 11 10

00

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = (A + C ) (B + D ) (A+ B + C) (B+ C + D)

F = (B + C + D) (A + B + C) (B + D) (A + C) = (C + A (B + D)) (B + D (A + C))

730F

A BC D 00 01 11 10

00

01

11

10

1

1

1

1

1

1

1

1

1

1

1

1

F = AB + AC + D + A B C

F = sum m(0 1 2 3 4 5 7 9 11 13 14 15)F = D + AB + AC + ABC

= D + A (B + C) + ABC

Alternate solutionF = D + (A + BC) (A + B + C)

729 (b)

F = C (B + AD) + A (BCD + BD)= C (B + AD) + A[(B + D) (B + CD)]

BD

B

F

AC

A

D

C

AD

DC

B

B

BD

A

C

F

FA B

C D 00 01 11 1000

01

11

10

0

0

0

1

0

0

1

1

0

1

0

0

1

0

1

1

F = AC D + B C + A BCD + A B D

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 54: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

58 59

AB

BC

CA

DF

AB

BC

CA D

F

AB

CA D F

BC

730 (a) 730 (b)

AB

BC

CA D

F

AB

BC

C

A DF

730 (c)

731

BD

F

GH

F E

C A

BD

F

GH

F E

C A

Z = A [BC + D + E(F + GH)]

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 55: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

58 59

732f1

a bc d 00 01 11 10

00

01

11

10

X X

1

1

1

X

1

f 1 = b cd + a c

f2a b

c d 00 01 11 1000

01

11

10

1

X

1 1

1

X

X

f 2 = bc + b cd

f3a b

c d 00 01 11 1000

01

11

10

1

X X

1

X

1

1

1

f 3 = a b + a d + b cd

8 gates

734f1

xy z 0 1

00

01

11

10 1

1

1

1

f1 = xy z + x y z + x y

f2x

y z 0 100

01

11

10

1

1

1

1

f 2 = y z + xy z + x y z

f3x

y z 0 100

01

11

10

1

1

1

1

1

f 3 = x y + yz + xy z + x y z

8 gates

735 (a) a bc d 00 01 11 10

00

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

733F

A BC D 00 01 11 10

00

01

11

10 1

1

1

1

1

1

1

F = AC + AB D + A BD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

F = AB + AB D + A BD

6 gates

f1 = (a + b + d) (b + c + d) (b + d) mdash 6 gatesf2 = (a + b + d) (b + c + d) (b + d)

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 56: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

60 61

735 (b)a b

c d 00 01 11 1000

01

11

10

1

1

1

1

0

1

0

0

0

1

0

1

0

1

0

0

f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

1

1

0

0

0

0

0

1

1

1

0

f2

Circle 1s to get sum-of-products expressions f1 = bcd + abd + bd mdash 6 gatesf2 = bcd + abd + bdThen convert directly to NAND gates

736 (a)f1a b

c d 00 01 11 1000

01

11

10

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

f1 = (a + c + d) (b+ c) (c+ d)

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = (a + c + d) (a + c) (a + b + d)

Circle 0rsquos

7 gates

f1a b

c d 00 01 11 1000

01

11

10

0

1

1

0

0 0 0 0

1

1

1

1

1

1

00

f1 = a c + cd + bc d

f2a b

c d 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

0

1

1

0

0

1

0

f2 = ad + c d + bc d

Circle 1s to get sum-of-products expressions

7 gates

Then convert directly to NAND gates736 (b)

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 57: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

60 61

bcbcdabc

bd

d

f1

f2

b

c

b

c

d

a

bc

d

d

df1

f2

c

f1a b

c d 00 01 11 1000

01

11

10

1

1

1 1

1

1

1

f1 = b c + bc d + a b cd

f2a b

c d 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

f2 = b d + bc d + a b cd

f1a b

c d 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f1 = (b + d) (c + d) (b + c) (a + c + d)

f2A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

0

f2 = (b + d) (b + c) (a + c + d) (b+c+d)

737 (a)

738 (a)f1

a bc d 00 01 11 10

00

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = ad + ab c d+ a c d

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = ac + ab c d+ a c d

f1a b

c d 00 01 11 1000

01

11

10

1

0

1

0

1

0

1

1

0

0

1

0

0

0

1

0

f 1 = (a+ c ) (a+ d) (c + d) (b + c+ d)

f2a b

c d 00 01 11 1000

01

11

10

1

1

0

0

1

1

0

1

0

0

1

0

0

0

1

0

f 2 = (a+ c ) (a+ d) (b + c+ d) (a + c + d )

738 (b)

737 (b)

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 58: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

62

739 (a)

ab

c d

h

f

g

F1

e

F2

ab

ef g

h

c

ec

f

d

h

F1

F2

The circuit consisting of levels 2 3 and 4 has OR gate outputs Convert this circuit to NAND gates in the usual way leaving the AND gates at level 1 unchanged The result is

739 (b) One solution would be to replace the two AND gates in (a) with NAND gates and then add inverters at the output However the following solution avoids adding inverters at the outputs

F1 = [(a + b) c + d] (e + f)= ace + bce + de + acf + bcf + df= ce (a + b) + d (e + f) + cf (a + b)

F2 = [(a + b) c + g] (e + f) h= h (ace + bce + acf + bcf) + gh (e + f)= h [ce (a + b) + cf (a + b)] + gh (e + f)

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 59: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

63 64

Unit 8 Problem Solutions

81

82 (a)(contd)

Static 1-hazards are 1101harr1111 and 0100harr0101

82 (a)

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

WF

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

1

1

F = ACD + A C + B CDF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

0

0

F = (A + C) (A+ C + D ) (B + C + D)

Static 0-hazards are 0001harr0011 and 1000harr1001

82 (b)A B

C D 00 01 11 1000

01

11

10

1 1

1

1

1

1

1

1

Ft = ACD + A C + B CD + ABC + ABD82 (c) A B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

0

0

Ft = (A + C) (A+ C + D ) (B + C + D)(A + B + C)(A + B + D)

Ft = (A + C) (A + C + D) (B + C + D) (A + B + C) (A + B + D)

83 (a)

3

F

G1 2 4 5 6 7 t (ns)

E

C

Glitch(static 1 hazard)

A BC D 00 01 11 10

00

01

11

10

1 1

1

1

1

1

G = ACD + B C + ABD

Modified circuit (to avoid hazards)83 (b)

A = 1 B = Z C = 1 Z = X D = 1 + Z = 1 E = X = X F = 1 = 0 G = X 0 = 0 H = X + 0 = X

See FLD Table 8-1 P 214

84

A = B = 0 C = D = 1So F = ABD + BCD + BCD = 0

But in the figure gate 4 outputs F = 1 indicating something is wrong For the last NAND gate F = 0 only when all its inputs are 1 But the output of gate 3 is 0 Therefore gate 4 is working properly but gate 3 is connected incorrectly or is malfunctioning

85

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 60: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

63 64

86

15

V

Z0 5 10 20 25 30 35 40 t (ns)

Y

X

W87 A B

C D 00 01 11 1000

01

11

10 1

1 1

1

1

1

Z = AC D + A CD + BC D

Static 1-hazards lie between 1000harr1010 and 0010harr0011Without hazards Z

t = ACD + ACD + BCD + ABC + ABD

A = Z B = 0 C = Z = X D = Z 0 = 0 E = Z F = 0 + 0 + X = X G = (0 Z) = 0 = 1 H = (X + 1) = 1 = 0

88

A = B = C = 1 so F = (A + B + C) (A + B + C) (A + B + C) = 1But in the figure gate 4 outputs F = 0 indicating something is wrong For the last NOR gate F = 1 only when all its inputs are 0 But the output of gate 1 is 1 Therefore gate 4 is working properly but gate 1 is connected incorrectly or is malfunctioning

89

810 (a)

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + AC D + BCD

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AB C

FA B

C D 00 01 11 1000

01

11

10

1

1

1

1

1

1

1

1

1

1

F = B D + A C + ABD + AC D

F (A B C D) = sum m(0 2 5 6 7 8 9 12 13 15)There are 3 different minimum AND-OR solutions to this problem The problem asks for any two of these

Solution 1 1-hazards are between 0000harr0010 and 0111harr0110

Solution 2 1-hazards are between 0010harr0110 and 0000harr1000

Solution 3 1-hazards are between 0111harr0110 and 0000harr1000Without hazards F

t = BD + AC + BCD + ACD + ABD + ABCF

A BC D 00 01 11 10

00

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (A+ B + C)

FA B

C D 00 01 11 1000

01

11

10

0

0

0

0

0

0

F = (A + B + D) (A + B+ C + D ) (A+ C+ D ) (B + C+ D)

F = (A + B + D) (A + B + C + D) (A + C + D) (A + B + C)

0-hazard is between 1011harr0011

Either way without hazard Ft = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D) (A + B + C)

810 (b)

F = (A + B + D) (A + B + C + D) (A + C + D) (B + C + D)

0-hazard is between 1011harr1010

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 61: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

65

Unit 9 Problem Solutions

91 See FLD p 636 for solution 92 See FLD p 636 for solution

93 See FLD p 637 for solution 94 See FLD p 637 and Figure 4-4 on FLD p99

95 y0 y1 y2 y3 a b c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 X 1 0 0 0 1 1 X X 1 0 1 0 1 X X X 1 1 1 1

ay y

y y 00 01 11 1000

01

11

10

0

1

1

1

0

1

1

1

0

1

1

1

0

1

1

1

0 12 3

a = y + y23 3

b

00 01 11 1000

01

11

10

0

1

0

1

1

1

0

1

0

1

0

1

1

1

0

1

b = y + y y

y yy y

0 12 3

12 3 0

c

00 01 11 1000

01

11

10

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

c = y + y + y + y2 1

y yy y

0 12 3

96 See FLD p 638 for solution 97 See FLD p 638 for solution

98 See FLD p 638-639 for solution 99 The equations derived from Table 4-6 on FLD p 101 are D = xybin + xybin + xybin + xybinbout = xbin + xy + ybinSee FLD p 639 for PAL diagram

910 Note A6 = A4 and A5 = A4 Equations for A4 through A0 can be found using Karnaugh maps See FLD p 640-641 for answers

911 (a) F = CD + BC + AC rarr Use 3 AND gatesF = [CD + BC + AC] = [C (B +D) + CA]

= [(C + B + D) (A + C)] = BCD + AC rarr Use 2 AND gates

911 (b) F = AB + CD rarr Use 2 AND gatesF = (AB + CD)

= [(A +C) (A + D) (B + C) (B + D)] = AC + AD +BC +BD rarr Use 4 AND gates

912 (a) See FLD p 641 use the answer for 912 (b) but leave off all connections to 1 and 1

912 (b) See FLD p 641 for solution

913F

B CD E

A

01

00 01 11 10

00

01

11

10

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

1

1

0

0

0

0

0

B = 1B = 0

Using Shannonrsquos expansion theoremF = abcde + bcde + acde + acde

= b (acde + acde + acde) + b (cde + acde + acde)= b [ade (c + c) + acde] + b [(c + ac) de + acde] = b (ade + acde) + b (cde + ade + acde)

The same result can be obtained by splitting a Karnaugh map as shown to the right

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 62: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

66 67

x y cin Sum Cout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

0110

X Y C

1001

Sum

0001

X Y C

0111

Cout

in in

Cin

X Y

Sum

0Cin

1

X Y

CoutCin

XXXX

Y

Sum

Y

Cout

Cin Cin

0XX1

914

I4

J0

F

0

J3J2J1

A B

I2I3

I1I0

I5I6I7

I4

J2

F

A

J1J0

J3

1 B

I2I3

I1I0

I5I6I7

915 (a)

915 (b) 915 (c)

916 (a) x y bin Diff Bout

0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

0110

X Y B

1001

Diff

0111

X Y B

0001

Bout

in in

There are many solutions For example

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 63: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

66 67

BinBin

X Y

Diff0

Bin

Bin

1

X Y

Bout

916 (b) 916 (c) XXXX

Y

DiffX

0

1

X

Y

Bout

Bin Bin

917 For a positive number A |A| = A and for a negative number A |A| = minusA Therefore if the number is negative that is A[3] is 1 then the output should be the 2s complement (that is invert and add 1) of the input A

A3A30

A2A20

A1A10

A0A0

0

A3

A3

A3

A3

1

output

FA

FA

FA

FA

918I0

I1

I2

I3

m0m1m2m3

ZA

B2-to-4decoder

I0I1I2I3

A B

Z

919 I0I1I2I3

B C AI4I5I6I7

B C

Z

920 (a)

3-to-8Decoder

D

Bout

x

y

Bin

D = sum m(1 2 4 7) Bout = sum m(1 2 3 7)

920 (b)

D = prod M(0 3 5 6) Bout = prod M(0 4 5 6)

3-to-8Decoder

D

Bout

x

y

Bin

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 64: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

68 69

921

4-to-2priorityencoder

y0y1y2y3

4-to-2priorityencoder

y4y5y6y7

a

b

c

d

a1b1c1

a2

c2

b2

922

3

0

abcd

efgh

1

2

SS

SS

C

2 x 5

out

N1

N2

ROM

8

a b c d e f g h S3 S2 S1 S0 Cout Meaning 0 0 0 0 0 0 0 0 X X X X X (0000 is a not valid input) 0 0 1 1 0 0 1 1 0 0 1 1 0 (0 + 0 = 0) 0 1 0 1 0 1 1 0 1 0 0 0 0 (2 + 3 = 5) 1 0 1 0 0 1 1 1 0 1 0 0 1 (7 + 4 = 11)

923 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 1 0 0 00 1 1 1 1 0 0 11 0 0 0 1 0 1 01 0 0 1 1 1 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X

R

S

T

U

V

W

Z

Y

2 x 4

ROM

4

923 (b) VR S

T U 00 01 11 1000

01

11

10 1

1

1

1

X

X

X

X

X

X

V = S T + RW

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

1

1

0

0

0

1

X

X

X

X

X

X

W = ST U + S T U + R U + S T U

If any of the inputs y0 through y7 is 1 then d of the 8-to-3 decoder should be 1 But in that case c1 or c2 of one of the 4-to-2 decoders will be 1 So d = c1 + c2

If one of the inputs y4 y5 y6 and y7 is 1 then a should be 1 and b and c should correspond to a2 and b2 respectively Otherwise a should be 0 and b and c should corresond to a1 and b1 respectively So a = c2 b = c2a2 + c2a1 and c = c2b2 + c2b1

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 65: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

68 69

923 (b) (contd)

YR S

T U 00 01 11 1000

01

11

10

0

0

1

0

0

1

0

0

1

0

X

X

X

X

X

X

Y = ST U + S TU + R UZ

R ST U 00 01 11 10

00

01

11

10

0

1

0

0

1

0

0

1

0

0

X

X

X

X

X

X

Z = RSTU + S T U + S T U

TS

TS

U

R

U

TS

U

TS

U

Z

W

Y

VR

UR

TS

U

TS

U

STU

R

923 (c) R S T U V W Y Z - 1 1 - 1 0 0 0 1 0 0 - 1 0 0 0 1 - - 0 0 0 1 0 1 - - 1 0 1 0 0 - 0 1 1 0 1 0 0 - 1 0 1 0 1 1 0 - 1 0 0 0 1 0 1 - 0 1 0 0 0 1 0 0 0 0 1 0 0 0 1 - 1 1 1 0 0 0 1

924 (a) R S T U V W Y Z0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 1 0 00 0 1 1 0 0 1 00 1 0 0 X X X X0 1 0 1 X X X X0 1 1 0 0 1 0 10 1 1 1 X X X X1 0 0 0 1 1 0 01 0 0 1 1 0 1 01 0 1 0 1 0 0 01 0 1 1 1 0 0 11 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 0 1 1 01 1 1 1 X X X X

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 66: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

70 71

924 (c) R S T U V W Y Z 1 0 - - 1 0 0 0 0 1 - - 0 1 0 1 1 1 - - 0 1 1 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

925 (a)F

A BC D 00 01 11 10

00

01

11

10

0

1

1

0

1

1

1

0

1

0

1

0

1

0

1

0

1

F = (A + B + C + D)(A+ C + D)(C + D)1

925 (a) (contd)

FA B

C D 00 01 11 1000

01

11

10

0

0

1

0

1

0

1

0

1

0

1

1

1

0

1

1

2

F = (A + B + C + D)(A + C + D)(A + D)2

cd

ad

acdabcd

F1

F2

Alternate solution F1 = (a + b + c + d) (a + c + d) (a + d)F2 = (a + b + c + d) (a + b + d) (c + d)

R S T U V W Y Z 1 0 - - 1 0 0 0 - 1 - - 0 1 0 0 0 - 1 0 0 1 0 0 1 - 0 0 0 1 0 0 1 1 - - 0 0 1 0 0 - 1 1 0 0 1 0 1 - 0 1 0 0 1 0 0 1 - - 0 0 0 1 0 - 0 1 0 0 0 1 1 - 1 1 0 0 0 1

or

WR S

T U 00 01 11 1000

01

11

10

0

0

1

0

X

X

1

X

1

0

0

0

X

X

1

X

W = RT U + R TU + SY

R ST U 00 01 11 10

00

01

11

10

0

0

0

1

X

X

0

X

0

1

0

0

X

X

1

X

Y = RT U + R TU + R S

ZR S

T U 00 01 11 1000

01

11

10

0

1

0

0

X

X

1

X

0

0

0

1

X

X

0

X

Z = RTU + RS + R T U

S

TR

R

U

SR

SR

TR

U

TR

U

TR

U

TR

U

TR

UZ

W

Y

V

S

924 (b)V

R ST U 00 01 11 10

00

01

11

10

0

0

0

0

X

X

0

X

1

1

1

1

X

X

0

X

V = R S

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 67: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

70 71

db c

xx

x

x

x

a

x

xx

x

x xx x

cdbdadacacd

x

x

xxx

F1 F2

x

925 (b) a b c d F1 F2

(cd) - - 1 0 1 1(bd) - 1 - 0 1 1(ad) 1 - - 0 1 1(ac) 1 - 1 - 0 1(acd) 0 - 0 1 1 0

926 (a) A B C D W X Y Z 0 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1

A BC D 00 01 11 10

00

01

11

10

0

1

1

1

1

1

1

1

1

0

X

X

X

X

X

X

W = AD + C + B + A D X = ACD + C D + A D + B C

A BC D 00 01 11 10

00

01

11

10

1

0

0

1

1

0

1

1

0

1

X

X

X

X

X

X

A BC D 00 01 11 10

00

01

11

10

1

1 1

1

1

1

X

X

X

X

X

X

Z = A D + B C + BD

Z = A + B C + BDAlt

DA

A

D

DC

CB

CA

D

ADBDBD

Z

X

Y

WCB

926 (b) a b c d W X Y Z - 1 - - 1 0 0 0 - - 1 - 1 0 0 0 0 - - 1 1 0 0 0 1 - - 0 1 0 1 0 0 - 0 0 0 1 1 0 - - 1 1 0 1 0 0 1 - - 1 0 1 0 1 - 1 1 - 0 1 0 1 - 1 - 1 0 0 1 0 - 0 - 0 0 0 0 1

926 (c)

db c

xx

x x

a

xx

xx

bcadadacd

x

x

x

x

cdadbc

x

xx xx x x

x x x

x

W X Y Z

bdbd

xx

xx

xx

x xxx

A BC D 00 01 11 10

00

01

11

10

1

0

0

0

1

1

0

1

1

0

X

X

X

X

X

X

Y = A D + B D + ACD

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 68: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

72 73

927 (a)

db c

xx

x

x

x

a

x

xx

x

x xx x

abdbcdabdabcbcd

x

x

xx

x

f1 f 2

x

bcdacdabc

f 3

x

x xx xx xx xx x x x

x x

a b c d f1 f2 f3

1 0 - 1 1 0 0 - 0 1 1 1 0 1 0 1 - 0 1 0 0 1 0 1 - 0 1 1 - 0 1 0 0 1 0 - 1 0 0 0 1 0 1 - 0 0 0 1 0 0 1 1 - 0 0 1

x y z f1 f2 f3

0 1 1 1 1 0 0 1 0 1 0 1 1 0 - 1 0 1 - 0 1 0 1 1 1 1 0 0 1 1

927 (b)

See solution for 710

See solution for 734 927 (c) Because a PLA works with a sum-of-products expression see solution for 736(b) not (a)

a b c d f1 f2

1 - 0 - 1 0 - - 0 1 1 0 - 0 1 1 1 1 0 - - 1 0 1 - - 1 0 0 1

y z

xx

x

x

x

x

xx

x

x xx x

xyzxyzxyyzxyz

x

x

xxx

f1 f 2 f 3

x

x x

x x

x

db c

xx

x

x

a

xx

x

xx

accdbcdadcd

x

x

xx

x

f1 f 2

xx

x

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 69: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

72 73

x xx

xxx

x xx

xxx xxx

A

B

C

x xx

xx

xxxx

xxx

X1xxx

x x x x

I0I1I2I3I4I5I6

X2

Z

I7

Z = I0ABC + I1ABC + I2ABC + I3ABC + I4ABC + I5ABC + I6ABC + I7ABC= X1A + X2A where X1 = I0BC + I1BC + I2BC + I3BC and X2 = I4BC + I5BC + I6BC + I7BC

928

Note Unused inputs outputs and wires have been omitted from this diagram

For an 8-to-3 encoder using the truth table given in FLD Figure 9-16 we geta = y4 + y5 + y6 + y7b = y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y6 y7 + y7c = y1y2 y3 y4 y5 y6 y7 + y3 y4 y5 y6 y7 + y5 y6 y7 + y7d = a + b + c + y0Alternative solution for simplified expressionsb = y2 y4 y5 + y3 y4 y5 + y6 + y7c = y1 y2 y4 y6 + y3 y4 y6 + y5 y6 + y7

929

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 70: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

74 75

Note Unused inputs outputs and wires have been omitted from this diagram

y2

y7

y6

y5

y4

y3

xx x

x

x

xx

xx

xx

x

x

x

x

y1

y0

a

xx

b

c

x x xx x x x

x

x x x x xx x x xx x x

x

d

929 (contd)

930 F = CDE + CDE + ADE + ABDE + BCD

F = AB(CDE + CDE + DE + DE) + AB (CDE + CDE + DE + CD) + AB (CDE + CDE) + AB (CDE + CDE + CD)

F = BC (ADE + ADE) + BC (DE +DE + ADE + ADE) + BC (ADE) + BC (DE + DE + ADE + D)

F = AC (DE + BDE) + AC (DE + DE + DE + BDE + BD) + AC(0) + AC(DE + DE + BD)

Use the expansion about A and CF = AC(F0 ) + AC(F1 ) + AC(F3 )where F0 F1 F3 are implemented in lookup tables

930 (a)

930 (b)

930 (c)

LUT 0

LUT 1

LUT 3

0

DE

B

DE

B

DE

B

F

A C

F0

F1

F3

B D E F0 F1 F3

0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1

930 (d)

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 71: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

74 75

931 F = BDE + ABC + CDE + ABCD

F = AB (DE + CDE) + AB (CDE + CD) + AB (DE + C + CD) + AB (CDE)

F = BC (DE + DE) + BC (DE + A) + BC (DE + AD) + BC (0)

F = AC (BDE + DE + BD) + AC (BDE) + AC (BDE + DE) + AC (BDE + B)

In this case use the expansion about B and C to implement the function in 3 LUTsF = BC(F0 ) + BC(F1 ) + BC(F2 ) + BC(0)Here we use the LUTs to implement F0 F1 F2 which are functions of A D E

931 (a)

931 (b)

931 (c)

A D E F0 F1 F2

0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0

LUT 0

LUT 1

LUT 2

0

DE

A

DE

A

DE

AF

B C

F0

F1

F2

LUT 0G = IG = I

G = 0

Y

H = A

GG = B4

3

21

01

LUT 1F = IF = I

F = 0F = B4

3

21

23

F1

H

932 For a 4-to-1 MUXY = ABI0 + ABI1 + ABI2 + ABI3

= A (BI0 + BI1 ) + A(BI2 + BI3 ) = AG + AF where G = BI0 + BI1 F = BI2 + BI3

Set programmable MUX so that Y is the output of MUX H

Unit 10 Problem Solutions

101 See FLD p 642 for solution 102 See FLD p 642 for solution

103 See FLD p 643 for solution 104 See FLD p 643 for solution

105 See FLD p 643 for solution Notes The function vec2int is found in bit_pack which is in the library bitlib so the following declarations are needed to use vec2int

library bitlibuse bitlibbit_packall

If std_logic is used instead of bits then the index can be computed as

index lt= conv_integer(AampBampCin) where A B and Cin are std_logic

conv_integer is found in the std_logic_arith package

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 72: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

76 77

106 See FLD p 643 for solution 107 See FLD p 644 for solutionNotes In line 8 00ampa converts a to a 18-bit std_logic_vector The overloaded ldquo+rdquo operators automatically extend b c and d to 18 bits so that the sum is 18 bits In line 9 sum(17 downto 2) drops the lower 2 bits of sum which effectively divides by 4 to give the average Adding sum(1) rounds up the value of f if sum(1) = 1

108 See FLD p 644 for solution

Add the following to the answer given on FLD p 644Addout lt= 0 amp E + BusSum lt= Addout(3 downto 0)Cout lt= Addout(4)

109 See FLD p 644 for solution

1010 The network represented by the given code is

PQ R

LN

M

(1) Statement (a) will execute as soon as either P or Q change Hence it will execute at 4 ns

(2) Since the NAND gate has a delay of 10 ns L will be updated at 14 ns

(3) Statement (c) will execute when the value M changes It will execute at 19 ns

(4) R will be updated at 19 + Δ ns since Δ is the default delay time when no delay is explicitly specified

H lt= not A nand B nor not D nand E

(Note not happens first then it proceeds from left to right)

1011 (a) 1011(b) AN lt= not A after 5 nsC lt= AN nand B after 10nsF lt= not D after 5nsG lt= C nor F after 15nsH lt= G nand E after 10ns

1012

1014 (a) The expression can be rewritten asF lt= (((not E) amp 011) or 000100) and (not D)

Evaluating in this order we getF = 000110

FP

U

T

V

RS

Q

P

S

QR

L = X (Since 1 and 0 in the resolution function yields X)

M = 0N = 1 (1 overrides Z in the resolution function)

1013

LHS not(101 amp 011) = 010100RHS (100 amp 101 and 010 amp 101) = 000101Since LHS gt RHS the expression evaluates to FALSE

1014 (b)

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn

Page 73: II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 · PDF file5 II. SOLUTIONS TO HOMEWORK PROBLEMS Unit 1 Problem Solutions 757.25 10 16 | 757 0.25 16 | 47 r5 16 16 | 2 r15=F 16 (4).00 0 r2

76 77

library bitlibuse bitlibbit_packall

entity myrom isport (A B C D in bit W X Y out bit)

end myrom

architecture table of myrom istype ROM16_3 is array(0 to 15)of bit_vector(0 to 2)constant ROM1 ROM16_3 = (010 111 100 110 011 110

001 000 000 111 100 010 001 100 101 000)signal index integer range 0 to 15signal temp bit_vector(0 to 2)begin

index lt= vec2int(AampBampCampD)temp lt= ROM1(index)W lt= temp(0)X lt= temp(1)Y lt= temp(2)

end table

1015

databus lt= membus when mRead = 1 else ZZZZZZZZ

databus lt= probus when mWrite = 1 else ZZZZZZZZ

1016 (a) 1016 (b) The value will be determined by the std_logic resolution function For example if membus = 01010101 and probus = 00001111 then databus = 0X0XX1X1

1017 (a) with CampD selectF lt= not A after 15ns when 00B after 15ns when 01not B after 15ns when 100 after 15ns when 11

1017 (b)F lt= not A after 15ns when CampD = 00else B after 15ns when CampD = 01else not B after 15ns when CampD = 10else 0 after 15ns

entity mynand isport(X Y in bit Z out bit)

end mynand

architecture eqn of mynand isbegin

Z lt= X nand Y after 4 nsend eqn

1018 (a) 1018 (b) entity main isport(A B C D in bit F out bit)

end main

architecture eqn of main iscomponent mynand is

port(X Y in bit Z out bit)end component

signal E G bitbegin

n1 mynand port map(A B E)n2 mynand port map(C D G)n3 mynand port map(E G F)

end eqn


Recommended