+ All Categories
Home > Documents > Introduction to Altera Board

Introduction to Altera Board

Date post: 14-Apr-2018
Category:
Upload: izzmohd
View: 217 times
Download: 0 times
Share this document with a friend

of 42

Transcript
  • 7/30/2019 Introduction to Altera Board

    1/42

    CSCE 488

    An Introduction toFPGA and SOPC Development Board

    (Adapted from material developed by Yong Wang& Stefan Hass)

    Yuyan Xue

  • 7/30/2019 Introduction to Altera Board

    2/42

    CSCE488-2005 2

    What are Programmable Logic Devices? Architecture and Examples

    Why FPGA? Vendors and Device Series

    Development on Altera Devices

    Summary

    Outline

  • 7/30/2019 Introduction to Altera Board

    3/42

    Programmable Logic Devices

  • 7/30/2019 Introduction to Altera Board

    4/42CSCE488-2005 4

    Programmable Logic Devices

    Programmable digital integrated circuit

    Desired functionality is implemented by configuringon-chip logic blocks and interconnections

    (compared with ASIC) Developers only care about the logic design but

    not the internal hard-wire connection ( softwarelizethe hardware design)

  • 7/30/2019 Introduction to Altera Board

    5/42CSCE488-2005 5

    ASIC Vs. Programmable Logic Device

    ASIC (Application SpecificIntegrated Circuit)

    Programmable LogicDevice

    Faster performance Longer delay

    >10,000 chips Good for medium to lowvolume products

    Lower cost if produced in highvolume

    Higher cost per chip

    Longer design cycle andcostlier ECO (EngineeringChange order)

    Shorter design cycle andcheaper ECO

    Energy saving More power consumption

  • 7/30/2019 Introduction to Altera Board

    6/42CSCE488-2005 6

    Type of Programmable Logic Devices

    PLD (Programmable Logic Device)

    CPLD (Complex Programmable Logic Device)

    FPGA (Field Programmable Gate Array)

  • 7/30/2019 Introduction to Altera Board

    7/42

    Architecture and Examples

  • 7/30/2019 Introduction to Altera Board

    8/42CSCE488-2005 8

    PLD - Sum of Products

    A B C

    CBACBAf +=1

    CBABAf +=2

    AND plane

    Programmable AND array followed by fixed fan-in OR gates

    Programmable switch or fuse

    any logical function can be written in SOP(Sum of Products) form

    =>

    any function can be implemented by AND

    gates generating products which feed to anOR gate that sums them up

    Product Terms

  • 7/30/2019 Introduction to Altera Board

    9/42

    CSCE488-2005 9

    PLD - Macrocell

    Can implement combinational or sequential logic

    A B C

    Flip-flop

    Select

    Enable

    D Q

    Clock

    AND plane

    MUX

    f

  • 7/30/2019 Introduction to Altera Board

    10/42

    CSCE488-2005 10

    CPLD Structure

    Integration of several PLD blocks with a programmableinterconnect on a single chip

    PLD

    Block

    PLD

    BlockPLD

    Block

    PLD

    Block

    Interconnection MatrixInterconnection Matrix

    I/OBloc

    k

    I/OBlock

    I/OBloc

    k

    I/OBlock

    PLD

    Block

    PLD

    BlockPLD

    Block

    PLD

    Block

    I/OBlock

    I/OBlock

    I/OBlock

    I/OBlock

    Interconnection MatrixInterconnection Matrix

  • 7/30/2019 Introduction to Altera Board

    11/42

    CSCE488-2005 11

    CPLD Example - Altera MAX7000

    EPM7000 Series Block Diagram

  • 7/30/2019 Introduction to Altera Board

    12/42

    CSCE488-2005 12

    CPLD Example - Altera MAX7000

    EPM7000 Series Device Macrocell

  • 7/30/2019 Introduction to Altera Board

    13/42

    CSCE488-2005 13

    FPGA - Generic Structure

    FPGA building blocks: Programmable logic blocks

    Implement combinatorial andsequential logic

    Programmable interconnectWires to connect inputs, outputs

    and different logic blocks Programmable I/O blocks

    Special logic blocks at the

    periphery of device for external

    connections

    I/O

    I/O

    Logic block Interconnection switches

    I/O

    I/O

  • 7/30/2019 Introduction to Altera Board

    14/42

    CSCE488-2005 14

    FPGA Basic Logic Element

    LUT(Look Up Table) to implement combinatorial logic

    Register for sequential circuits

    Additional logic (not shown): Carry logic for arithmetic functions

    Expansion logic for functions requiring more than 4 inputs

    LUTLUT

    Out

    Select

    D Q

    A

    B

    C

    D

    Clock

  • 7/30/2019 Introduction to Altera Board

    15/42

    CSCE488-2005 15

    Look-Up Tables (LUT) Look-up table with N-inputs can be used to implement any

    combinatorial function of N inputs

    LUT is programmed with the truth-table

    A B C D Z

    0 0 0 0 0

    0 0 0 1 1

    0 0 1 0 1

    0 0 1 11

    0 1 0 0 0

    0 1 0 1 1

    0 1 1 0 1

    0 1 1 1 1

    1 0 0 0 0

    1 0 0 1 11 0 1 0 1

    1 0 1 1 1

    1 1 0 0 0

    1 1 0 1 0

    1 1 1 0 0

    LUTLUT

    A

    B

    C

    D

    Z

    A

    B

    C

    D

    Z

    Truth-table Gate implementation

    LUT implementation

  • 7/30/2019 Introduction to Altera Board

    16/42

    CSCE488-2005 16

    Other FPGA Building Blocks

    Clock distribution

    Embedded memory blocks Special purpose blocks:

    DSP blocks:

    Hardware multipliers, adders and registers

    Embedded microprocessors/microcontrollers

    High-speed serial transceivers

  • 7/30/2019 Introduction to Altera Board

    17/42

    CSCE488-2005 17

    Special Features

    Clock management

    PLL,DLL

    Eliminate clock skew between external clock inputand on-chip clock

    Low-skew global clock distribution network Support for various interface standards

    High-speed serial I/Os Embedded processor cores

    DSP blocks

  • 7/30/2019 Introduction to Altera Board

    18/42

    CSCE488-2005 18

    Configuration Storage Elements

    SRAM

    Logical configuration is controlled by the state of SRAM

    bits FPGA needs to be configured at power-on by anotherseparated ROM

    Flash

    Logical configuration is implemented by floating-gatetransistors that can be turned off by injecting charge onto

    its gate. FPGA itself holds the program reprogrammable, even in-circuit

  • 7/30/2019 Introduction to Altera Board

    19/42

    Example: Altera Stratix Series

  • 7/30/2019 Introduction to Altera Board

    20/42

    CSCE488-2005 20

    Why FPGA?

    handle dense logic and memory elements

    offering very high logic capacity Easy to revise the logic design

    Lower cost and shorter development cycle Complete integrated design environment (IDE)

    Easy to learn and use

  • 7/30/2019 Introduction to Altera Board

    21/42

    CSCE488-2005 21

    FPGA Vendors Altera

    Xilinx Virtex-II/Virtex-4: Feature-packed high-performance

    SRAM-based FPGA Spartan 3: low-cost feature reduced version

    CoolRunner: CPLDs

    Actel

    Lattice

    QuickLogic

  • 7/30/2019 Introduction to Altera Board

    22/42

    CSCE488-2005 22

    Introduction to Altera Devices

    Programmable Logic Families

    High & Medium Density FPGAs

    Stratix II, Stratix, APEX II, APEX 20K, & FLEX10K

    Low-Cost FPGAs

    Cyclone & ACEX1K

    CPLDs

    MAX7000 & MAX 3000

    Embedded Processor Solutions

    Nios, ExcaliburT

    Configuration Devices EPC

  • 7/30/2019 Introduction to Altera Board

    23/42

    CSCE488-2005 23

    Nios: The processor in software

    a user-configurable, 16-bit instruction setarchitecture (ISA), general-purpose RISCembedded processor

    designers can use the SOPC (system-on-a-programmable-chip) Builder system

    development tool to very easily create customprocessor-based systems

  • 7/30/2019 Introduction to Altera Board

    24/42

    CSCE488-2005 24

    Development on Altera Devices

  • 7/30/2019 Introduction to Altera Board

    25/42

    CSCE488-2005 25

    What is available?

    Altera Stratix Nios Development Board

    Altera UP2 Development Board

  • 7/30/2019 Introduction to Altera Board

    26/42

    CSCE488-2005 26

    Altera Stratix Nios Development Board

  • 7/30/2019 Introduction to Altera Board

    27/42

    CSCE488-2005 27

    Altera Stratix Nios Development Board

    Stratix EP1S10F780C6

    10,570 Logic Elements 920 Kb on-chip memory

    Provide hardware platform for developingembedded system

    Comes pre-programmed with a 32-bitNiosprocessor reference design

  • 7/30/2019 Introduction to Altera Board

    28/42

    CSCE488-2005 28

    Altera Stratix Nios Development Board

    8 MB of flash Memory,1MB of static RAM, 16MB ofSDRAM

    On-board Ethernet MAC/PHY device

    Compact Flash connector hearder

    Two RS-232 DB9 serial ports 50MHz oscillator and zero-skew clock distribution

    circuitry

    Four push-button switches

    Dual 7-segment LED display

    Al UP2 D l B d

  • 7/30/2019 Introduction to Altera Board

    29/42

    CSCE488-2005 29

    Altera UP2 Development Board

    Alt UP2 D l t B d

  • 7/30/2019 Introduction to Altera Board

    30/42

    CSCE488-2005 30

    Altera UP2 Development Board

    EPF10K70RC240-4 device

    EPM7128SLC-7 device

    One RS-232 serial port

    Four push-button switches

    Dual 7-segment LED display 25.175MHz oscillator

    FPGA D i Fl

  • 7/30/2019 Introduction to Altera Board

    31/42

    CSCE488-2005 31

    FPGA Design Flow

    Design Entry

    Simulation

    Synthesis

    Place & Route

    Simulation

    Program device & test

    Design Specification

    FPGA Design Flow

  • 7/30/2019 Introduction to Altera Board

    32/42

    CSCE488-2005 32

    FPGA Design Flow

    SynthesisTranslate Design into Device Specific Primitives

    Design Specification

    Place & RouteMap Primitives to Specific Locations insideTarget devices

    Design Entry/RTL CodingBehavioral or Structural Description of Design

    LEMEM I/O

    RTL SimulationFunctional SimulationVerify Logic Model & Data Flow(No Timing Delays)

    FPGA Design Flow

  • 7/30/2019 Introduction to Altera Board

    33/42

    CSCE488-2005 33

    FPGA Design Flow

    Timing Analysis- Verify whether Performance Specifications Were Met- Static Timing Analysis

    Gate Level Simulation- Timing Simulation- Verify whether Design Will Work in Target Device

    Program & Test-Download Design Program to the device-& Test Device on Board

    tclk

    D i E t M th d

  • 7/30/2019 Introduction to Altera Board

    34/42

    CSCE488-2005 34

    Design Entry Methods

    Text-based

    VHDL(Very High SpeedIntegrated CircuitHardware DescriptionLanguage)

    Verilog HDL

    Block Diagram

  • 7/30/2019 Introduction to Altera Board

    35/42

    CSCE488-2005 35

    Block Diagram

    Contents of a block can beany type of design unit

    State Diagram

  • 7/30/2019 Introduction to Altera Board

    36/42

    CSCE488-2005 36

    State Diagram

    Bubble diagram

    States

    Conditions

    Transitions

    Outputs

    Useful for developingcontrol modules

    ProgramDevices

  • 7/30/2019 Introduction to Altera Board

    37/42

    CSCE488-2005 37

    Program Devices

    Once we verify our design, itshould be downloaded to the

    FPGA devices

    Designs can be downloadedthrough parallel port in PC to

    the J TAG connector on boardusing download cables

    Designs can also bedownloaded via the Internetto a target device

    Introduction to Altera Design Software

  • 7/30/2019 Introduction to Altera Board

    38/42

    CSCE488-2005 38

    Introduction to Altera Design Software

    Software & Development Tools:

    Quartus II

    Stratix II, Stratix, Stratix GX, Cyclone,APEX II, APEX 20K/E/C, Excalibur, &Mercury Devices

    FLEX 10K/A/E, ACEX 1K, FLEX 6000,

    MAX 7000S/AE/B, MAX 3000A Devices

    Quartus II Web Edition

    Free Version

    Not All Features & Devices Included

    MAX+PLUS II

    All FLEX, ACEX, & MAX Devices

    Quartus II Development System

  • 7/30/2019 Introduction to Altera Board

    39/42

    CSCE488-2005 39

    Quartus II Development System

    Fully-Integrated Design Tool

    Multiple Design Entry Methods

    Logic Synthesis

    Place & Route

    Simulation

    Timing & Power Analysis

    Device Programming

    SignalTap II & SignalProbe Debug Tools

    Quartus II Operating Environment

  • 7/30/2019 Introduction to Altera Board

    40/42

    CSCE488-2005 40

    Quartus II Operating Environment

    Main Toolbar & Modes

  • 7/30/2019 Introduction to Altera Board

    41/42

    CSCE488-2005 41

    Main Toolbar & Modes

    Window & new file

    buttons

    Compiler Report

    Floorplans

    Execution Controls

    Simulation Controls

    Project Navigator

    Summary

  • 7/30/2019 Introduction to Altera Board

    42/42

    CSCE488-2005 42

    Summary

    Prerequisite

    Electronics and circuits

    Digital logic design

    VHDL

    FPGA

    Combine technologies in hardware & software

    Benefits


Recommended